source: firmware/FAD/FACT_FAD_TB_lib/hds/dna_gen_tester/interface

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 18.7 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "STD_LOGIC_ARITH"
13itemName "ALL"
14)
15(DmPackageRef
16library "IEEE"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "UNISIM"
22unitName "VComponents"
23itemName "ALL"
24)
25]
26libraryRefs [
27"IEEE"
28"UNISIM"
29]
30)
31version "24.1"
32appVersion "2009.1 (Build 12)"
33model (Symbol
34commonDM (CommonDM
35ldm (LogicalDM
36ordering 1
37suid 5,0
38usingSuid 1
39emptyRow *1 (LEmptyRow
40)
41uid 74,0
42optionalChildren [
43*2 (RefLabelRowHdr
44)
45*3 (TitleRowHdr
46)
47*4 (FilterRowHdr
48)
49*5 (RefLabelColHdr
50tm "RefLabelColHdrMgr"
51)
52*6 (RowExpandColHdr
53tm "RowExpandColHdrMgr"
54)
55*7 (GroupColHdr
56tm "GroupColHdrMgr"
57)
58*8 (NameColHdr
59tm "NameColHdrMgr"
60)
61*9 (ModeColHdr
62tm "ModeColHdrMgr"
63)
64*10 (TypeColHdr
65tm "TypeColHdrMgr"
66)
67*11 (BoundsColHdr
68tm "BoundsColHdrMgr"
69)
70*12 (InitColHdr
71tm "InitColHdrMgr"
72)
73*13 (EolColHdr
74tm "EolColHdrMgr"
75)
76*14 (LogPort
77port (LogicalPort
78lang 10
79decl (Decl
80n "dna"
81t "STD_LOGIC_VECTOR"
82b "(63 DOWNTO 0)"
83o 1
84suid 4,0
85)
86)
87uid 162,0
88)
89*15 (LogPort
90port (LogicalPort
91lang 10
92decl (Decl
93n "ready"
94t "STD_LOGIC"
95o 2
96suid 5,0
97)
98)
99uid 164,0
100)
101]
102)
103pdm (PhysicalDM
104displayShortBounds 1
105editShortBounds 1
106uid 87,0
107optionalChildren [
108*16 (Sheet
109sheetRow (SheetRow
110headerVa (MVa
111cellColor "49152,49152,49152"
112fontColor "0,0,0"
113font "Tahoma,10,0"
114)
115cellVa (MVa
116cellColor "65535,65535,65535"
117fontColor "0,0,0"
118font "Tahoma,10,0"
119)
120groupVa (MVa
121cellColor "39936,56832,65280"
122fontColor "0,0,0"
123font "Tahoma,10,0"
124)
125emptyMRCItem *17 (MRCItem
126litem &1
127pos 3
128dimension 20
129)
130uid 89,0
131optionalChildren [
132*18 (MRCItem
133litem &2
134pos 0
135dimension 20
136uid 90,0
137)
138*19 (MRCItem
139litem &3
140pos 1
141dimension 23
142uid 91,0
143)
144*20 (MRCItem
145litem &4
146pos 2
147hidden 1
148dimension 20
149uid 92,0
150)
151*21 (MRCItem
152litem &14
153pos 0
154dimension 20
155uid 163,0
156)
157*22 (MRCItem
158litem &15
159pos 1
160dimension 20
161uid 165,0
162)
163]
164)
165sheetCol (SheetCol
166propVa (MVa
167cellColor "0,49152,49152"
168fontColor "0,0,0"
169font "Tahoma,10,0"
170textAngle 90
171)
172uid 93,0
173optionalChildren [
174*23 (MRCItem
175litem &5
176pos 0
177dimension 20
178uid 94,0
179)
180*24 (MRCItem
181litem &7
182pos 1
183dimension 50
184uid 95,0
185)
186*25 (MRCItem
187litem &8
188pos 2
189dimension 100
190uid 96,0
191)
192*26 (MRCItem
193litem &9
194pos 3
195dimension 50
196uid 97,0
197)
198*27 (MRCItem
199litem &10
200pos 4
201dimension 100
202uid 98,0
203)
204*28 (MRCItem
205litem &11
206pos 5
207dimension 100
208uid 99,0
209)
210*29 (MRCItem
211litem &12
212pos 6
213dimension 50
214uid 100,0
215)
216*30 (MRCItem
217litem &13
218pos 7
219dimension 80
220uid 101,0
221)
222]
223)
224fixedCol 4
225fixedRow 2
226name "Ports"
227uid 88,0
228vaOverrides [
229]
230)
231]
232)
233uid 73,0
234)
235genericsCommonDM (CommonDM
236ldm (LogicalDM
237emptyRow *31 (LEmptyRow
238)
239uid 103,0
240optionalChildren [
241*32 (RefLabelRowHdr
242)
243*33 (TitleRowHdr
244)
245*34 (FilterRowHdr
246)
247*35 (RefLabelColHdr
248tm "RefLabelColHdrMgr"
249)
250*36 (RowExpandColHdr
251tm "RowExpandColHdrMgr"
252)
253*37 (GroupColHdr
254tm "GroupColHdrMgr"
255)
256*38 (NameColHdr
257tm "GenericNameColHdrMgr"
258)
259*39 (TypeColHdr
260tm "GenericTypeColHdrMgr"
261)
262*40 (InitColHdr
263tm "GenericValueColHdrMgr"
264)
265*41 (PragmaColHdr
266tm "GenericPragmaColHdrMgr"
267)
268*42 (EolColHdr
269tm "GenericEolColHdrMgr"
270)
271]
272)
273pdm (PhysicalDM
274displayShortBounds 1
275editShortBounds 1
276uid 115,0
277optionalChildren [
278*43 (Sheet
279sheetRow (SheetRow
280headerVa (MVa
281cellColor "49152,49152,49152"
282fontColor "0,0,0"
283font "Tahoma,10,0"
284)
285cellVa (MVa
286cellColor "65535,65535,65535"
287fontColor "0,0,0"
288font "Tahoma,10,0"
289)
290groupVa (MVa
291cellColor "39936,56832,65280"
292fontColor "0,0,0"
293font "Tahoma,10,0"
294)
295emptyMRCItem *44 (MRCItem
296litem &31
297pos 3
298dimension 20
299)
300uid 117,0
301optionalChildren [
302*45 (MRCItem
303litem &32
304pos 0
305dimension 20
306uid 118,0
307)
308*46 (MRCItem
309litem &33
310pos 1
311dimension 23
312uid 119,0
313)
314*47 (MRCItem
315litem &34
316pos 2
317hidden 1
318dimension 20
319uid 120,0
320)
321]
322)
323sheetCol (SheetCol
324propVa (MVa
325cellColor "0,49152,49152"
326fontColor "0,0,0"
327font "Tahoma,10,0"
328textAngle 90
329)
330uid 121,0
331optionalChildren [
332*48 (MRCItem
333litem &35
334pos 0
335dimension 20
336uid 122,0
337)
338*49 (MRCItem
339litem &37
340pos 1
341dimension 50
342uid 123,0
343)
344*50 (MRCItem
345litem &38
346pos 2
347dimension 100
348uid 124,0
349)
350*51 (MRCItem
351litem &39
352pos 3
353dimension 100
354uid 125,0
355)
356*52 (MRCItem
357litem &40
358pos 4
359dimension 50
360uid 126,0
361)
362*53 (MRCItem
363litem &41
364pos 5
365dimension 50
366uid 127,0
367)
368*54 (MRCItem
369litem &42
370pos 6
371dimension 80
372uid 128,0
373)
374]
375)
376fixedCol 3
377fixedRow 2
378name "Ports"
379uid 116,0
380vaOverrides [
381]
382)
383]
384)
385uid 102,0
386type 1
387)
388VExpander (VariableExpander
389vvMap [
390(vvPair
391variable "HDLDir"
392value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
393)
394(vvPair
395variable "HDSDir"
396value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
397)
398(vvPair
399variable "SideDataDesignDir"
400value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tester\\interface.info"
401)
402(vvPair
403variable "SideDataUserDir"
404value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tester\\interface.user"
405)
406(vvPair
407variable "SourceDir"
408value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
409)
410(vvPair
411variable "appl"
412value "HDL Designer"
413)
414(vvPair
415variable "arch_name"
416value "interface"
417)
418(vvPair
419variable "config"
420value "%(unit)_%(view)_config"
421)
422(vvPair
423variable "d"
424value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tester"
425)
426(vvPair
427variable "d_logical"
428value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tester"
429)
430(vvPair
431variable "date"
432value "03.03.2011"
433)
434(vvPair
435variable "day"
436value "Do"
437)
438(vvPair
439variable "day_long"
440value "Donnerstag"
441)
442(vvPair
443variable "dd"
444value "03"
445)
446(vvPair
447variable "entity_name"
448value "dna_gen_tester"
449)
450(vvPair
451variable "ext"
452value "<TBD>"
453)
454(vvPair
455variable "f"
456value "interface"
457)
458(vvPair
459variable "f_logical"
460value "interface"
461)
462(vvPair
463variable "f_noext"
464value "interface"
465)
466(vvPair
467variable "group"
468value "UNKNOWN"
469)
470(vvPair
471variable "host"
472value "IHP110"
473)
474(vvPair
475variable "language"
476value "VHDL"
477)
478(vvPair
479variable "library"
480value "FACT_FAD_TB_lib"
481)
482(vvPair
483variable "library_downstream_HdsLintPlugin"
484value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
485)
486(vvPair
487variable "library_downstream_ISEPARInvoke"
488value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
489)
490(vvPair
491variable "library_downstream_ImpactInvoke"
492value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
493)
494(vvPair
495variable "library_downstream_ModelSimCompiler"
496value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
497)
498(vvPair
499variable "library_downstream_XSTDataPrep"
500value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
501)
502(vvPair
503variable "mm"
504value "03"
505)
506(vvPair
507variable "module_name"
508value "dna_gen_tester"
509)
510(vvPair
511variable "month"
512value "Mrz"
513)
514(vvPair
515variable "month_long"
516value "März"
517)
518(vvPair
519variable "p"
520value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tester\\interface"
521)
522(vvPair
523variable "p_logical"
524value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tester\\interface"
525)
526(vvPair
527variable "package_name"
528value "<Undefined Variable>"
529)
530(vvPair
531variable "project_name"
532value "FACT_FAD"
533)
534(vvPair
535variable "series"
536value "HDL Designer Series"
537)
538(vvPair
539variable "task_DesignCompilerPath"
540value "<TBD>"
541)
542(vvPair
543variable "task_LeonardoPath"
544value "<TBD>"
545)
546(vvPair
547variable "task_ModelSimPath"
548value "D:\\modeltech_6.5e\\win32"
549)
550(vvPair
551variable "task_NC-SimPath"
552value "<TBD>"
553)
554(vvPair
555variable "task_PrecisionRTLPath"
556value "<TBD>"
557)
558(vvPair
559variable "task_QuestaSimPath"
560value "<TBD>"
561)
562(vvPair
563variable "task_VCSPath"
564value "<TBD>"
565)
566(vvPair
567variable "this_ext"
568value "<TBD>"
569)
570(vvPair
571variable "this_file"
572value "interface"
573)
574(vvPair
575variable "this_file_logical"
576value "interface"
577)
578(vvPair
579variable "time"
580value "09:57:26"
581)
582(vvPair
583variable "unit"
584value "dna_gen_tester"
585)
586(vvPair
587variable "user"
588value "daqct3"
589)
590(vvPair
591variable "version"
592value "2009.1 (Build 12)"
593)
594(vvPair
595variable "view"
596value "interface"
597)
598(vvPair
599variable "year"
600value "2011"
601)
602(vvPair
603variable "yy"
604value "11"
605)
606]
607)
608LanguageMgr "VhdlLangMgr"
609uid 72,0
610optionalChildren [
611*55 (SymbolBody
612uid 8,0
613optionalChildren [
614*56 (CptPort
615uid 152,0
616ps "OnEdgeStrategy"
617shape (Triangle
618uid 153,0
619ro 270
620va (VaSet
621vasetType 1
622fg "0,65535,0"
623)
624xt "26000,6625,26750,7375"
625)
626tg (CPTG
627uid 154,0
628ps "CptPortTextPlaceStrategy"
629stg "RightVerticalLayoutStrategy"
630f (Text
631uid 155,0
632va (VaSet
633)
634xt "23300,6500,25000,7500"
635st "dna"
636ju 2
637blo "25000,7300"
638tm "CptPortNameMgr"
639)
640)
641dt (MLText
642uid 156,0
643va (VaSet
644)
645)
646thePort (LogicalPort
647lang 10
648decl (Decl
649n "dna"
650t "STD_LOGIC_VECTOR"
651b "(63 DOWNTO 0)"
652o 1
653suid 4,0
654)
655)
656)
657*57 (CptPort
658uid 157,0
659ps "OnEdgeStrategy"
660shape (Triangle
661uid 158,0
662ro 270
663va (VaSet
664vasetType 1
665fg "0,65535,0"
666)
667xt "26000,7625,26750,8375"
668)
669tg (CPTG
670uid 159,0
671ps "CptPortTextPlaceStrategy"
672stg "RightVerticalLayoutStrategy"
673f (Text
674uid 160,0
675va (VaSet
676)
677xt "22500,7500,25000,8500"
678st "ready"
679ju 2
680blo "25000,8300"
681tm "CptPortNameMgr"
682)
683)
684dt (MLText
685uid 161,0
686va (VaSet
687)
688)
689thePort (LogicalPort
690lang 10
691decl (Decl
692n "ready"
693t "STD_LOGIC"
694o 2
695suid 5,0
696)
697)
698)
699]
700shape (Rectangle
701uid 9,0
702va (VaSet
703vasetType 1
704fg "0,65535,0"
705lineColor "0,32896,0"
706lineWidth 2
707)
708xt "15000,6000,26000,9000"
709)
710biTextGroup (BiTextGroup
711uid 10,0
712ps "CenterOffsetStrategy"
713stg "VerticalLayoutStrategy"
714first (Text
715uid 11,0
716va (VaSet
717font "Comic Sans MS,8,1"
718)
719xt "16300,6400,24700,7500"
720st "FACT_FAD_TB_lib"
721blo "16300,7300"
722)
723second (Text
724uid 12,0
725va (VaSet
726font "Comic Sans MS,8,1"
727)
728xt "16300,7500,23200,8600"
729st "dna_gen_tester"
730blo "16300,8400"
731)
732)
733gi *58 (GenericInterface
734uid 13,0
735ps "CenterOffsetStrategy"
736matrix (Matrix
737uid 14,0
738text (MLText
739uid 15,0
740va (VaSet
741)
742xt "-4500,3500,4400,4500"
743st "Generic Declarations"
744)
745header "Generic Declarations"
746showHdrWhenContentsEmpty 1
747)
748elements [
749]
750)
751portInstanceVisAsIs 1
752portInstanceVis (PortSigDisplay
753sTC 0
754sF 0
755)
756portVis (PortSigDisplay
757sTC 0
758sF 0
759)
760)
761*59 (Grouping
762uid 16,0
763optionalChildren [
764*60 (CommentText
765uid 18,0
766shape (Rectangle
767uid 19,0
768sl 0
769va (VaSet
770vasetType 1
771fg "65280,65280,46080"
772)
773xt "31000,50000,48000,51000"
774)
775oxt "18000,70000,35000,71000"
776text (MLText
777uid 20,0
778va (VaSet
779fg "0,0,32768"
780bg "0,0,32768"
781font "Arial,8,0"
782)
783xt "31200,50000,41000,51000"
784st "
785by %user on %dd %month %year
786"
787tm "CommentText"
788wrapOption 3
789visibleHeight 1000
790visibleWidth 17000
791)
792position 1
793ignorePrefs 1
794titleBlock 1
795)
796*61 (CommentText
797uid 21,0
798shape (Rectangle
799uid 22,0
800sl 0
801va (VaSet
802vasetType 1
803fg "65280,65280,46080"
804)
805xt "48000,46000,52000,47000"
806)
807oxt "35000,66000,39000,67000"
808text (MLText
809uid 23,0
810va (VaSet
811fg "0,0,32768"
812bg "0,0,32768"
813font "Arial,8,0"
814)
815xt "48200,46000,51200,47000"
816st "
817Project:
818"
819tm "CommentText"
820wrapOption 3
821visibleHeight 1000
822visibleWidth 4000
823)
824position 1
825ignorePrefs 1
826titleBlock 1
827)
828*62 (CommentText
829uid 24,0
830shape (Rectangle
831uid 25,0
832sl 0
833va (VaSet
834vasetType 1
835fg "65280,65280,46080"
836)
837xt "31000,48000,48000,49000"
838)
839oxt "18000,68000,35000,69000"
840text (MLText
841uid 26,0
842va (VaSet
843fg "0,0,32768"
844bg "0,0,32768"
845font "Arial,8,0"
846)
847xt "31200,48000,41200,49000"
848st "
849<enter diagram title here>
850"
851tm "CommentText"
852wrapOption 3
853visibleHeight 1000
854visibleWidth 17000
855)
856position 1
857ignorePrefs 1
858titleBlock 1
859)
860*63 (CommentText
861uid 27,0
862shape (Rectangle
863uid 28,0
864sl 0
865va (VaSet
866vasetType 1
867fg "65280,65280,46080"
868)
869xt "27000,48000,31000,49000"
870)
871oxt "14000,68000,18000,69000"
872text (MLText
873uid 29,0
874va (VaSet
875fg "0,0,32768"
876bg "0,0,32768"
877font "Arial,8,0"
878)
879xt "27200,48000,29300,49000"
880st "
881Title:
882"
883tm "CommentText"
884wrapOption 3
885visibleHeight 1000
886visibleWidth 4000
887)
888position 1
889ignorePrefs 1
890titleBlock 1
891)
892*64 (CommentText
893uid 30,0
894shape (Rectangle
895uid 31,0
896sl 0
897va (VaSet
898vasetType 1
899fg "65280,65280,46080"
900)
901xt "48000,47000,68000,51000"
902)
903oxt "35000,67000,55000,71000"
904text (MLText
905uid 32,0
906va (VaSet
907fg "0,0,32768"
908bg "0,0,32768"
909font "Arial,8,0"
910)
911xt "48200,47200,57400,48200"
912st "
913<enter comments here>
914"
915tm "CommentText"
916wrapOption 3
917visibleHeight 4000
918visibleWidth 20000
919)
920ignorePrefs 1
921titleBlock 1
922)
923*65 (CommentText
924uid 33,0
925shape (Rectangle
926uid 34,0
927sl 0
928va (VaSet
929vasetType 1
930fg "65280,65280,46080"
931)
932xt "52000,46000,68000,47000"
933)
934oxt "39000,66000,55000,67000"
935text (MLText
936uid 35,0
937va (VaSet
938fg "0,0,32768"
939bg "0,0,32768"
940font "Arial,8,0"
941)
942xt "52200,46000,56700,47000"
943st "
944%project_name
945"
946tm "CommentText"
947wrapOption 3
948visibleHeight 1000
949visibleWidth 16000
950)
951position 1
952ignorePrefs 1
953titleBlock 1
954)
955*66 (CommentText
956uid 36,0
957shape (Rectangle
958uid 37,0
959sl 0
960va (VaSet
961vasetType 1
962fg "65280,65280,46080"
963)
964xt "27000,46000,48000,48000"
965)
966oxt "14000,66000,35000,68000"
967text (MLText
968uid 38,0
969va (VaSet
970fg "32768,0,0"
971)
972xt "34200,46500,40800,47500"
973st "
974<company name>
975"
976ju 0
977tm "CommentText"
978wrapOption 3
979visibleHeight 2000
980visibleWidth 21000
981)
982position 1
983ignorePrefs 1
984titleBlock 1
985)
986*67 (CommentText
987uid 39,0
988shape (Rectangle
989uid 40,0
990sl 0
991va (VaSet
992vasetType 1
993fg "65280,65280,46080"
994)
995xt "27000,49000,31000,50000"
996)
997oxt "14000,69000,18000,70000"
998text (MLText
999uid 41,0
1000va (VaSet
1001fg "0,0,32768"
1002bg "0,0,32768"
1003font "Arial,8,0"
1004)
1005xt "27200,49000,29300,50000"
1006st "
1007Path:
1008"
1009tm "CommentText"
1010wrapOption 3
1011visibleHeight 1000
1012visibleWidth 4000
1013)
1014position 1
1015ignorePrefs 1
1016titleBlock 1
1017)
1018*68 (CommentText
1019uid 42,0
1020shape (Rectangle
1021uid 43,0
1022sl 0
1023va (VaSet
1024vasetType 1
1025fg "65280,65280,46080"
1026)
1027xt "27000,50000,31000,51000"
1028)
1029oxt "14000,70000,18000,71000"
1030text (MLText
1031uid 44,0
1032va (VaSet
1033fg "0,0,32768"
1034bg "0,0,32768"
1035font "Arial,8,0"
1036)
1037xt "27200,50000,29900,51000"
1038st "
1039Edited:
1040"
1041tm "CommentText"
1042wrapOption 3
1043visibleHeight 1000
1044visibleWidth 4000
1045)
1046position 1
1047ignorePrefs 1
1048titleBlock 1
1049)
1050*69 (CommentText
1051uid 45,0
1052shape (Rectangle
1053uid 46,0
1054sl 0
1055va (VaSet
1056vasetType 1
1057fg "65280,65280,46080"
1058)
1059xt "31000,49000,48000,50000"
1060)
1061oxt "18000,69000,35000,70000"
1062text (MLText
1063uid 47,0
1064va (VaSet
1065fg "0,0,32768"
1066bg "0,0,32768"
1067font "Arial,8,0"
1068)
1069xt "31200,49000,48000,50000"
1070st "
1071%library/%unit/%view
1072"
1073tm "CommentText"
1074wrapOption 3
1075visibleHeight 1000
1076visibleWidth 17000
1077)
1078position 1
1079ignorePrefs 1
1080titleBlock 1
1081)
1082]
1083shape (GroupingShape
1084uid 17,0
1085va (VaSet
1086vasetType 1
1087fg "65535,65535,65535"
1088lineStyle 2
1089lineWidth 2
1090)
1091xt "27000,46000,68000,51000"
1092)
1093oxt "14000,66000,55000,71000"
1094)
1095]
1096bg "65535,65535,65535"
1097grid (Grid
1098origin "0,0"
1099isVisible 1
1100isActive 1
1101xSpacing 1000
1102xySpacing 1000
1103xShown 1
1104yShown 1
1105color "26368,26368,26368"
1106)
1107packageList *70 (PackageList
1108uid 48,0
1109stg "VerticalLayoutStrategy"
1110textVec [
1111*71 (Text
1112uid 49,0
1113va (VaSet
1114font "arial,8,1"
1115)
1116xt "0,0,5400,1000"
1117st "Package List"
1118blo "0,800"
1119)
1120*72 (MLText
1121uid 50,0
1122va (VaSet
1123)
1124xt "0,1000,16700,7000"
1125st "LIBRARY IEEE;
1126USE IEEE.STD_LOGIC_1164.ALL;
1127USE IEEE.STD_LOGIC_ARITH.ALL;
1128USE IEEE.STD_LOGIC_UNSIGNED.ALL;
1129LIBRARY UNISIM;
1130USE UNISIM.VComponents.ALL;"
1131tm "PackageList"
1132)
1133]
1134)
1135windowSize "0,0,1015,690"
1136viewArea "0,0,0,0"
1137cachedDiagramExtent "0,0,0,0"
1138pageBreakOrigin "0,0"
1139defaultCommentText (CommentText
1140shape (Rectangle
1141layer 0
1142va (VaSet
1143vasetType 1
1144fg "65280,65280,46080"
1145lineColor "0,0,32768"
1146)
1147xt "0,0,15000,5000"
1148)
1149text (MLText
1150va (VaSet
1151fg "0,0,32768"
1152)
1153xt "200,200,2400,1200"
1154st "
1155Text
1156"
1157tm "CommentText"
1158wrapOption 3
1159visibleHeight 4600
1160visibleWidth 14600
1161)
1162)
1163defaultPanel (Panel
1164shape (RectFrame
1165va (VaSet
1166vasetType 1
1167fg "65535,65535,65535"
1168lineColor "32768,0,0"
1169lineWidth 3
1170)
1171xt "0,0,20000,20000"
1172)
1173title (TextAssociate
1174ps "TopLeftStrategy"
1175text (Text
1176va (VaSet
1177font "Comic Sans MS,8,1"
1178)
1179xt "1000,1000,3800,2100"
1180st "Panel0"
1181blo "1000,1900"
1182tm "PanelText"
1183)
1184)
1185)
1186parentGraphicsRef (HdmGraphicsRef
1187libraryName "FACT_FAD_TB_lib"
1188entityName "dna_gen_tb"
1189viewName "struct.bd"
1190)
1191defaultSymbolBody (SymbolBody
1192shape (Rectangle
1193va (VaSet
1194vasetType 1
1195fg "0,65535,0"
1196lineColor "0,32896,0"
1197lineWidth 2
1198)
1199xt "15000,6000,35000,26000"
1200)
1201biTextGroup (BiTextGroup
1202ps "CenterOffsetStrategy"
1203stg "VerticalLayoutStrategy"
1204first (Text
1205va (VaSet
1206font "Comic Sans MS,8,1"
1207)
1208xt "23000,14900,27000,16000"
1209st "<library>"
1210blo "23000,15800"
1211)
1212second (Text
1213va (VaSet
1214font "Comic Sans MS,8,1"
1215)
1216xt "23000,16000,25700,17100"
1217st "<cell>"
1218blo "23000,16900"
1219)
1220)
1221gi *73 (GenericInterface
1222ps "CenterOffsetStrategy"
1223matrix (Matrix
1224text (MLText
1225va (VaSet
1226)
1227xt "0,12000,8900,13000"
1228st "Generic Declarations"
1229)
1230header "Generic Declarations"
1231showHdrWhenContentsEmpty 1
1232)
1233elements [
1234]
1235)
1236portInstanceVisAsIs 1
1237portInstanceVis (PortSigDisplay
1238sIVOD 1
1239)
1240portVis (PortSigDisplay
1241sIVOD 1
1242)
1243)
1244defaultCptPort (CptPort
1245ps "OnEdgeStrategy"
1246shape (Triangle
1247ro 90
1248va (VaSet
1249vasetType 1
1250fg "0,65535,0"
1251)
1252xt "0,0,750,750"
1253)
1254tg (CPTG
1255ps "CptPortTextPlaceStrategy"
1256stg "VerticalLayoutStrategy"
1257f (Text
1258va (VaSet
1259)
1260xt "0,750,1700,1750"
1261st "In0"
1262blo "0,1550"
1263tm "CptPortNameMgr"
1264)
1265)
1266dt (MLText
1267va (VaSet
1268)
1269)
1270thePort (LogicalPort
1271decl (Decl
1272n "In0"
1273t "std_logic_vector"
1274b "(15 DOWNTO 0)"
1275o 0
1276)
1277)
1278)
1279defaultCptPortBuffer (CptPort
1280ps "OnEdgeStrategy"
1281shape (Diamond
1282va (VaSet
1283vasetType 1
1284fg "65535,65535,65535"
1285bg "0,0,0"
1286)
1287xt "0,0,750,750"
1288)
1289tg (CPTG
1290ps "CptPortTextPlaceStrategy"
1291stg "VerticalLayoutStrategy"
1292f (Text
1293va (VaSet
1294)
1295xt "0,750,3400,1750"
1296st "Buffer0"
1297blo "0,1550"
1298tm "CptPortNameMgr"
1299)
1300)
1301dt (MLText
1302va (VaSet
1303)
1304)
1305thePort (LogicalPort
1306m 3
1307decl (Decl
1308n "Buffer0"
1309t "std_logic_vector"
1310b "(15 DOWNTO 0)"
1311o 0
1312)
1313)
1314)
1315DeclarativeBlock *74 (SymDeclBlock
1316uid 1,0
1317stg "SymDeclLayoutStrategy"
1318declLabel (Text
1319uid 2,0
1320va (VaSet
1321font "Comic Sans MS,8,1"
1322)
1323xt "42000,0,47600,1100"
1324st "Declarations"
1325blo "42000,900"
1326)
1327portLabel (Text
1328uid 3,0
1329va (VaSet
1330font "Comic Sans MS,8,1"
1331)
1332xt "42000,1100,44800,2200"
1333st "Ports:"
1334blo "42000,2000"
1335)
1336externalLabel (Text
1337uid 4,0
1338va (VaSet
1339font "Comic Sans MS,8,1"
1340)
1341xt "42000,5200,44600,6300"
1342st "User:"
1343blo "42000,6100"
1344)
1345internalLabel (Text
1346uid 6,0
1347va (VaSet
1348isHidden 1
1349font "Comic Sans MS,8,1"
1350)
1351xt "42000,0,48400,1100"
1352st "Internal User:"
1353blo "42000,900"
1354)
1355externalText (MLText
1356uid 5,0
1357va (VaSet
1358)
1359xt "44000,6300,44000,6300"
1360tm "SyDeclarativeTextMgr"
1361)
1362internalText (MLText
1363uid 7,0
1364va (VaSet
1365isHidden 1
1366)
1367xt "42000,0,42000,0"
1368tm "SyDeclarativeTextMgr"
1369)
1370)
1371lastUid 165,0
1372activeModelName "Symbol:CDM"
1373)
Note: See TracBrowser for help on using the repository browser.