source: firmware/FAD/FACT_FAD_TB_lib/hds/spi_max6662_tb/struct.bd.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 53.5 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19(DmPackageRef
20library "FACT_FAD_lib"
21unitName "fad_definitions"
22)
23]
24instances [
25(Instance
26name "U_0"
27duLibraryName "FACT_FAD_lib"
28duName "spi_max6662"
29elements [
30]
31mwi 0
32uid 49,0
33)
34(Instance
35name "U_1"
36duLibraryName "FACT_FAD_TB_lib"
37duName "spi_max6662_tester"
38elements [
39]
40mwi 0
41uid 131,0
42)
43(Instance
44name "U_2"
45duLibraryName "FACT_FAD_TB_lib"
46duName "clock_generator"
47elements [
48(GiElement
49name "clock_period"
50type "time"
51value "20 ns"
52)
53(GiElement
54name "reset_time"
55type "time"
56value "50 ns"
57)
58]
59mwi 0
60uid 396,0
61)
62(Instance
63name "U_3"
64duLibraryName "FACT_FAD_TB_lib"
65duName "max6662_emulator"
66elements [
67(GiElement
68name "DRS_TEMPERATURE"
69type "integer"
70value "51"
71)
72]
73mwi 0
74uid 574,0
75)
76]
77embeddedInstances [
78(EmbeddedInstance
79name "eb1"
80number "1"
81)
82]
83libraryRefs [
84"ieee"
85"FACT_FAD_lib"
86]
87)
88version "29.1"
89appVersion "2009.2 (Build 10)"
90noEmbeddedEditors 1
91model (BlockDiag
92VExpander (VariableExpander
93vvMap [
94(vvPair
95variable "HDLDir"
96value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
97)
98(vvPair
99variable "HDSDir"
100value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
101)
102(vvPair
103variable "SideDataDesignDir"
104value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\struct.bd.info"
105)
106(vvPair
107variable "SideDataUserDir"
108value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\struct.bd.user"
109)
110(vvPair
111variable "SourceDir"
112value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
113)
114(vvPair
115variable "appl"
116value "HDL Designer"
117)
118(vvPair
119variable "arch_name"
120value "struct"
121)
122(vvPair
123variable "config"
124value "%(unit)_%(view)_config"
125)
126(vvPair
127variable "d"
128value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb"
129)
130(vvPair
131variable "d_logical"
132value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb"
133)
134(vvPair
135variable "date"
136value "03.02.2011"
137)
138(vvPair
139variable "day"
140value "Do"
141)
142(vvPair
143variable "day_long"
144value "Donnerstag"
145)
146(vvPair
147variable "dd"
148value "03"
149)
150(vvPair
151variable "entity_name"
152value "spi_max6662_tb"
153)
154(vvPair
155variable "ext"
156value "<TBD>"
157)
158(vvPair
159variable "f"
160value "struct.bd"
161)
162(vvPair
163variable "f_logical"
164value "struct.bd"
165)
166(vvPair
167variable "f_noext"
168value "struct"
169)
170(vvPair
171variable "group"
172value "UNKNOWN"
173)
174(vvPair
175variable "host"
176value "E5B-LABOR6"
177)
178(vvPair
179variable "language"
180value "VHDL"
181)
182(vvPair
183variable "library"
184value "FACT_FAD_TB_lib"
185)
186(vvPair
187variable "library_downstream_ISEPARInvoke"
188value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
189)
190(vvPair
191variable "library_downstream_ImpactInvoke"
192value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
193)
194(vvPair
195variable "library_downstream_ModelSimCompiler"
196value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
197)
198(vvPair
199variable "library_downstream_XSTDataPrep"
200value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
201)
202(vvPair
203variable "mm"
204value "02"
205)
206(vvPair
207variable "module_name"
208value "spi_max6662_tb"
209)
210(vvPair
211variable "month"
212value "Feb"
213)
214(vvPair
215variable "month_long"
216value "Februar"
217)
218(vvPair
219variable "p"
220value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\struct.bd"
221)
222(vvPair
223variable "p_logical"
224value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\struct.bd"
225)
226(vvPair
227variable "package_name"
228value "<Undefined Variable>"
229)
230(vvPair
231variable "project_name"
232value "FACT_FAD"
233)
234(vvPair
235variable "series"
236value "HDL Designer Series"
237)
238(vvPair
239variable "task_DesignCompilerPath"
240value "<TBD>"
241)
242(vvPair
243variable "task_LeonardoPath"
244value "<TBD>"
245)
246(vvPair
247variable "task_ModelSimPath"
248value "C:\\modeltech_6.6a\\win32"
249)
250(vvPair
251variable "task_NC-SimPath"
252value "<TBD>"
253)
254(vvPair
255variable "task_PrecisionRTLPath"
256value "<TBD>"
257)
258(vvPair
259variable "task_QuestaSimPath"
260value "<TBD>"
261)
262(vvPair
263variable "task_VCSPath"
264value "<TBD>"
265)
266(vvPair
267variable "this_ext"
268value "bd"
269)
270(vvPair
271variable "this_file"
272value "struct"
273)
274(vvPair
275variable "this_file_logical"
276value "struct"
277)
278(vvPair
279variable "time"
280value "18:29:41"
281)
282(vvPair
283variable "unit"
284value "spi_max6662_tb"
285)
286(vvPair
287variable "user"
288value "dneise"
289)
290(vvPair
291variable "version"
292value "2009.2 (Build 10)"
293)
294(vvPair
295variable "view"
296value "struct"
297)
298(vvPair
299variable "year"
300value "2011"
301)
302(vvPair
303variable "yy"
304value "11"
305)
306]
307)
308LanguageMgr "VhdlLangMgr"
309uid 274,0
310optionalChildren [
311*1 (SaComponent
312uid 49,0
313optionalChildren [
314*2 (CptPort
315uid 13,0
316ps "OnEdgeStrategy"
317shape (Triangle
318uid 14,0
319ro 90
320va (VaSet
321vasetType 1
322fg "0,65535,0"
323)
324xt "-750,14625,0,15375"
325)
326tg (CPTG
327uid 15,0
328ps "CptPortTextPlaceStrategy"
329stg "VerticalLayoutStrategy"
330f (Text
331uid 16,0
332va (VaSet
333)
334xt "1000,14500,2300,15500"
335st "clk"
336blo "1000,15300"
337)
338)
339thePort (LogicalPort
340decl (Decl
341n "clk"
342t "std_logic"
343o 1
344)
345)
346)
347*3 (CptPort
348uid 17,0
349ps "OnEdgeStrategy"
350shape (Triangle
351uid 18,0
352ro 90
353va (VaSet
354vasetType 1
355fg "0,65535,0"
356)
357xt "16000,14625,16750,15375"
358)
359tg (CPTG
360uid 19,0
361ps "CptPortTextPlaceStrategy"
362stg "RightVerticalLayoutStrategy"
363f (Text
364uid 20,0
365va (VaSet
366)
367xt "12600,14500,15000,15500"
368st "SCLK"
369ju 2
370blo "15000,15300"
371)
372)
373thePort (LogicalPort
374m 1
375decl (Decl
376n "SCLK"
377t "std_logic"
378o 2
379i "'0'"
380)
381)
382)
383*4 (CptPort
384uid 21,0
385ps "OnEdgeStrategy"
386shape (Triangle
387uid 22,0
388ro 90
389va (VaSet
390vasetType 1
391fg "0,65535,0"
392)
393xt "16000,15625,16750,16375"
394)
395tg (CPTG
396uid 23,0
397ps "CptPortTextPlaceStrategy"
398stg "RightVerticalLayoutStrategy"
399f (Text
400uid 24,0
401va (VaSet
402)
403xt "13500,15500,15000,16500"
404st "CS"
405ju 2
406blo "15000,16300"
407)
408)
409thePort (LogicalPort
410m 1
411decl (Decl
412n "CS"
413t "std_logic"
414o 3
415i "'1'"
416)
417)
418)
419*5 (CptPort
420uid 25,0
421ps "OnEdgeStrategy"
422shape (Triangle
423uid 26,0
424ro 90
425va (VaSet
426vasetType 1
427fg "0,65535,0"
428)
429xt "16000,16625,16750,17375"
430)
431tg (CPTG
432uid 27,0
433ps "CptPortTextPlaceStrategy"
434stg "RightVerticalLayoutStrategy"
435f (Text
436uid 28,0
437va (VaSet
438)
439xt "12600,16500,15000,17500"
440st "MOSI"
441ju 2
442blo "15000,17300"
443)
444)
445thePort (LogicalPort
446m 1
447decl (Decl
448n "MOSI"
449t "std_logic"
450o 4
451i "'0'"
452)
453)
454)
455*6 (CptPort
456uid 29,0
457ps "OnEdgeStrategy"
458shape (Diamond
459uid 30,0
460ro 90
461va (VaSet
462vasetType 1
463fg "0,65535,0"
464)
465xt "16000,17625,16750,18375"
466)
467tg (CPTG
468uid 31,0
469ps "CptPortTextPlaceStrategy"
470stg "RightVerticalLayoutStrategy"
471f (Text
472uid 32,0
473va (VaSet
474)
475xt "12600,17500,15000,18500"
476st "MISO"
477ju 2
478blo "15000,18300"
479)
480)
481thePort (LogicalPort
482m 2
483decl (Decl
484n "MISO"
485t "std_logic"
486o 5
487i "'Z'"
488)
489)
490)
491*7 (CptPort
492uid 33,0
493ps "OnEdgeStrategy"
494shape (Triangle
495uid 34,0
496ro 90
497va (VaSet
498vasetType 1
499fg "0,65535,0"
500)
501xt "-750,15625,0,16375"
502)
503tg (CPTG
504uid 35,0
505ps "CptPortTextPlaceStrategy"
506stg "VerticalLayoutStrategy"
507f (Text
508uid 36,0
509va (VaSet
510)
511xt "1000,15500,8400,16500"
512st "read_temp_register"
513blo "1000,16300"
514)
515)
516thePort (LogicalPort
517decl (Decl
518n "read_temp_register"
519t "std_logic"
520o 6
521)
522)
523)
524*8 (CptPort
525uid 37,0
526ps "OnEdgeStrategy"
527shape (Triangle
528uid 38,0
529ro 90
530va (VaSet
531vasetType 1
532fg "0,65535,0"
533)
534xt "16000,18625,16750,19375"
535)
536tg (CPTG
537uid 39,0
538ps "CptPortTextPlaceStrategy"
539stg "RightVerticalLayoutStrategy"
540f (Text
541uid 40,0
542va (VaSet
543)
544xt "10200,18500,15000,19500"
545st "data : (15:0)"
546ju 2
547blo "15000,19300"
548)
549)
550thePort (LogicalPort
551m 1
552decl (Decl
553n "data"
554t "std_logic_vector"
555b "(15 downto 0)"
556o 7
557i "(others => '0')"
558)
559)
560)
561*9 (CptPort
562uid 41,0
563ps "OnEdgeStrategy"
564shape (Triangle
565uid 42,0
566ro 90
567va (VaSet
568vasetType 1
569fg "0,65535,0"
570)
571xt "16000,19625,16750,20375"
572)
573tg (CPTG
574uid 43,0
575ps "CptPortTextPlaceStrategy"
576stg "RightVerticalLayoutStrategy"
577f (Text
578uid 44,0
579va (VaSet
580)
581xt "12800,19500,15000,20500"
582st "ready"
583ju 2
584blo "15000,20300"
585)
586)
587thePort (LogicalPort
588m 1
589decl (Decl
590n "ready"
591t "std_logic"
592o 8
593i "'0'"
594)
595)
596)
597*10 (CptPort
598uid 45,0
599ps "OnEdgeStrategy"
600shape (Triangle
601uid 46,0
602ro 90
603va (VaSet
604vasetType 1
605fg "0,65535,0"
606)
607xt "16000,20625,16750,21375"
608)
609tg (CPTG
610uid 47,0
611ps "CptPortTextPlaceStrategy"
612stg "RightVerticalLayoutStrategy"
613f (Text
614uid 48,0
615va (VaSet
616)
617xt "13100,20500,15000,21500"
618st "busy"
619ju 2
620blo "15000,21300"
621)
622)
623thePort (LogicalPort
624m 1
625decl (Decl
626n "busy"
627t "std_logic"
628o 9
629i "'1'"
630)
631)
632)
633]
634shape (Rectangle
635uid 50,0
636va (VaSet
637vasetType 1
638fg "0,65535,0"
639lineColor "0,32896,0"
640lineWidth 2
641)
642xt "0,14000,16000,22000"
643)
644ttg (MlTextGroup
645uid 51,0
646ps "CenterOffsetStrategy"
647stg "VerticalLayoutStrategy"
648textVec [
649*11 (Text
650uid 52,0
651va (VaSet
652font "Arial,8,1"
653)
654xt "4900,22000,11100,23000"
655st "FACT_FAD_lib"
656blo "4900,22800"
657tm "BdLibraryNameMgr"
658)
659*12 (Text
660uid 53,0
661va (VaSet
662font "Arial,8,1"
663)
664xt "4900,23000,10300,24000"
665st "spi_max6662"
666blo "4900,23800"
667tm "CptNameMgr"
668)
669*13 (Text
670uid 54,0
671va (VaSet
672font "Arial,8,1"
673)
674xt "4900,24000,6700,25000"
675st "U_0"
676blo "4900,24800"
677tm "InstanceNameMgr"
678)
679]
680)
681ga (GenericAssociation
682uid 55,0
683ps "EdgeToEdgeStrategy"
684matrix (Matrix
685uid 56,0
686text (MLText
687uid 57,0
688va (VaSet
689font "Courier New,8,0"
690)
691xt "0,12400,20000,14000"
692)
693header ""
694)
695elements [
696]
697)
698viewicon (ZoomableIcon
699uid 58,0
700sl 0
701va (VaSet
702vasetType 1
703fg "49152,49152,49152"
704)
705xt "250,20250,1750,21750"
706iconName "VhdlFileViewIcon.png"
707iconMaskName "VhdlFileViewIcon.msk"
708ftype 10
709)
710ordering 1
711viewiconposition 0
712portVis (PortSigDisplay
713)
714archType 1
715archFileType "UNKNOWN"
716)
717*14 (Net
718uid 59,0
719lang 10
720decl (Decl
721n "SCLK"
722t "std_logic"
723o 1
724suid 1,0
725)
726declText (MLText
727uid 60,0
728va (VaSet
729font "Courier New,8,0"
730)
731xt "22000,4600,42000,5400"
732st "SIGNAL SCLK : std_logic"
733)
734)
735*15 (Net
736uid 67,0
737lang 10
738decl (Decl
739n "CS"
740t "std_logic"
741o 2
742suid 2,0
743)
744declText (MLText
745uid 68,0
746va (VaSet
747font "Courier New,8,0"
748)
749xt "22000,3000,42000,3800"
750st "SIGNAL CS : std_logic"
751)
752)
753*16 (Net
754uid 75,0
755decl (Decl
756n "MOSI"
757t "std_logic"
758o 3
759suid 3,0
760i "'0'"
761)
762declText (MLText
763uid 76,0
764va (VaSet
765font "Courier New,8,0"
766)
767xt "22000,3800,46000,4600"
768st "SIGNAL MOSI : std_logic := '0'"
769)
770)
771*17 (Net
772uid 91,0
773lang 10
774decl (Decl
775n "data"
776t "std_logic_vector"
777b "(15 DOWNTO 0)"
778o 5
779suid 5,0
780)
781declText (MLText
782uid 92,0
783va (VaSet
784font "Courier New,8,0"
785)
786xt "22000,7000,52500,7800"
787st "SIGNAL data : std_logic_vector(15 DOWNTO 0)"
788)
789)
790*18 (Net
791uid 99,0
792lang 10
793decl (Decl
794n "ready"
795t "std_logic"
796o 6
797suid 6,0
798)
799declText (MLText
800uid 100,0
801va (VaSet
802font "Courier New,8,0"
803)
804xt "22000,8600,42000,9400"
805st "SIGNAL ready : std_logic"
806)
807)
808*19 (Net
809uid 107,0
810lang 10
811decl (Decl
812n "busy"
813t "std_logic"
814o 7
815suid 7,0
816)
817declText (MLText
818uid 108,0
819va (VaSet
820font "Courier New,8,0"
821)
822xt "22000,5400,42000,6200"
823st "SIGNAL busy : std_logic"
824)
825)
826*20 (Net
827uid 115,0
828decl (Decl
829n "clk"
830t "std_logic"
831o 8
832suid 8,0
833)
834declText (MLText
835uid 116,0
836va (VaSet
837font "Courier New,8,0"
838)
839xt "22000,6200,42000,7000"
840st "SIGNAL clk : std_logic"
841)
842)
843*21 (Net
844uid 123,0
845decl (Decl
846n "read_temp_register"
847t "std_logic"
848o 9
849suid 9,0
850)
851declText (MLText
852uid 124,0
853va (VaSet
854font "Courier New,8,0"
855)
856xt "22000,7800,42000,8600"
857st "SIGNAL read_temp_register : std_logic"
858)
859)
860*22 (Blk
861uid 131,0
862shape (Rectangle
863uid 132,0
864va (VaSet
865vasetType 1
866fg "39936,56832,65280"
867lineColor "0,0,32768"
868lineWidth 2
869)
870xt "38000,12000,54000,20000"
871)
872ttg (MlTextGroup
873uid 133,0
874ps "CenterOffsetStrategy"
875stg "VerticalLayoutStrategy"
876textVec [
877*23 (Text
878uid 134,0
879va (VaSet
880font "Arial,8,1"
881)
882xt "42150,14500,49850,15500"
883st "FACT_FAD_TB_lib"
884blo "42150,15300"
885tm "BdLibraryNameMgr"
886)
887*24 (Text
888uid 135,0
889va (VaSet
890font "Arial,8,1"
891)
892xt "42150,15500,50050,16500"
893st "spi_max6662_tester"
894blo "42150,16300"
895tm "BlkNameMgr"
896)
897*25 (Text
898uid 136,0
899va (VaSet
900font "Arial,8,1"
901)
902xt "42150,16500,43950,17500"
903st "U_1"
904blo "42150,17300"
905tm "InstanceNameMgr"
906)
907]
908)
909ga (GenericAssociation
910uid 137,0
911ps "EdgeToEdgeStrategy"
912matrix (Matrix
913uid 138,0
914text (MLText
915uid 139,0
916va (VaSet
917font "Courier New,8,0"
918)
919xt "42150,24500,42150,24500"
920)
921header ""
922)
923elements [
924]
925)
926viewicon (ZoomableIcon
927uid 140,0
928sl 0
929va (VaSet
930vasetType 1
931fg "49152,49152,49152"
932)
933xt "38250,18250,39750,19750"
934iconName "VhdlFileViewIcon.png"
935iconMaskName "VhdlFileViewIcon.msk"
936ftype 10
937)
938ordering 1
939viewiconposition 0
940blkPorts [
941"CS"
942"MOSI"
943"SCLK"
944"busy"
945"data"
946"ready"
947"read_temp_register"
948]
949)
950*26 (Grouping
951uid 213,0
952optionalChildren [
953*27 (CommentText
954uid 215,0
955shape (Rectangle
956uid 216,0
957sl 0
958va (VaSet
959vasetType 1
960fg "65280,65280,46080"
961)
962xt "25000,48000,42000,49000"
963)
964oxt "18000,70000,35000,71000"
965text (MLText
966uid 217,0
967va (VaSet
968fg "0,0,32768"
969bg "0,0,32768"
970)
971xt "25200,48000,34800,49000"
972st "
973by %user on %dd %month %year
974"
975tm "CommentText"
976wrapOption 3
977visibleHeight 1000
978visibleWidth 17000
979)
980position 1
981ignorePrefs 1
982titleBlock 1
983)
984*28 (CommentText
985uid 218,0
986shape (Rectangle
987uid 219,0
988sl 0
989va (VaSet
990vasetType 1
991fg "65280,65280,46080"
992)
993xt "42000,44000,46000,45000"
994)
995oxt "35000,66000,39000,67000"
996text (MLText
997uid 220,0
998va (VaSet
999fg "0,0,32768"
1000bg "0,0,32768"
1001)
1002xt "42200,44000,45200,45000"
1003st "
1004Project:
1005"
1006tm "CommentText"
1007wrapOption 3
1008visibleHeight 1000
1009visibleWidth 4000
1010)
1011position 1
1012ignorePrefs 1
1013titleBlock 1
1014)
1015*29 (CommentText
1016uid 221,0
1017shape (Rectangle
1018uid 222,0
1019sl 0
1020va (VaSet
1021vasetType 1
1022fg "65280,65280,46080"
1023)
1024xt "25000,46000,42000,47000"
1025)
1026oxt "18000,68000,35000,69000"
1027text (MLText
1028uid 223,0
1029va (VaSet
1030fg "0,0,32768"
1031bg "0,0,32768"
1032)
1033xt "25200,46000,35200,47000"
1034st "
1035<enter diagram title here>
1036"
1037tm "CommentText"
1038wrapOption 3
1039visibleHeight 1000
1040visibleWidth 17000
1041)
1042position 1
1043ignorePrefs 1
1044titleBlock 1
1045)
1046*30 (CommentText
1047uid 224,0
1048shape (Rectangle
1049uid 225,0
1050sl 0
1051va (VaSet
1052vasetType 1
1053fg "65280,65280,46080"
1054)
1055xt "21000,46000,25000,47000"
1056)
1057oxt "14000,68000,18000,69000"
1058text (MLText
1059uid 226,0
1060va (VaSet
1061fg "0,0,32768"
1062bg "0,0,32768"
1063)
1064xt "21200,46000,23300,47000"
1065st "
1066Title:
1067"
1068tm "CommentText"
1069wrapOption 3
1070visibleHeight 1000
1071visibleWidth 4000
1072)
1073position 1
1074ignorePrefs 1
1075titleBlock 1
1076)
1077*31 (CommentText
1078uid 227,0
1079shape (Rectangle
1080uid 228,0
1081sl 0
1082va (VaSet
1083vasetType 1
1084fg "65280,65280,46080"
1085)
1086xt "42000,45000,62000,49000"
1087)
1088oxt "35000,67000,55000,71000"
1089text (MLText
1090uid 229,0
1091va (VaSet
1092fg "0,0,32768"
1093bg "0,0,32768"
1094)
1095xt "42200,45200,51400,46200"
1096st "
1097<enter comments here>
1098"
1099tm "CommentText"
1100wrapOption 3
1101visibleHeight 4000
1102visibleWidth 20000
1103)
1104ignorePrefs 1
1105titleBlock 1
1106)
1107*32 (CommentText
1108uid 230,0
1109shape (Rectangle
1110uid 231,0
1111sl 0
1112va (VaSet
1113vasetType 1
1114fg "65280,65280,46080"
1115)
1116xt "46000,44000,62000,45000"
1117)
1118oxt "39000,66000,55000,67000"
1119text (MLText
1120uid 232,0
1121va (VaSet
1122fg "0,0,32768"
1123bg "0,0,32768"
1124)
1125xt "46200,44000,50700,45000"
1126st "
1127%project_name
1128"
1129tm "CommentText"
1130wrapOption 3
1131visibleHeight 1000
1132visibleWidth 16000
1133)
1134position 1
1135ignorePrefs 1
1136titleBlock 1
1137)
1138*33 (CommentText
1139uid 233,0
1140shape (Rectangle
1141uid 234,0
1142sl 0
1143va (VaSet
1144vasetType 1
1145fg "65280,65280,46080"
1146)
1147xt "21000,44000,42000,46000"
1148)
1149oxt "14000,66000,35000,68000"
1150text (MLText
1151uid 235,0
1152va (VaSet
1153fg "32768,0,0"
1154)
1155xt "28150,44500,34850,45500"
1156st "
1157<company name>
1158"
1159ju 0
1160tm "CommentText"
1161wrapOption 3
1162visibleHeight 2000
1163visibleWidth 21000
1164)
1165position 1
1166ignorePrefs 1
1167titleBlock 1
1168)
1169*34 (CommentText
1170uid 236,0
1171shape (Rectangle
1172uid 237,0
1173sl 0
1174va (VaSet
1175vasetType 1
1176fg "65280,65280,46080"
1177)
1178xt "21000,47000,25000,48000"
1179)
1180oxt "14000,69000,18000,70000"
1181text (MLText
1182uid 238,0
1183va (VaSet
1184fg "0,0,32768"
1185bg "0,0,32768"
1186)
1187xt "21200,47000,23300,48000"
1188st "
1189Path:
1190"
1191tm "CommentText"
1192wrapOption 3
1193visibleHeight 1000
1194visibleWidth 4000
1195)
1196position 1
1197ignorePrefs 1
1198titleBlock 1
1199)
1200*35 (CommentText
1201uid 239,0
1202shape (Rectangle
1203uid 240,0
1204sl 0
1205va (VaSet
1206vasetType 1
1207fg "65280,65280,46080"
1208)
1209xt "21000,48000,25000,49000"
1210)
1211oxt "14000,70000,18000,71000"
1212text (MLText
1213uid 241,0
1214va (VaSet
1215fg "0,0,32768"
1216bg "0,0,32768"
1217)
1218xt "21200,48000,23900,49000"
1219st "
1220Edited:
1221"
1222tm "CommentText"
1223wrapOption 3
1224visibleHeight 1000
1225visibleWidth 4000
1226)
1227position 1
1228ignorePrefs 1
1229titleBlock 1
1230)
1231*36 (CommentText
1232uid 242,0
1233shape (Rectangle
1234uid 243,0
1235sl 0
1236va (VaSet
1237vasetType 1
1238fg "65280,65280,46080"
1239)
1240xt "25000,47000,42000,48000"
1241)
1242oxt "18000,69000,35000,70000"
1243text (MLText
1244uid 244,0
1245va (VaSet
1246fg "0,0,32768"
1247bg "0,0,32768"
1248)
1249xt "25200,47000,40700,48000"
1250st "
1251%library/%unit/%view
1252"
1253tm "CommentText"
1254wrapOption 3
1255visibleHeight 1000
1256visibleWidth 17000
1257)
1258position 1
1259ignorePrefs 1
1260titleBlock 1
1261)
1262]
1263shape (GroupingShape
1264uid 214,0
1265va (VaSet
1266vasetType 1
1267fg "65535,65535,65535"
1268lineStyle 2
1269lineWidth 2
1270)
1271xt "21000,44000,62000,49000"
1272)
1273oxt "14000,66000,55000,71000"
1274)
1275*37 (SaComponent
1276uid 396,0
1277optionalChildren [
1278*38 (CptPort
1279uid 385,0
1280ps "OnEdgeStrategy"
1281shape (Triangle
1282uid 386,0
1283ro 90
1284va (VaSet
1285vasetType 1
1286fg "0,65535,0"
1287)
1288xt "4000,35625,4750,36375"
1289)
1290tg (CPTG
1291uid 387,0
1292ps "CptPortTextPlaceStrategy"
1293stg "RightVerticalLayoutStrategy"
1294f (Text
1295uid 388,0
1296va (VaSet
1297)
1298xt "1700,35500,3000,36500"
1299st "clk"
1300ju 2
1301blo "3000,36300"
1302)
1303)
1304thePort (LogicalPort
1305m 1
1306decl (Decl
1307n "clk"
1308t "std_logic"
1309preAdd 0
1310posAdd 0
1311o 1
1312suid 1,0
1313i "'0'"
1314)
1315)
1316)
1317*39 (CptPort
1318uid 389,0
1319ps "OnEdgeStrategy"
1320shape (Triangle
1321uid 390,0
1322ro 90
1323va (VaSet
1324vasetType 1
1325fg "0,65535,0"
1326)
1327xt "4000,36625,4750,37375"
1328)
1329tg (CPTG
1330uid 391,0
1331ps "CptPortTextPlaceStrategy"
1332stg "RightVerticalLayoutStrategy"
1333f (Text
1334uid 392,0
1335va (VaSet
1336)
1337xt "1700,36500,3000,37500"
1338st "rst"
1339ju 2
1340blo "3000,37300"
1341)
1342)
1343thePort (LogicalPort
1344m 1
1345decl (Decl
1346n "rst"
1347t "std_logic"
1348preAdd 0
1349posAdd 0
1350o 2
1351suid 2,0
1352i "'0'"
1353)
1354)
1355)
1356*40 (CommentText
1357uid 393,0
1358ps "EdgeToEdgeStrategy"
1359shape (Rectangle
1360uid 394,0
1361layer 0
1362va (VaSet
1363vasetType 1
1364fg "65280,65280,46080"
1365lineColor "0,0,32768"
1366)
1367xt "-8000,43000,7000,47000"
1368)
1369oxt "21500,4000,36500,8000"
1370text (MLText
1371uid 395,0
1372va (VaSet
1373fg "0,0,32768"
1374)
1375xt "-7800,43200,2000,44200"
1376st "
1377-- synthesis translate_off
1378"
1379tm "CommentText"
1380wrapOption 3
1381visibleHeight 4000
1382visibleWidth 15000
1383)
1384included 1
1385excludeCommentLeader 1
1386)
1387]
1388shape (Rectangle
1389uid 397,0
1390va (VaSet
1391vasetType 1
1392fg "0,49152,49152"
1393lineColor "0,0,50000"
1394lineWidth 2
1395)
1396xt "-4000,35000,4000,39000"
1397)
1398oxt "22000,15000,30000,19000"
1399ttg (MlTextGroup
1400uid 398,0
1401ps "CenterOffsetStrategy"
1402stg "VerticalLayoutStrategy"
1403textVec [
1404*41 (Text
1405uid 399,0
1406va (VaSet
1407font "Arial,8,1"
1408)
1409xt "-3850,39000,3850,40000"
1410st "FACT_FAD_TB_lib"
1411blo "-3850,39800"
1412tm "BdLibraryNameMgr"
1413)
1414*42 (Text
1415uid 400,0
1416va (VaSet
1417font "Arial,8,1"
1418)
1419xt "-3850,40000,2850,41000"
1420st "clock_generator"
1421blo "-3850,40800"
1422tm "CptNameMgr"
1423)
1424*43 (Text
1425uid 401,0
1426va (VaSet
1427font "Arial,8,1"
1428)
1429xt "-3850,41000,-2050,42000"
1430st "U_2"
1431blo "-3850,41800"
1432tm "InstanceNameMgr"
1433)
1434]
1435)
1436ga (GenericAssociation
1437uid 402,0
1438ps "EdgeToEdgeStrategy"
1439matrix (Matrix
1440uid 403,0
1441text (MLText
1442uid 404,0
1443va (VaSet
1444font "Courier New,8,0"
1445)
1446xt "-7000,33400,11500,35000"
1447st "clock_period = 20 ns ( time )
1448reset_time = 50 ns ( time ) "
1449)
1450header ""
1451)
1452elements [
1453(GiElement
1454name "clock_period"
1455type "time"
1456value "20 ns"
1457)
1458(GiElement
1459name "reset_time"
1460type "time"
1461value "50 ns"
1462)
1463]
1464)
1465viewicon (ZoomableIcon
1466uid 405,0
1467sl 0
1468va (VaSet
1469vasetType 1
1470fg "49152,49152,49152"
1471)
1472xt "-3750,37250,-2250,38750"
1473iconName "VhdlFileViewIcon.png"
1474iconMaskName "VhdlFileViewIcon.msk"
1475ftype 10
1476)
1477ordering 1
1478viewiconposition 0
1479portVis (PortSigDisplay
1480)
1481archFileType "UNKNOWN"
1482)
1483*44 (SaComponent
1484uid 574,0
1485optionalChildren [
1486*45 (CptPort
1487uid 562,0
1488ps "OnEdgeStrategy"
1489shape (Triangle
1490uid 563,0
1491ro 90
1492va (VaSet
1493vasetType 1
1494fg "0,65535,0"
1495)
1496xt "32250,31625,33000,32375"
1497)
1498tg (CPTG
1499uid 564,0
1500ps "CptPortTextPlaceStrategy"
1501stg "VerticalLayoutStrategy"
1502f (Text
1503uid 565,0
1504va (VaSet
1505)
1506xt "34000,31500,35700,32500"
1507st "sclk"
1508blo "34000,32300"
1509)
1510)
1511thePort (LogicalPort
1512decl (Decl
1513n "sclk"
1514t "std_logic"
1515preAdd 0
1516posAdd 0
1517o 1
1518suid 1,0
1519)
1520)
1521)
1522*46 (CptPort
1523uid 566,0
1524ps "OnEdgeStrategy"
1525shape (Diamond
1526uid 567,0
1527ro 90
1528va (VaSet
1529vasetType 1
1530fg "0,65535,0"
1531)
1532xt "43000,31625,43750,32375"
1533)
1534tg (CPTG
1535uid 568,0
1536ps "CptPortTextPlaceStrategy"
1537stg "RightVerticalLayoutStrategy"
1538f (Text
1539uid 569,0
1540va (VaSet
1541)
1542xt "40600,31500,42000,32500"
1543st "sio"
1544ju 2
1545blo "42000,32300"
1546)
1547)
1548thePort (LogicalPort
1549m 2
1550decl (Decl
1551n "sio"
1552t "std_logic"
1553preAdd 0
1554posAdd 0
1555o 2
1556suid 2,0
1557)
1558)
1559)
1560*47 (CptPort
1561uid 570,0
1562ps "OnEdgeStrategy"
1563shape (Triangle
1564uid 571,0
1565ro 90
1566va (VaSet
1567vasetType 1
1568fg "0,65535,0"
1569)
1570xt "32250,34625,33000,35375"
1571)
1572tg (CPTG
1573uid 572,0
1574ps "CptPortTextPlaceStrategy"
1575stg "VerticalLayoutStrategy"
1576f (Text
1577uid 573,0
1578va (VaSet
1579)
1580xt "34000,34500,40500,35500"
1581st "sensor_cs : (3:0)"
1582blo "34000,35300"
1583)
1584)
1585thePort (LogicalPort
1586decl (Decl
1587n "sensor_cs"
1588t "std_logic_vector"
1589b "(3 downto 0)"
1590preAdd 0
1591posAdd 0
1592o 3
1593suid 3,0
1594)
1595)
1596)
1597]
1598shape (Rectangle
1599uid 575,0
1600va (VaSet
1601vasetType 1
1602fg "0,65535,0"
1603lineColor "0,32896,0"
1604lineWidth 2
1605)
1606xt "33000,30000,43000,40000"
1607)
1608oxt "30000,3000,40000,13000"
1609ttg (MlTextGroup
1610uid 576,0
1611ps "CenterOffsetStrategy"
1612stg "VerticalLayoutStrategy"
1613textVec [
1614*48 (Text
1615uid 577,0
1616va (VaSet
1617font "Arial,8,1"
1618)
1619xt "34200,35000,41900,36000"
1620st "FACT_FAD_TB_lib"
1621blo "34200,35800"
1622tm "BdLibraryNameMgr"
1623)
1624*49 (Text
1625uid 578,0
1626va (VaSet
1627font "Arial,8,1"
1628)
1629xt "34200,36000,41800,37000"
1630st "max6662_emulator"
1631blo "34200,36800"
1632tm "CptNameMgr"
1633)
1634*50 (Text
1635uid 579,0
1636va (VaSet
1637font "Arial,8,1"
1638)
1639xt "34200,37000,36000,38000"
1640st "U_3"
1641blo "34200,37800"
1642tm "InstanceNameMgr"
1643)
1644]
1645)
1646ga (GenericAssociation
1647uid 580,0
1648ps "EdgeToEdgeStrategy"
1649matrix (Matrix
1650uid 581,0
1651text (MLText
1652uid 582,0
1653va (VaSet
1654font "Courier New,8,0"
1655)
1656xt "33000,28200,53000,29000"
1657st "DRS_TEMPERATURE = 51 ( integer ) "
1658)
1659header ""
1660)
1661elements [
1662(GiElement
1663name "DRS_TEMPERATURE"
1664type "integer"
1665value "51"
1666)
1667]
1668)
1669viewicon (ZoomableIcon
1670uid 583,0
1671sl 0
1672va (VaSet
1673vasetType 1
1674fg "49152,49152,49152"
1675)
1676xt "33250,38250,34750,39750"
1677iconName "VhdlFileViewIcon.png"
1678iconMaskName "VhdlFileViewIcon.msk"
1679ftype 10
1680)
1681ordering 1
1682viewiconposition 0
1683portVis (PortSigDisplay
1684sIVOD 1
1685)
1686archFileType "UNKNOWN"
1687)
1688*51 (Net
1689uid 584,0
1690decl (Decl
1691n "sensor_cs"
1692t "std_logic_vector"
1693b "(3 downto 0)"
1694preAdd 0
1695posAdd 0
1696o 10
1697suid 10,0
1698)
1699declText (MLText
1700uid 585,0
1701va (VaSet
1702font "Courier New,8,0"
1703)
1704xt "22000,9400,52000,10200"
1705st "SIGNAL sensor_cs : std_logic_vector(3 downto 0)"
1706)
1707)
1708*52 (HdlText
1709uid 592,0
1710optionalChildren [
1711*53 (EmbeddedText
1712uid 598,0
1713commentText (CommentText
1714uid 599,0
1715ps "CenterOffsetStrategy"
1716shape (Rectangle
1717uid 600,0
1718va (VaSet
1719vasetType 1
1720fg "65535,65535,65535"
1721lineColor "0,0,32768"
1722lineWidth 2
1723)
1724xt "1000,30000,19000,35000"
1725)
1726oxt "0,0,18000,5000"
1727text (MLText
1728uid 601,0
1729va (VaSet
1730)
1731xt "1200,30200,18700,32200"
1732st "
1733sensor_cs <= (CS,CS,CS,CS);
1734"
1735tm "HdlTextMgr"
1736wrapOption 3
1737visibleHeight 4600
1738visibleWidth 17600
1739)
1740)
1741)
1742]
1743shape (Rectangle
1744uid 593,0
1745va (VaSet
1746vasetType 1
1747fg "65535,65535,37120"
1748lineColor "0,0,32768"
1749lineWidth 2
1750)
1751xt "6000,26000,9000,30000"
1752)
1753oxt "0,0,8000,10000"
1754ttg (MlTextGroup
1755uid 594,0
1756ps "CenterOffsetStrategy"
1757stg "VerticalLayoutStrategy"
1758textVec [
1759*54 (Text
1760uid 595,0
1761va (VaSet
1762font "Arial,8,1"
1763)
1764xt "7150,27000,8850,28000"
1765st "eb1"
1766blo "7150,27800"
1767tm "HdlTextNameMgr"
1768)
1769*55 (Text
1770uid 596,0
1771va (VaSet
1772font "Arial,8,1"
1773)
1774xt "7150,28000,7950,29000"
1775st "1"
1776blo "7150,28800"
1777tm "HdlTextNumberMgr"
1778)
1779]
1780)
1781viewicon (ZoomableIcon
1782uid 597,0
1783sl 0
1784va (VaSet
1785vasetType 1
1786fg "49152,49152,49152"
1787)
1788xt "6250,28250,7750,29750"
1789iconName "TextFile.png"
1790iconMaskName "TextFile.msk"
1791ftype 21
1792)
1793viewiconposition 0
1794)
1795*56 (Net
1796uid 749,0
1797decl (Decl
1798n "sio"
1799t "std_logic"
1800preAdd 0
1801posAdd 0
1802o 10
1803suid 14,0
1804)
1805declText (MLText
1806uid 750,0
1807va (VaSet
1808font "Courier New,8,0"
1809)
1810xt "22000,10200,42000,11000"
1811st "SIGNAL sio : std_logic"
1812)
1813)
1814*57 (Wire
1815uid 61,0
1816shape (OrthoPolyLine
1817uid 62,0
1818va (VaSet
1819vasetType 3
1820)
1821xt "16750,15000,32250,32000"
1822pts [
1823"16750,15000"
1824"20000,15000"
1825"20000,32000"
1826"32250,32000"
1827]
1828)
1829start &3
1830end &45
1831sat 32
1832eat 32
1833st 0
1834sf 1
1835si 0
1836tg (WTG
1837uid 65,0
1838ps "ConnStartEndStrategy"
1839stg "STSignalDisplayStrategy"
1840f (Text
1841uid 66,0
1842va (VaSet
1843)
1844xt "18750,14000,21150,15000"
1845st "SCLK"
1846blo "18750,14800"
1847tm "WireNameMgr"
1848)
1849)
1850on &14
1851)
1852*58 (Wire
1853uid 69,0
1854shape (OrthoPolyLine
1855uid 70,0
1856va (VaSet
1857vasetType 3
1858)
1859xt "16750,16000,24000,16000"
1860pts [
1861"16750,16000"
1862"24000,16000"
1863]
1864)
1865start &4
1866sat 32
1867eat 16
1868st 0
1869sf 1
1870si 0
1871tg (WTG
1872uid 73,0
1873ps "ConnStartEndStrategy"
1874stg "STSignalDisplayStrategy"
1875f (Text
1876uid 74,0
1877va (VaSet
1878)
1879xt "18000,15000,19500,16000"
1880st "CS"
1881blo "18000,15800"
1882tm "WireNameMgr"
1883)
1884)
1885on &15
1886)
1887*59 (Wire
1888uid 77,0
1889shape (OrthoPolyLine
1890uid 78,0
1891va (VaSet
1892vasetType 3
1893)
1894xt "16750,17000,24000,17000"
1895pts [
1896"16750,17000"
1897"24000,17000"
1898]
1899)
1900start &5
1901sat 32
1902eat 16
1903st 0
1904sf 1
1905si 0
1906tg (WTG
1907uid 81,0
1908ps "ConnStartEndStrategy"
1909stg "STSignalDisplayStrategy"
1910f (Text
1911uid 82,0
1912va (VaSet
1913)
1914xt "18000,16000,20400,17000"
1915st "MOSI"
1916blo "18000,16800"
1917tm "WireNameMgr"
1918)
1919)
1920on &16
1921)
1922*60 (Wire
1923uid 93,0
1924shape (OrthoPolyLine
1925uid 94,0
1926va (VaSet
1927vasetType 3
1928lineWidth 2
1929)
1930xt "16750,19000,24000,19000"
1931pts [
1932"16750,19000"
1933"24000,19000"
1934]
1935)
1936start &8
1937sat 32
1938eat 16
1939sty 1
1940st 0
1941sf 1
1942si 0
1943tg (WTG
1944uid 97,0
1945ps "ConnStartEndStrategy"
1946stg "STSignalDisplayStrategy"
1947f (Text
1948uid 98,0
1949va (VaSet
1950)
1951xt "18000,18000,22800,19000"
1952st "data : (15:0)"
1953blo "18000,18800"
1954tm "WireNameMgr"
1955)
1956)
1957on &17
1958)
1959*61 (Wire
1960uid 101,0
1961shape (OrthoPolyLine
1962uid 102,0
1963va (VaSet
1964vasetType 3
1965)
1966xt "16750,20000,24000,20000"
1967pts [
1968"16750,20000"
1969"24000,20000"
1970]
1971)
1972start &9
1973sat 32
1974eat 16
1975st 0
1976sf 1
1977si 0
1978tg (WTG
1979uid 105,0
1980ps "ConnStartEndStrategy"
1981stg "STSignalDisplayStrategy"
1982f (Text
1983uid 106,0
1984va (VaSet
1985)
1986xt "18000,19000,20200,20000"
1987st "ready"
1988blo "18000,19800"
1989tm "WireNameMgr"
1990)
1991)
1992on &18
1993)
1994*62 (Wire
1995uid 109,0
1996shape (OrthoPolyLine
1997uid 110,0
1998va (VaSet
1999vasetType 3
2000)
2001xt "16750,21000,24000,21000"
2002pts [
2003"16750,21000"
2004"24000,21000"
2005]
2006)
2007start &10
2008sat 32
2009eat 16
2010st 0
2011sf 1
2012si 0
2013tg (WTG
2014uid 113,0
2015ps "ConnStartEndStrategy"
2016stg "STSignalDisplayStrategy"
2017f (Text
2018uid 114,0
2019va (VaSet
2020)
2021xt "18000,20000,19900,21000"
2022st "busy"
2023blo "18000,20800"
2024tm "WireNameMgr"
2025)
2026)
2027on &19
2028)
2029*63 (Wire
2030uid 117,0
2031shape (OrthoPolyLine
2032uid 118,0
2033va (VaSet
2034vasetType 3
2035)
2036xt "-10000,15000,-750,15000"
2037pts [
2038"-10000,15000"
2039"-750,15000"
2040]
2041)
2042end &2
2043sat 16
2044eat 32
2045st 0
2046sf 1
2047si 0
2048tg (WTG
2049uid 121,0
2050ps "ConnStartEndStrategy"
2051stg "STSignalDisplayStrategy"
2052f (Text
2053uid 122,0
2054va (VaSet
2055)
2056xt "-9000,14000,-7700,15000"
2057st "clk"
2058blo "-9000,14800"
2059tm "WireNameMgr"
2060)
2061)
2062on &20
2063)
2064*64 (Wire
2065uid 125,0
2066shape (OrthoPolyLine
2067uid 126,0
2068va (VaSet
2069vasetType 3
2070)
2071xt "-10000,16000,-750,16000"
2072pts [
2073"-10000,16000"
2074"-750,16000"
2075]
2076)
2077end &7
2078sat 16
2079eat 32
2080st 0
2081sf 1
2082si 0
2083tg (WTG
2084uid 129,0
2085ps "ConnStartEndStrategy"
2086stg "STSignalDisplayStrategy"
2087f (Text
2088uid 130,0
2089va (VaSet
2090)
2091xt "-9000,15000,-1600,16000"
2092st "read_temp_register"
2093blo "-9000,15800"
2094tm "WireNameMgr"
2095)
2096)
2097on &21
2098)
2099*65 (Wire
2100uid 141,0
2101shape (OrthoPolyLine
2102uid 142,0
2103va (VaSet
2104vasetType 3
2105lineWidth 2
2106)
2107xt "54000,17000,62000,17000"
2108pts [
2109"54000,17000"
2110"62000,17000"
2111]
2112)
2113start &22
2114sat 1
2115eat 16
2116sty 1
2117st 0
2118sf 1
2119si 0
2120tg (WTG
2121uid 147,0
2122ps "ConnStartEndStrategy"
2123stg "STSignalDisplayStrategy"
2124f (Text
2125uid 148,0
2126va (VaSet
2127)
2128xt "56000,16000,60800,17000"
2129st "data : (15:0)"
2130blo "56000,16800"
2131tm "WireNameMgr"
2132)
2133)
2134on &17
2135)
2136*66 (Wire
2137uid 149,0
2138shape (OrthoPolyLine
2139uid 150,0
2140va (VaSet
2141vasetType 3
2142)
2143xt "54000,19000,62000,19000"
2144pts [
2145"54000,19000"
2146"62000,19000"
2147]
2148)
2149start &22
2150sat 1
2151eat 16
2152st 0
2153sf 1
2154si 0
2155tg (WTG
2156uid 155,0
2157ps "ConnStartEndStrategy"
2158stg "STSignalDisplayStrategy"
2159f (Text
2160uid 156,0
2161va (VaSet
2162)
2163xt "55000,18000,56900,19000"
2164st "busy"
2165blo "55000,18800"
2166tm "WireNameMgr"
2167)
2168)
2169on &19
2170)
2171*67 (Wire
2172uid 157,0
2173shape (OrthoPolyLine
2174uid 158,0
2175va (VaSet
2176vasetType 3
2177)
2178xt "54000,18000,62000,18000"
2179pts [
2180"54000,18000"
2181"62000,18000"
2182]
2183)
2184start &22
2185sat 1
2186eat 16
2187st 0
2188sf 1
2189si 0
2190tg (WTG
2191uid 163,0
2192ps "ConnStartEndStrategy"
2193stg "STSignalDisplayStrategy"
2194f (Text
2195uid 164,0
2196va (VaSet
2197)
2198xt "55000,17000,57200,18000"
2199st "ready"
2200blo "55000,17800"
2201tm "WireNameMgr"
2202)
2203)
2204on &18
2205)
2206*68 (Wire
2207uid 165,0
2208shape (OrthoPolyLine
2209uid 166,0
2210va (VaSet
2211vasetType 3
2212)
2213xt "54000,14000,62000,14000"
2214pts [
2215"54000,14000"
2216"62000,14000"
2217]
2218)
2219start &22
2220sat 1
2221eat 16
2222st 0
2223sf 1
2224si 0
2225tg (WTG
2226uid 171,0
2227ps "ConnStartEndStrategy"
2228stg "STSignalDisplayStrategy"
2229f (Text
2230uid 172,0
2231va (VaSet
2232)
2233xt "55000,13000,56500,14000"
2234st "CS"
2235blo "55000,13800"
2236tm "WireNameMgr"
2237)
2238)
2239on &15
2240)
2241*69 (Wire
2242uid 173,0
2243shape (OrthoPolyLine
2244uid 174,0
2245va (VaSet
2246vasetType 3
2247)
2248xt "54000,13000,62000,13000"
2249pts [
2250"54000,13000"
2251"62000,13000"
2252]
2253)
2254start &22
2255sat 1
2256eat 16
2257st 0
2258sf 1
2259si 0
2260tg (WTG
2261uid 179,0
2262ps "ConnStartEndStrategy"
2263stg "STSignalDisplayStrategy"
2264f (Text
2265uid 180,0
2266va (VaSet
2267)
2268xt "55000,12000,57400,13000"
2269st "SCLK"
2270blo "55000,12800"
2271tm "WireNameMgr"
2272)
2273)
2274on &14
2275)
2276*70 (Wire
2277uid 205,0
2278shape (OrthoPolyLine
2279uid 206,0
2280va (VaSet
2281vasetType 3
2282)
2283xt "28000,14000,38000,14000"
2284pts [
2285"28000,14000"
2286"38000,14000"
2287]
2288)
2289end &22
2290sat 16
2291eat 2
2292st 0
2293sf 1
2294si 0
2295tg (WTG
2296uid 211,0
2297ps "ConnStartEndStrategy"
2298stg "STSignalDisplayStrategy"
2299f (Text
2300uid 212,0
2301va (VaSet
2302)
2303xt "30000,13000,37400,14000"
2304st "read_temp_register"
2305blo "30000,13800"
2306tm "WireNameMgr"
2307)
2308)
2309on &21
2310)
2311*71 (Wire
2312uid 406,0
2313shape (OrthoPolyLine
2314uid 407,0
2315va (VaSet
2316vasetType 3
2317)
2318xt "4750,36000,8000,36000"
2319pts [
2320"4750,36000"
2321"8000,36000"
2322]
2323)
2324start &38
2325sat 32
2326eat 16
2327st 0
2328sf 1
2329si 0
2330tg (WTG
2331uid 410,0
2332ps "ConnStartEndStrategy"
2333stg "STSignalDisplayStrategy"
2334f (Text
2335uid 411,0
2336va (VaSet
2337)
2338xt "6000,35000,7300,36000"
2339st "clk"
2340blo "6000,35800"
2341tm "WireNameMgr"
2342)
2343)
2344on &20
2345)
2346*72 (Wire
2347uid 602,0
2348shape (OrthoPolyLine
2349uid 603,0
2350va (VaSet
2351vasetType 3
2352)
2353xt "4000,27000,6000,27000"
2354pts [
2355"4000,27000"
2356"6000,27000"
2357]
2358)
2359end &52
2360sat 16
2361eat 1
2362st 0
2363sf 1
2364si 0
2365tg (WTG
2366uid 608,0
2367ps "ConnStartEndStrategy"
2368stg "STSignalDisplayStrategy"
2369f (Text
2370uid 609,0
2371va (VaSet
2372)
2373xt "6000,26000,7500,27000"
2374st "CS"
2375blo "6000,26800"
2376tm "WireNameMgr"
2377)
2378)
2379on &15
2380)
2381*73 (Wire
2382uid 626,0
2383shape (OrthoPolyLine
2384uid 627,0
2385va (VaSet
2386vasetType 3
2387lineWidth 2
2388)
2389xt "9000,27000,32250,35000"
2390pts [
2391"32250,35000"
2392"19000,35000"
2393"19000,27000"
2394"9000,27000"
2395]
2396)
2397start &47
2398end &52
2399sat 32
2400eat 2
2401sty 1
2402stc 0
2403st 0
2404sf 1
2405si 0
2406tg (WTG
2407uid 630,0
2408ps "ConnStartEndStrategy"
2409stg "STSignalDisplayStrategy"
2410f (Text
2411uid 631,0
2412ro 270
2413va (VaSet
2414)
2415xt "23000,30100,24000,34000"
2416st "sensor_cs"
2417blo "23800,34000"
2418tm "WireNameMgr"
2419)
2420)
2421on &51
2422)
2423*74 (Wire
2424uid 644,0
2425shape (OrthoPolyLine
2426uid 645,0
2427va (VaSet
2428vasetType 3
2429)
2430xt "28000,15000,38000,15000"
2431pts [
2432"28000,15000"
2433"38000,15000"
2434]
2435)
2436end &22
2437sat 16
2438eat 1
2439st 0
2440sf 1
2441si 0
2442tg (WTG
2443uid 650,0
2444ps "ConnStartEndStrategy"
2445stg "STSignalDisplayStrategy"
2446f (Text
2447uid 651,0
2448va (VaSet
2449)
2450xt "30000,14000,32400,15000"
2451st "MOSI"
2452blo "30000,14800"
2453tm "WireNameMgr"
2454)
2455)
2456on &16
2457)
2458*75 (Wire
2459uid 751,0
2460shape (OrthoPolyLine
2461uid 752,0
2462va (VaSet
2463vasetType 3
2464)
2465xt "16750,18000,45000,32000"
2466pts [
2467"43750,32000"
2468"44000,32000"
2469"44000,29000"
2470"45000,29000"
2471"45000,23000"
2472"32000,23000"
2473"32000,18000"
2474"16750,18000"
2475]
2476)
2477start &46
2478end &6
2479sat 32
2480eat 32
2481st 0
2482sf 1
2483tg (WTG
2484uid 755,0
2485ps "ConnStartEndStrategy"
2486stg "STSignalDisplayStrategy"
2487f (Text
2488uid 756,0
2489va (VaSet
2490)
2491xt "45750,31000,47150,32000"
2492st "sio"
2493blo "45750,31800"
2494tm "WireNameMgr"
2495)
2496)
2497on &56
2498)
2499]
2500bg "65535,65535,65535"
2501grid (Grid
2502origin "0,0"
2503isVisible 1
2504isActive 1
2505xSpacing 1000
2506xySpacing 1000
2507xShown 1
2508yShown 1
2509color "26368,26368,26368"
2510)
2511packageList *76 (PackageList
2512uid 263,0
2513stg "VerticalLayoutStrategy"
2514textVec [
2515*77 (Text
2516uid 264,0
2517va (VaSet
2518font "arial,8,1"
2519)
2520xt "0,0,5400,1000"
2521st "Package List"
2522blo "0,800"
2523)
2524*78 (MLText
2525uid 265,0
2526va (VaSet
2527)
2528xt "0,1000,14500,7000"
2529st "LIBRARY ieee;
2530USE ieee.std_logic_1164.ALL;
2531USE ieee.std_logic_arith.ALL;
2532USE ieee.std_logic_unsigned.all;
2533LIBRARY FACT_FAD_lib;
2534USE FACT_FAD_lib.fad_definitions.all;"
2535tm "PackageList"
2536)
2537]
2538)
2539compDirBlock (MlTextGroup
2540uid 266,0
2541stg "VerticalLayoutStrategy"
2542textVec [
2543*79 (Text
2544uid 267,0
2545va (VaSet
2546isHidden 1
2547font "Arial,8,1"
2548)
2549xt "20000,0,28100,1000"
2550st "Compiler Directives"
2551blo "20000,800"
2552)
2553*80 (Text
2554uid 268,0
2555va (VaSet
2556isHidden 1
2557font "Arial,8,1"
2558)
2559xt "20000,1000,29600,2000"
2560st "Pre-module directives:"
2561blo "20000,1800"
2562)
2563*81 (MLText
2564uid 269,0
2565va (VaSet
2566isHidden 1
2567)
2568xt "20000,2000,27500,4000"
2569st "`resetall
2570`timescale 1ns/10ps"
2571tm "BdCompilerDirectivesTextMgr"
2572)
2573*82 (Text
2574uid 270,0
2575va (VaSet
2576isHidden 1
2577font "Arial,8,1"
2578)
2579xt "20000,4000,30100,5000"
2580st "Post-module directives:"
2581blo "20000,4800"
2582)
2583*83 (MLText
2584uid 271,0
2585va (VaSet
2586isHidden 1
2587)
2588xt "20000,0,20000,0"
2589tm "BdCompilerDirectivesTextMgr"
2590)
2591*84 (Text
2592uid 272,0
2593va (VaSet
2594isHidden 1
2595font "Arial,8,1"
2596)
2597xt "20000,5000,29900,6000"
2598st "End-module directives:"
2599blo "20000,5800"
2600)
2601*85 (MLText
2602uid 273,0
2603va (VaSet
2604isHidden 1
2605)
2606xt "20000,6000,20000,6000"
2607tm "BdCompilerDirectivesTextMgr"
2608)
2609]
2610associable 1
2611)
2612windowSize "0,22,1281,1024"
2613viewArea "2648,6530,64186,56004"
2614cachedDiagramExtent "-10400,0,62400,49000"
2615hasePageBreakOrigin 1
2616pageBreakOrigin "-11000,0"
2617lastUid 926,0
2618defaultCommentText (CommentText
2619shape (Rectangle
2620layer 0
2621va (VaSet
2622vasetType 1
2623fg "65280,65280,46080"
2624lineColor "0,0,32768"
2625)
2626xt "0,0,15000,5000"
2627)
2628text (MLText
2629va (VaSet
2630fg "0,0,32768"
2631)
2632xt "200,200,2000,1200"
2633st "
2634Text
2635"
2636tm "CommentText"
2637wrapOption 3
2638visibleHeight 4600
2639visibleWidth 14600
2640)
2641)
2642defaultPanel (Panel
2643shape (RectFrame
2644va (VaSet
2645vasetType 1
2646fg "65535,65535,65535"
2647lineColor "32768,0,0"
2648lineWidth 3
2649)
2650xt "0,0,20000,20000"
2651)
2652title (TextAssociate
2653ps "TopLeftStrategy"
2654text (Text
2655va (VaSet
2656font "Arial,8,1"
2657)
2658xt "1000,1000,3800,2000"
2659st "Panel0"
2660blo "1000,1800"
2661tm "PanelText"
2662)
2663)
2664)
2665defaultBlk (Blk
2666shape (Rectangle
2667va (VaSet
2668vasetType 1
2669fg "39936,56832,65280"
2670lineColor "0,0,32768"
2671lineWidth 2
2672)
2673xt "0,0,8000,10000"
2674)
2675ttg (MlTextGroup
2676ps "CenterOffsetStrategy"
2677stg "VerticalLayoutStrategy"
2678textVec [
2679*86 (Text
2680va (VaSet
2681font "Arial,8,1"
2682)
2683xt "2200,3500,5800,4500"
2684st "<library>"
2685blo "2200,4300"
2686tm "BdLibraryNameMgr"
2687)
2688*87 (Text
2689va (VaSet
2690font "Arial,8,1"
2691)
2692xt "2200,4500,5600,5500"
2693st "<block>"
2694blo "2200,5300"
2695tm "BlkNameMgr"
2696)
2697*88 (Text
2698va (VaSet
2699font "Arial,8,1"
2700)
2701xt "2200,5500,4000,6500"
2702st "U_0"
2703blo "2200,6300"
2704tm "InstanceNameMgr"
2705)
2706]
2707)
2708ga (GenericAssociation
2709ps "EdgeToEdgeStrategy"
2710matrix (Matrix
2711text (MLText
2712va (VaSet
2713font "Courier New,8,0"
2714)
2715xt "2200,13500,2200,13500"
2716)
2717header ""
2718)
2719elements [
2720]
2721)
2722viewicon (ZoomableIcon
2723sl 0
2724va (VaSet
2725vasetType 1
2726fg "49152,49152,49152"
2727)
2728xt "0,0,1500,1500"
2729iconName "UnknownFile.png"
2730iconMaskName "UnknownFile.msk"
2731)
2732viewiconposition 0
2733)
2734defaultMWComponent (MWC
2735shape (Rectangle
2736va (VaSet
2737vasetType 1
2738fg "0,65535,0"
2739lineColor "0,32896,0"
2740lineWidth 2
2741)
2742xt "0,0,8000,10000"
2743)
2744ttg (MlTextGroup
2745ps "CenterOffsetStrategy"
2746stg "VerticalLayoutStrategy"
2747textVec [
2748*89 (Text
2749va (VaSet
2750font "Arial,8,1"
2751)
2752xt "550,3500,3450,4500"
2753st "Library"
2754blo "550,4300"
2755)
2756*90 (Text
2757va (VaSet
2758font "Arial,8,1"
2759)
2760xt "550,4500,7450,5500"
2761st "MWComponent"
2762blo "550,5300"
2763)
2764*91 (Text
2765va (VaSet
2766font "Arial,8,1"
2767)
2768xt "550,5500,2350,6500"
2769st "U_0"
2770blo "550,6300"
2771tm "InstanceNameMgr"
2772)
2773]
2774)
2775ga (GenericAssociation
2776ps "EdgeToEdgeStrategy"
2777matrix (Matrix
2778text (MLText
2779va (VaSet
2780font "Courier New,8,0"
2781)
2782xt "-6450,1500,-6450,1500"
2783)
2784header ""
2785)
2786elements [
2787]
2788)
2789portVis (PortSigDisplay
2790)
2791prms (Property
2792pclass "params"
2793pname "params"
2794ptn "String"
2795)
2796visOptions (mwParamsVisibilityOptions
2797)
2798)
2799defaultSaComponent (SaComponent
2800shape (Rectangle
2801va (VaSet
2802vasetType 1
2803fg "0,65535,0"
2804lineColor "0,32896,0"
2805lineWidth 2
2806)
2807xt "0,0,8000,10000"
2808)
2809ttg (MlTextGroup
2810ps "CenterOffsetStrategy"
2811stg "VerticalLayoutStrategy"
2812textVec [
2813*92 (Text
2814va (VaSet
2815font "Arial,8,1"
2816)
2817xt "900,3500,3800,4500"
2818st "Library"
2819blo "900,4300"
2820tm "BdLibraryNameMgr"
2821)
2822*93 (Text
2823va (VaSet
2824font "Arial,8,1"
2825)
2826xt "900,4500,7100,5500"
2827st "SaComponent"
2828blo "900,5300"
2829tm "CptNameMgr"
2830)
2831*94 (Text
2832va (VaSet
2833font "Arial,8,1"
2834)
2835xt "900,5500,2700,6500"
2836st "U_0"
2837blo "900,6300"
2838tm "InstanceNameMgr"
2839)
2840]
2841)
2842ga (GenericAssociation
2843ps "EdgeToEdgeStrategy"
2844matrix (Matrix
2845text (MLText
2846va (VaSet
2847font "Courier New,8,0"
2848)
2849xt "-6100,1500,-6100,1500"
2850)
2851header ""
2852)
2853elements [
2854]
2855)
2856viewicon (ZoomableIcon
2857sl 0
2858va (VaSet
2859vasetType 1
2860fg "49152,49152,49152"
2861)
2862xt "0,0,1500,1500"
2863iconName "UnknownFile.png"
2864iconMaskName "UnknownFile.msk"
2865)
2866viewiconposition 0
2867portVis (PortSigDisplay
2868)
2869archFileType "UNKNOWN"
2870)
2871defaultVhdlComponent (VhdlComponent
2872shape (Rectangle
2873va (VaSet
2874vasetType 1
2875fg "0,65535,0"
2876lineColor "0,32896,0"
2877lineWidth 2
2878)
2879xt "0,0,8000,10000"
2880)
2881ttg (MlTextGroup
2882ps "CenterOffsetStrategy"
2883stg "VerticalLayoutStrategy"
2884textVec [
2885*95 (Text
2886va (VaSet
2887font "Arial,8,1"
2888)
2889xt "500,3500,3400,4500"
2890st "Library"
2891blo "500,4300"
2892)
2893*96 (Text
2894va (VaSet
2895font "Arial,8,1"
2896)
2897xt "500,4500,7500,5500"
2898st "VhdlComponent"
2899blo "500,5300"
2900)
2901*97 (Text
2902va (VaSet
2903font "Arial,8,1"
2904)
2905xt "500,5500,2300,6500"
2906st "U_0"
2907blo "500,6300"
2908tm "InstanceNameMgr"
2909)
2910]
2911)
2912ga (GenericAssociation
2913ps "EdgeToEdgeStrategy"
2914matrix (Matrix
2915text (MLText
2916va (VaSet
2917font "Courier New,8,0"
2918)
2919xt "-6500,1500,-6500,1500"
2920)
2921header ""
2922)
2923elements [
2924]
2925)
2926portVis (PortSigDisplay
2927)
2928entityPath ""
2929archName ""
2930archPath ""
2931)
2932defaultVerilogComponent (VerilogComponent
2933shape (Rectangle
2934va (VaSet
2935vasetType 1
2936fg "0,65535,0"
2937lineColor "0,32896,0"
2938lineWidth 2
2939)
2940xt "-450,0,8450,10000"
2941)
2942ttg (MlTextGroup
2943ps "CenterOffsetStrategy"
2944stg "VerticalLayoutStrategy"
2945textVec [
2946*98 (Text
2947va (VaSet
2948font "Arial,8,1"
2949)
2950xt "50,3500,2950,4500"
2951st "Library"
2952blo "50,4300"
2953)
2954*99 (Text
2955va (VaSet
2956font "Arial,8,1"
2957)
2958xt "50,4500,7950,5500"
2959st "VerilogComponent"
2960blo "50,5300"
2961)
2962*100 (Text
2963va (VaSet
2964font "Arial,8,1"
2965)
2966xt "50,5500,1850,6500"
2967st "U_0"
2968blo "50,6300"
2969tm "InstanceNameMgr"
2970)
2971]
2972)
2973ga (GenericAssociation
2974ps "EdgeToEdgeStrategy"
2975matrix (Matrix
2976text (MLText
2977va (VaSet
2978font "Courier New,8,0"
2979)
2980xt "-6950,1500,-6950,1500"
2981)
2982header ""
2983)
2984elements [
2985]
2986)
2987entityPath ""
2988)
2989defaultHdlText (HdlText
2990shape (Rectangle
2991va (VaSet
2992vasetType 1
2993fg "65535,65535,37120"
2994lineColor "0,0,32768"
2995lineWidth 2
2996)
2997xt "0,0,8000,10000"
2998)
2999ttg (MlTextGroup
3000ps "CenterOffsetStrategy"
3001stg "VerticalLayoutStrategy"
3002textVec [
3003*101 (Text
3004va (VaSet
3005font "Arial,8,1"
3006)
3007xt "3150,4000,4850,5000"
3008st "eb1"
3009blo "3150,4800"
3010tm "HdlTextNameMgr"
3011)
3012*102 (Text
3013va (VaSet
3014font "Arial,8,1"
3015)
3016xt "3150,5000,3950,6000"
3017st "1"
3018blo "3150,5800"
3019tm "HdlTextNumberMgr"
3020)
3021]
3022)
3023viewicon (ZoomableIcon
3024sl 0
3025va (VaSet
3026vasetType 1
3027fg "49152,49152,49152"
3028)
3029xt "0,0,1500,1500"
3030iconName "UnknownFile.png"
3031iconMaskName "UnknownFile.msk"
3032)
3033viewiconposition 0
3034)
3035defaultEmbeddedText (EmbeddedText
3036commentText (CommentText
3037ps "CenterOffsetStrategy"
3038shape (Rectangle
3039va (VaSet
3040vasetType 1
3041fg "65535,65535,65535"
3042lineColor "0,0,32768"
3043lineWidth 2
3044)
3045xt "0,0,18000,5000"
3046)
3047text (MLText
3048va (VaSet
3049)
3050xt "200,200,2000,1200"
3051st "
3052Text
3053"
3054tm "HdlTextMgr"
3055wrapOption 3
3056visibleHeight 4600
3057visibleWidth 17600
3058)
3059)
3060)
3061defaultGlobalConnector (GlobalConnector
3062shape (Circle
3063va (VaSet
3064vasetType 1
3065fg "65535,65535,0"
3066)
3067xt "-1000,-1000,1000,1000"
3068radius 1000
3069)
3070name (Text
3071va (VaSet
3072font "Arial,8,1"
3073)
3074xt "-500,-500,500,500"
3075st "G"
3076blo "-500,300"
3077)
3078)
3079defaultRipper (Ripper
3080ps "OnConnectorStrategy"
3081shape (Line2D
3082pts [
3083"0,0"
3084"1000,1000"
3085]
3086va (VaSet
3087vasetType 1
3088)
3089xt "0,0,1000,1000"
3090)
3091)
3092defaultBdJunction (BdJunction
3093ps "OnConnectorStrategy"
3094shape (Circle
3095va (VaSet
3096vasetType 1
3097)
3098xt "-400,-400,400,400"
3099radius 400
3100)
3101)
3102defaultPortIoIn (PortIoIn
3103shape (CompositeShape
3104va (VaSet
3105vasetType 1
3106fg "0,0,32768"
3107)
3108optionalChildren [
3109(Pentagon
3110sl 0
3111ro 270
3112xt "-2000,-375,-500,375"
3113)
3114(Line
3115sl 0
3116ro 270
3117xt "-500,0,0,0"
3118pts [
3119"-500,0"
3120"0,0"
3121]
3122)
3123]
3124)
3125stc 0
3126sf 1
3127tg (WTG
3128ps "PortIoTextPlaceStrategy"
3129stg "STSignalDisplayStrategy"
3130f (Text
3131va (VaSet
3132)
3133xt "-1375,-1000,-1375,-1000"
3134ju 2
3135blo "-1375,-1000"
3136tm "WireNameMgr"
3137)
3138)
3139)
3140defaultPortIoOut (PortIoOut
3141shape (CompositeShape
3142va (VaSet
3143vasetType 1
3144fg "0,0,32768"
3145)
3146optionalChildren [
3147(Pentagon
3148sl 0
3149ro 270
3150xt "500,-375,2000,375"
3151)
3152(Line
3153sl 0
3154ro 270
3155xt "0,0,500,0"
3156pts [
3157"0,0"
3158"500,0"
3159]
3160)
3161]
3162)
3163stc 0
3164sf 1
3165tg (WTG
3166ps "PortIoTextPlaceStrategy"
3167stg "STSignalDisplayStrategy"
3168f (Text
3169va (VaSet
3170)
3171xt "625,-1000,625,-1000"
3172blo "625,-1000"
3173tm "WireNameMgr"
3174)
3175)
3176)
3177defaultPortIoInOut (PortIoInOut
3178shape (CompositeShape
3179va (VaSet
3180vasetType 1
3181fg "0,0,32768"
3182)
3183optionalChildren [
3184(Hexagon
3185sl 0
3186xt "500,-375,2000,375"
3187)
3188(Line
3189sl 0
3190xt "0,0,500,0"
3191pts [
3192"0,0"
3193"500,0"
3194]
3195)
3196]
3197)
3198stc 0
3199sf 1
3200tg (WTG
3201ps "PortIoTextPlaceStrategy"
3202stg "STSignalDisplayStrategy"
3203f (Text
3204va (VaSet
3205)
3206xt "0,-375,0,-375"
3207blo "0,-375"
3208tm "WireNameMgr"
3209)
3210)
3211)
3212defaultPortIoBuffer (PortIoBuffer
3213shape (CompositeShape
3214va (VaSet
3215vasetType 1
3216fg "65535,65535,65535"
3217lineColor "0,0,32768"
3218)
3219optionalChildren [
3220(Hexagon
3221sl 0
3222xt "500,-375,2000,375"
3223)
3224(Line
3225sl 0
3226xt "0,0,500,0"
3227pts [
3228"0,0"
3229"500,0"
3230]
3231)
3232]
3233)
3234stc 0
3235sf 1
3236tg (WTG
3237ps "PortIoTextPlaceStrategy"
3238stg "STSignalDisplayStrategy"
3239f (Text
3240va (VaSet
3241)
3242xt "0,-375,0,-375"
3243blo "0,-375"
3244tm "WireNameMgr"
3245)
3246)
3247)
3248defaultSignal (Wire
3249shape (OrthoPolyLine
3250va (VaSet
3251vasetType 3
3252)
3253pts [
3254"0,0"
3255"0,0"
3256]
3257)
3258ss 0
3259es 0
3260sat 32
3261eat 32
3262st 0
3263sf 1
3264si 0
3265tg (WTG
3266ps "ConnStartEndStrategy"
3267stg "STSignalDisplayStrategy"
3268f (Text
3269va (VaSet
3270)
3271xt "0,0,1900,1000"
3272st "sig0"
3273blo "0,800"
3274tm "WireNameMgr"
3275)
3276)
3277)
3278defaultBus (Wire
3279shape (OrthoPolyLine
3280va (VaSet
3281vasetType 3
3282lineWidth 2
3283)
3284pts [
3285"0,0"
3286"0,0"
3287]
3288)
3289ss 0
3290es 0
3291sat 32
3292eat 32
3293sty 1
3294st 0
3295sf 1
3296si 0
3297tg (WTG
3298ps "ConnStartEndStrategy"
3299stg "STSignalDisplayStrategy"
3300f (Text
3301va (VaSet
3302)
3303xt "0,0,2400,1000"
3304st "dbus0"
3305blo "0,800"
3306tm "WireNameMgr"
3307)
3308)
3309)
3310defaultBundle (Bundle
3311shape (OrthoPolyLine
3312va (VaSet
3313vasetType 3
3314lineColor "32768,0,0"
3315lineWidth 2
3316)
3317pts [
3318"0,0"
3319"0,0"
3320]
3321)
3322ss 0
3323es 0
3324sat 32
3325eat 32
3326textGroup (BiTextGroup
3327ps "ConnStartEndStrategy"
3328stg "VerticalLayoutStrategy"
3329first (Text
3330va (VaSet
3331)
3332xt "0,0,3000,1000"
3333st "bundle0"
3334blo "0,800"
3335tm "BundleNameMgr"
3336)
3337second (MLText
3338va (VaSet
3339)
3340xt "0,1000,1000,2000"
3341st "()"
3342tm "BundleContentsMgr"
3343)
3344)
3345bundleNet &0
3346)
3347defaultPortMapFrame (PortMapFrame
3348ps "PortMapFrameStrategy"
3349shape (RectFrame
3350va (VaSet
3351vasetType 1
3352fg "65535,65535,65535"
3353lineColor "0,0,32768"
3354lineWidth 2
3355)
3356xt "0,0,10000,12000"
3357)
3358portMapText (BiTextGroup
3359ps "BottomRightOffsetStrategy"
3360stg "VerticalLayoutStrategy"
3361first (MLText
3362va (VaSet
3363)
3364)
3365second (MLText
3366va (VaSet
3367)
3368tm "PortMapTextMgr"
3369)
3370)
3371)
3372defaultGenFrame (Frame
3373shape (RectFrame
3374va (VaSet
3375vasetType 1
3376fg "65535,65535,65535"
3377lineColor "26368,26368,26368"
3378lineStyle 2
3379lineWidth 3
3380)
3381xt "0,0,20000,20000"
3382)
3383title (TextAssociate
3384ps "TopLeftStrategy"
3385text (MLText
3386va (VaSet
3387)
3388xt "0,-1100,12600,-100"
3389st "g0: FOR i IN 0 TO n GENERATE"
3390tm "FrameTitleTextMgr"
3391)
3392)
3393seqNum (FrameSequenceNumber
3394ps "TopLeftStrategy"
3395shape (Rectangle
3396va (VaSet
3397vasetType 1
3398fg "65535,65535,65535"
3399)
3400xt "50,50,1250,1450"
3401)
3402num (Text
3403va (VaSet
3404)
3405xt "250,250,1050,1250"
3406st "1"
3407blo "250,1050"
3408tm "FrameSeqNumMgr"
3409)
3410)
3411decls (MlTextGroup
3412ps "BottomRightOffsetStrategy"
3413stg "VerticalLayoutStrategy"
3414textVec [
3415*103 (Text
3416va (VaSet
3417font "Arial,8,1"
3418)
3419xt "14100,20000,22000,21000"
3420st "Frame Declarations"
3421blo "14100,20800"
3422)
3423*104 (MLText
3424va (VaSet
3425)
3426xt "14100,21000,14100,21000"
3427tm "BdFrameDeclTextMgr"
3428)
3429]
3430)
3431)
3432defaultBlockFrame (Frame
3433shape (RectFrame
3434va (VaSet
3435vasetType 1
3436fg "65535,65535,65535"
3437lineColor "26368,26368,26368"
3438lineStyle 1
3439lineWidth 3
3440)
3441xt "0,0,20000,20000"
3442)
3443title (TextAssociate
3444ps "TopLeftStrategy"
3445text (MLText
3446va (VaSet
3447)
3448xt "0,-1100,7400,-100"
3449st "b0: BLOCK (guard)"
3450tm "FrameTitleTextMgr"
3451)
3452)
3453seqNum (FrameSequenceNumber
3454ps "TopLeftStrategy"
3455shape (Rectangle
3456va (VaSet
3457vasetType 1
3458fg "65535,65535,65535"
3459)
3460xt "50,50,1250,1450"
3461)
3462num (Text
3463va (VaSet
3464)
3465xt "250,250,1050,1250"
3466st "1"
3467blo "250,1050"
3468tm "FrameSeqNumMgr"
3469)
3470)
3471decls (MlTextGroup
3472ps "BottomRightOffsetStrategy"
3473stg "VerticalLayoutStrategy"
3474textVec [
3475*105 (Text
3476va (VaSet
3477font "Arial,8,1"
3478)
3479xt "14100,20000,22000,21000"
3480st "Frame Declarations"
3481blo "14100,20800"
3482)
3483*106 (MLText
3484va (VaSet
3485)
3486xt "14100,21000,14100,21000"
3487tm "BdFrameDeclTextMgr"
3488)
3489]
3490)
3491style 3
3492)
3493defaultSaCptPort (CptPort
3494ps "OnEdgeStrategy"
3495shape (Triangle
3496ro 90
3497va (VaSet
3498vasetType 1
3499fg "0,65535,0"
3500)
3501xt "0,0,750,750"
3502)
3503tg (CPTG
3504ps "CptPortTextPlaceStrategy"
3505stg "VerticalLayoutStrategy"
3506f (Text
3507va (VaSet
3508)
3509xt "0,750,1800,1750"
3510st "Port"
3511blo "0,1550"
3512)
3513)
3514thePort (LogicalPort
3515decl (Decl
3516n "Port"
3517t ""
3518o 0
3519)
3520)
3521)
3522defaultSaCptPortBuffer (CptPort
3523ps "OnEdgeStrategy"
3524shape (Diamond
3525va (VaSet
3526vasetType 1
3527fg "65535,65535,65535"
3528)
3529xt "0,0,750,750"
3530)
3531tg (CPTG
3532ps "CptPortTextPlaceStrategy"
3533stg "VerticalLayoutStrategy"
3534f (Text
3535va (VaSet
3536)
3537xt "0,750,1800,1750"
3538st "Port"
3539blo "0,1550"
3540)
3541)
3542thePort (LogicalPort
3543m 3
3544decl (Decl
3545n "Port"
3546t ""
3547o 0
3548)
3549)
3550)
3551defaultDeclText (MLText
3552va (VaSet
3553font "Courier New,8,0"
3554)
3555)
3556archDeclarativeBlock (BdArchDeclBlock
3557uid 1,0
3558stg "BdArchDeclBlockLS"
3559declLabel (Text
3560uid 2,0
3561va (VaSet
3562font "Arial,8,1"
3563)
3564xt "20000,0,25400,1000"
3565st "Declarations"
3566blo "20000,800"
3567)
3568portLabel (Text
3569uid 3,0
3570va (VaSet
3571font "Arial,8,1"
3572)
3573xt "20000,1000,22700,2000"
3574st "Ports:"
3575blo "20000,1800"
3576)
3577preUserLabel (Text
3578uid 4,0
3579va (VaSet
3580isHidden 1
3581font "Arial,8,1"
3582)
3583xt "20000,0,23800,1000"
3584st "Pre User:"
3585blo "20000,800"
3586)
3587preUserText (MLText
3588uid 5,0
3589va (VaSet
3590isHidden 1
3591font "Courier New,8,0"
3592)
3593xt "20000,0,20000,0"
3594tm "BdDeclarativeTextMgr"
3595)
3596diagSignalLabel (Text
3597uid 6,0
3598va (VaSet
3599font "Arial,8,1"
3600)
3601xt "20000,2000,27100,3000"
3602st "Diagram Signals:"
3603blo "20000,2800"
3604)
3605postUserLabel (Text
3606uid 7,0
3607va (VaSet
3608isHidden 1
3609font "Arial,8,1"
3610)
3611xt "20000,0,24700,1000"
3612st "Post User:"
3613blo "20000,800"
3614)
3615postUserText (MLText
3616uid 8,0
3617va (VaSet
3618isHidden 1
3619font "Courier New,8,0"
3620)
3621xt "20000,0,20000,0"
3622tm "BdDeclarativeTextMgr"
3623)
3624)
3625commonDM (CommonDM
3626ldm (LogicalDM
3627suid 16,0
3628usingSuid 1
3629emptyRow *107 (LEmptyRow
3630)
3631uid 276,0
3632optionalChildren [
3633*108 (RefLabelRowHdr
3634)
3635*109 (TitleRowHdr
3636)
3637*110 (FilterRowHdr
3638)
3639*111 (RefLabelColHdr
3640tm "RefLabelColHdrMgr"
3641)
3642*112 (RowExpandColHdr
3643tm "RowExpandColHdrMgr"
3644)
3645*113 (GroupColHdr
3646tm "GroupColHdrMgr"
3647)
3648*114 (NameColHdr
3649tm "BlockDiagramNameColHdrMgr"
3650)
3651*115 (ModeColHdr
3652tm "BlockDiagramModeColHdrMgr"
3653)
3654*116 (TypeColHdr
3655tm "BlockDiagramTypeColHdrMgr"
3656)
3657*117 (BoundsColHdr
3658tm "BlockDiagramBoundsColHdrMgr"
3659)
3660*118 (InitColHdr
3661tm "BlockDiagramInitColHdrMgr"
3662)
3663*119 (EolColHdr
3664tm "BlockDiagramEolColHdrMgr"
3665)
3666*120 (LeafLogPort
3667port (LogicalPort
3668lang 10
3669m 4
3670decl (Decl
3671n "SCLK"
3672t "std_logic"
3673o 1
3674suid 1,0
3675)
3676)
3677uid 245,0
3678)
3679*121 (LeafLogPort
3680port (LogicalPort
3681lang 10
3682m 4
3683decl (Decl
3684n "CS"
3685t "std_logic"
3686o 2
3687suid 2,0
3688)
3689)
3690uid 247,0
3691)
3692*122 (LeafLogPort
3693port (LogicalPort
3694m 4
3695decl (Decl
3696n "MOSI"
3697t "std_logic"
3698o 3
3699suid 3,0
3700i "'0'"
3701)
3702)
3703uid 249,0
3704)
3705*123 (LeafLogPort
3706port (LogicalPort
3707lang 10
3708m 4
3709decl (Decl
3710n "data"
3711t "std_logic_vector"
3712b "(15 DOWNTO 0)"
3713o 5
3714suid 5,0
3715)
3716)
3717uid 253,0
3718)
3719*124 (LeafLogPort
3720port (LogicalPort
3721lang 10
3722m 4
3723decl (Decl
3724n "ready"
3725t "std_logic"
3726o 6
3727suid 6,0
3728)
3729)
3730uid 255,0
3731)
3732*125 (LeafLogPort
3733port (LogicalPort
3734lang 10
3735m 4
3736decl (Decl
3737n "busy"
3738t "std_logic"
3739o 7
3740suid 7,0
3741)
3742)
3743uid 257,0
3744)
3745*126 (LeafLogPort
3746port (LogicalPort
3747m 4
3748decl (Decl
3749n "clk"
3750t "std_logic"
3751o 8
3752suid 8,0
3753)
3754)
3755uid 259,0
3756)
3757*127 (LeafLogPort
3758port (LogicalPort
3759m 4
3760decl (Decl
3761n "read_temp_register"
3762t "std_logic"
3763o 9
3764suid 9,0
3765)
3766)
3767uid 261,0
3768)
3769*128 (LeafLogPort
3770port (LogicalPort
3771m 4
3772decl (Decl
3773n "sensor_cs"
3774t "std_logic_vector"
3775b "(3 downto 0)"
3776preAdd 0
3777posAdd 0
3778o 10
3779suid 10,0
3780)
3781)
3782uid 652,0
3783)
3784*129 (LeafLogPort
3785port (LogicalPort
3786m 4
3787decl (Decl
3788n "sio"
3789t "std_logic"
3790preAdd 0
3791posAdd 0
3792o 10
3793suid 14,0
3794)
3795)
3796uid 765,0
3797)
3798]
3799)
3800pdm (PhysicalDM
3801displayShortBounds 1
3802editShortBounds 1
3803uid 289,0
3804optionalChildren [
3805*130 (Sheet
3806sheetRow (SheetRow
3807headerVa (MVa
3808cellColor "49152,49152,49152"
3809fontColor "0,0,0"
3810font "Tahoma,10,0"
3811)
3812cellVa (MVa
3813cellColor "65535,65535,65535"
3814fontColor "0,0,0"
3815font "Tahoma,10,0"
3816)
3817groupVa (MVa
3818cellColor "39936,56832,65280"
3819fontColor "0,0,0"
3820font "Tahoma,10,0"
3821)
3822emptyMRCItem *131 (MRCItem
3823litem &107
3824pos 10
3825dimension 20
3826)
3827uid 291,0
3828optionalChildren [
3829*132 (MRCItem
3830litem &108
3831pos 0
3832dimension 20
3833uid 292,0
3834)
3835*133 (MRCItem
3836litem &109
3837pos 1
3838dimension 23
3839uid 293,0
3840)
3841*134 (MRCItem
3842litem &110
3843pos 2
3844hidden 1
3845dimension 20
3846uid 294,0
3847)
3848*135 (MRCItem
3849litem &120
3850pos 0
3851dimension 20
3852uid 246,0
3853)
3854*136 (MRCItem
3855litem &121
3856pos 1
3857dimension 20
3858uid 248,0
3859)
3860*137 (MRCItem
3861litem &122
3862pos 2
3863dimension 20
3864uid 250,0
3865)
3866*138 (MRCItem
3867litem &123
3868pos 3
3869dimension 20
3870uid 254,0
3871)
3872*139 (MRCItem
3873litem &124
3874pos 4
3875dimension 20
3876uid 256,0
3877)
3878*140 (MRCItem
3879litem &125
3880pos 5
3881dimension 20
3882uid 258,0
3883)
3884*141 (MRCItem
3885litem &126
3886pos 6
3887dimension 20
3888uid 260,0
3889)
3890*142 (MRCItem
3891litem &127
3892pos 7
3893dimension 20
3894uid 262,0
3895)
3896*143 (MRCItem
3897litem &128
3898pos 8
3899dimension 20
3900uid 653,0
3901)
3902*144 (MRCItem
3903litem &129
3904pos 9
3905dimension 20
3906uid 766,0
3907)
3908]
3909)
3910sheetCol (SheetCol
3911propVa (MVa
3912cellColor "0,49152,49152"
3913fontColor "0,0,0"
3914font "Tahoma,10,0"
3915textAngle 90
3916)
3917uid 295,0
3918optionalChildren [
3919*145 (MRCItem
3920litem &111
3921pos 0
3922dimension 20
3923uid 296,0
3924)
3925*146 (MRCItem
3926litem &113
3927pos 1
3928dimension 50
3929uid 297,0
3930)
3931*147 (MRCItem
3932litem &114
3933pos 2
3934dimension 100
3935uid 298,0
3936)
3937*148 (MRCItem
3938litem &115
3939pos 3
3940dimension 50
3941uid 299,0
3942)
3943*149 (MRCItem
3944litem &116
3945pos 4
3946dimension 100
3947uid 300,0
3948)
3949*150 (MRCItem
3950litem &117
3951pos 5
3952dimension 100
3953uid 301,0
3954)
3955*151 (MRCItem
3956litem &118
3957pos 6
3958dimension 50
3959uid 302,0
3960)
3961*152 (MRCItem
3962litem &119
3963pos 7
3964dimension 80
3965uid 303,0
3966)
3967]
3968)
3969fixedCol 4
3970fixedRow 2
3971name "Ports"
3972uid 290,0
3973vaOverrides [
3974]
3975)
3976]
3977)
3978uid 275,0
3979)
3980genericsCommonDM (CommonDM
3981ldm (LogicalDM
3982emptyRow *153 (LEmptyRow
3983)
3984uid 305,0
3985optionalChildren [
3986*154 (RefLabelRowHdr
3987)
3988*155 (TitleRowHdr
3989)
3990*156 (FilterRowHdr
3991)
3992*157 (RefLabelColHdr
3993tm "RefLabelColHdrMgr"
3994)
3995*158 (RowExpandColHdr
3996tm "RowExpandColHdrMgr"
3997)
3998*159 (GroupColHdr
3999tm "GroupColHdrMgr"
4000)
4001*160 (NameColHdr
4002tm "GenericNameColHdrMgr"
4003)
4004*161 (TypeColHdr
4005tm "GenericTypeColHdrMgr"
4006)
4007*162 (InitColHdr
4008tm "GenericValueColHdrMgr"
4009)
4010*163 (PragmaColHdr
4011tm "GenericPragmaColHdrMgr"
4012)
4013*164 (EolColHdr
4014tm "GenericEolColHdrMgr"
4015)
4016*165 (LogGeneric
4017generic (GiElement
4018name "SPI_CLK_DIVIDER"
4019type "integer"
4020value "25"
4021)
4022uid 9,0
4023)
4024*166 (LogGeneric
4025generic (GiElement
4026name "SCLK_CYCLES"
4027type "integer"
4028value "24"
4029)
4030uid 11,0
4031)
4032]
4033)
4034pdm (PhysicalDM
4035displayShortBounds 1
4036editShortBounds 1
4037uid 317,0
4038optionalChildren [
4039*167 (Sheet
4040sheetRow (SheetRow
4041headerVa (MVa
4042cellColor "49152,49152,49152"
4043fontColor "0,0,0"
4044font "Tahoma,10,0"
4045)
4046cellVa (MVa
4047cellColor "65535,65535,65535"
4048fontColor "0,0,0"
4049font "Tahoma,10,0"
4050)
4051groupVa (MVa
4052cellColor "39936,56832,65280"
4053fontColor "0,0,0"
4054font "Tahoma,10,0"
4055)
4056emptyMRCItem *168 (MRCItem
4057litem &153
4058pos 2
4059dimension 20
4060)
4061uid 319,0
4062optionalChildren [
4063*169 (MRCItem
4064litem &154
4065pos 0
4066dimension 20
4067uid 320,0
4068)
4069*170 (MRCItem
4070litem &155
4071pos 1
4072dimension 23
4073uid 321,0
4074)
4075*171 (MRCItem
4076litem &156
4077pos 2
4078hidden 1
4079dimension 20
4080uid 322,0
4081)
4082*172 (MRCItem
4083litem &165
4084pos 0
4085dimension 20
4086uid 10,0
4087)
4088*173 (MRCItem
4089litem &166
4090pos 1
4091dimension 20
4092uid 12,0
4093)
4094]
4095)
4096sheetCol (SheetCol
4097propVa (MVa
4098cellColor "0,49152,49152"
4099fontColor "0,0,0"
4100font "Tahoma,10,0"
4101textAngle 90
4102)
4103uid 323,0
4104optionalChildren [
4105*174 (MRCItem
4106litem &157
4107pos 0
4108dimension 20
4109uid 324,0
4110)
4111*175 (MRCItem
4112litem &159
4113pos 1
4114dimension 50
4115uid 325,0
4116)
4117*176 (MRCItem
4118litem &160
4119pos 2
4120dimension 100
4121uid 326,0
4122)
4123*177 (MRCItem
4124litem &161
4125pos 3
4126dimension 100
4127uid 327,0
4128)
4129*178 (MRCItem
4130litem &162
4131pos 4
4132dimension 50
4133uid 328,0
4134)
4135*179 (MRCItem
4136litem &163
4137pos 5
4138dimension 50
4139uid 329,0
4140)
4141*180 (MRCItem
4142litem &164
4143pos 6
4144dimension 80
4145uid 330,0
4146)
4147]
4148)
4149fixedCol 3
4150fixedRow 2
4151name "Ports"
4152uid 318,0
4153vaOverrides [
4154]
4155)
4156]
4157)
4158uid 304,0
4159type 1
4160)
4161activeModelName "BlockDiag"
4162)
Note: See TracBrowser for help on using the repository browser.