source: firmware/FAD/FACT_FAD_TB_lib/hds/w5300_interface_tester/interface

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 27.2 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "NUMERIC_STD"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20libraryRefs [
21"IEEE"
22]
23)
24version "24.1"
25appVersion "2009.2 (Build 10)"
26model (Symbol
27commonDM (CommonDM
28ldm (LogicalDM
29ordering 1
30suid 378,0
31usingSuid 1
32emptyRow *1 (LEmptyRow
33)
34uid 144,0
35optionalChildren [
36*2 (RefLabelRowHdr
37)
38*3 (TitleRowHdr
39)
40*4 (FilterRowHdr
41)
42*5 (RefLabelColHdr
43tm "RefLabelColHdrMgr"
44)
45*6 (RowExpandColHdr
46tm "RowExpandColHdrMgr"
47)
48*7 (GroupColHdr
49tm "GroupColHdrMgr"
50)
51*8 (NameColHdr
52tm "NameColHdrMgr"
53)
54*9 (ModeColHdr
55tm "ModeColHdrMgr"
56)
57*10 (TypeColHdr
58tm "TypeColHdrMgr"
59)
60*11 (BoundsColHdr
61tm "BoundsColHdrMgr"
62)
63*12 (InitColHdr
64tm "InitColHdrMgr"
65)
66*13 (EolColHdr
67tm "EolColHdrMgr"
68)
69*14 (LogPort
70port (LogicalPort
71m 1
72decl (Decl
73n "addr_i"
74t "std_logic_vector"
75b "(9 DOWNTO 0)"
76o 10
77suid 365,0
78)
79)
80uid 3347,0
81)
82*15 (LogPort
83port (LogicalPort
84decl (Decl
85n "clk"
86t "std_logic"
87o 1
88suid 366,0
89)
90)
91uid 3349,0
92)
93*16 (LogPort
94port (LogicalPort
95decl (Decl
96n "cs"
97t "std_logic"
98o 2
99suid 367,0
100)
101)
102uid 3351,0
103)
104*17 (LogPort
105port (LogicalPort
106m 1
107decl (Decl
108n "data_i"
109t "std_logic_vector"
110b "(15 DOWNTO 0)"
111o 11
112suid 368,0
113)
114)
115uid 3353,0
116)
117*18 (LogPort
118port (LogicalPort
119lang 10
120decl (Decl
121n "data_o"
122t "std_logic_vector"
123b "(15 DOWNTO 0)"
124o 9
125suid 369,0
126)
127)
128uid 3355,0
129)
130*19 (LogPort
131port (LogicalPort
132m 1
133decl (Decl
134n "int"
135t "std_logic"
136o 8
137suid 370,0
138)
139)
140uid 3357,0
141)
142*20 (LogPort
143port (LogicalPort
144decl (Decl
145n "rd"
146t "std_logic"
147o 3
148suid 371,0
149)
150)
151uid 3359,0
152)
153*21 (LogPort
154port (LogicalPort
155m 1
156decl (Decl
157n "read_i"
158t "std_logic"
159o 12
160suid 372,0
161)
162)
163uid 3361,0
164)
165*22 (LogPort
166port (LogicalPort
167lang 10
168decl (Decl
169n "ready_o"
170t "std_logic"
171o 13
172suid 373,0
173)
174)
175uid 3363,0
176)
177*23 (LogPort
178port (LogicalPort
179decl (Decl
180n "wiz_addr"
181t "std_logic_vector"
182b "(9 DOWNTO 0)"
183o 4
184suid 374,0
185)
186)
187uid 3365,0
188)
189*24 (LogPort
190port (LogicalPort
191m 2
192decl (Decl
193n "wiz_data"
194t "std_logic_vector"
195b "(15 DOWNTO 0)"
196o 5
197suid 375,0
198)
199)
200uid 3367,0
201)
202*25 (LogPort
203port (LogicalPort
204decl (Decl
205n "wiz_reset"
206t "std_logic"
207o 6
208suid 376,0
209)
210)
211uid 3369,0
212)
213*26 (LogPort
214port (LogicalPort
215decl (Decl
216n "wr"
217t "std_logic"
218o 7
219suid 377,0
220)
221)
222uid 3371,0
223)
224*27 (LogPort
225port (LogicalPort
226m 1
227decl (Decl
228n "write_i"
229t "std_logic"
230o 14
231suid 378,0
232)
233)
234uid 3373,0
235)
236]
237)
238pdm (PhysicalDM
239displayShortBounds 1
240editShortBounds 1
241uid 157,0
242optionalChildren [
243*28 (Sheet
244sheetRow (SheetRow
245headerVa (MVa
246cellColor "49152,49152,49152"
247fontColor "0,0,0"
248font "Tahoma,10,0"
249)
250cellVa (MVa
251cellColor "65535,65535,65535"
252fontColor "0,0,0"
253font "Tahoma,10,0"
254)
255groupVa (MVa
256cellColor "39936,56832,65280"
257fontColor "0,0,0"
258font "Tahoma,10,0"
259)
260emptyMRCItem *29 (MRCItem
261litem &1
262pos 3
263dimension 20
264)
265uid 159,0
266optionalChildren [
267*30 (MRCItem
268litem &2
269pos 0
270dimension 20
271uid 160,0
272)
273*31 (MRCItem
274litem &3
275pos 1
276dimension 23
277uid 161,0
278)
279*32 (MRCItem
280litem &4
281pos 2
282hidden 1
283dimension 20
284uid 162,0
285)
286*33 (MRCItem
287litem &14
288pos 0
289dimension 20
290uid 3348,0
291)
292*34 (MRCItem
293litem &15
294pos 1
295dimension 20
296uid 3350,0
297)
298*35 (MRCItem
299litem &16
300pos 2
301dimension 20
302uid 3352,0
303)
304*36 (MRCItem
305litem &17
306pos 3
307dimension 20
308uid 3354,0
309)
310*37 (MRCItem
311litem &18
312pos 4
313dimension 20
314uid 3356,0
315)
316*38 (MRCItem
317litem &19
318pos 5
319dimension 20
320uid 3358,0
321)
322*39 (MRCItem
323litem &20
324pos 6
325dimension 20
326uid 3360,0
327)
328*40 (MRCItem
329litem &21
330pos 7
331dimension 20
332uid 3362,0
333)
334*41 (MRCItem
335litem &22
336pos 8
337dimension 20
338uid 3364,0
339)
340*42 (MRCItem
341litem &23
342pos 9
343dimension 20
344uid 3366,0
345)
346*43 (MRCItem
347litem &24
348pos 10
349dimension 20
350uid 3368,0
351)
352*44 (MRCItem
353litem &25
354pos 11
355dimension 20
356uid 3370,0
357)
358*45 (MRCItem
359litem &26
360pos 12
361dimension 20
362uid 3372,0
363)
364*46 (MRCItem
365litem &27
366pos 13
367dimension 20
368uid 3374,0
369)
370]
371)
372sheetCol (SheetCol
373propVa (MVa
374cellColor "0,49152,49152"
375fontColor "0,0,0"
376font "Tahoma,10,0"
377textAngle 90
378)
379uid 163,0
380optionalChildren [
381*47 (MRCItem
382litem &5
383pos 0
384dimension 20
385uid 164,0
386)
387*48 (MRCItem
388litem &7
389pos 1
390dimension 50
391uid 165,0
392)
393*49 (MRCItem
394litem &8
395pos 2
396dimension 100
397uid 166,0
398)
399*50 (MRCItem
400litem &9
401pos 3
402dimension 50
403uid 167,0
404)
405*51 (MRCItem
406litem &10
407pos 4
408dimension 100
409uid 168,0
410)
411*52 (MRCItem
412litem &11
413pos 5
414dimension 100
415uid 169,0
416)
417*53 (MRCItem
418litem &12
419pos 6
420dimension 50
421uid 170,0
422)
423*54 (MRCItem
424litem &13
425pos 7
426dimension 80
427uid 171,0
428)
429]
430)
431fixedCol 4
432fixedRow 2
433name "Ports"
434uid 158,0
435vaOverrides [
436]
437)
438]
439)
440uid 143,0
441)
442genericsCommonDM (CommonDM
443ldm (LogicalDM
444emptyRow *55 (LEmptyRow
445)
446uid 173,0
447optionalChildren [
448*56 (RefLabelRowHdr
449)
450*57 (TitleRowHdr
451)
452*58 (FilterRowHdr
453)
454*59 (RefLabelColHdr
455tm "RefLabelColHdrMgr"
456)
457*60 (RowExpandColHdr
458tm "RowExpandColHdrMgr"
459)
460*61 (GroupColHdr
461tm "GroupColHdrMgr"
462)
463*62 (NameColHdr
464tm "GenericNameColHdrMgr"
465)
466*63 (TypeColHdr
467tm "GenericTypeColHdrMgr"
468)
469*64 (InitColHdr
470tm "GenericValueColHdrMgr"
471)
472*65 (PragmaColHdr
473tm "GenericPragmaColHdrMgr"
474)
475*66 (EolColHdr
476tm "GenericEolColHdrMgr"
477)
478]
479)
480pdm (PhysicalDM
481displayShortBounds 1
482editShortBounds 1
483uid 185,0
484optionalChildren [
485*67 (Sheet
486sheetRow (SheetRow
487headerVa (MVa
488cellColor "49152,49152,49152"
489fontColor "0,0,0"
490font "Tahoma,10,0"
491)
492cellVa (MVa
493cellColor "65535,65535,65535"
494fontColor "0,0,0"
495font "Tahoma,10,0"
496)
497groupVa (MVa
498cellColor "39936,56832,65280"
499fontColor "0,0,0"
500font "Tahoma,10,0"
501)
502emptyMRCItem *68 (MRCItem
503litem &55
504pos 3
505dimension 20
506)
507uid 187,0
508optionalChildren [
509*69 (MRCItem
510litem &56
511pos 0
512dimension 20
513uid 188,0
514)
515*70 (MRCItem
516litem &57
517pos 1
518dimension 23
519uid 189,0
520)
521*71 (MRCItem
522litem &58
523pos 2
524hidden 1
525dimension 20
526uid 190,0
527)
528]
529)
530sheetCol (SheetCol
531propVa (MVa
532cellColor "0,49152,49152"
533fontColor "0,0,0"
534font "Tahoma,10,0"
535textAngle 90
536)
537uid 191,0
538optionalChildren [
539*72 (MRCItem
540litem &59
541pos 0
542dimension 20
543uid 192,0
544)
545*73 (MRCItem
546litem &61
547pos 1
548dimension 50
549uid 193,0
550)
551*74 (MRCItem
552litem &62
553pos 2
554dimension 100
555uid 194,0
556)
557*75 (MRCItem
558litem &63
559pos 3
560dimension 100
561uid 195,0
562)
563*76 (MRCItem
564litem &64
565pos 4
566dimension 50
567uid 196,0
568)
569*77 (MRCItem
570litem &65
571pos 5
572dimension 50
573uid 197,0
574)
575*78 (MRCItem
576litem &66
577pos 6
578dimension 80
579uid 198,0
580)
581]
582)
583fixedCol 3
584fixedRow 2
585name "Ports"
586uid 186,0
587vaOverrides [
588]
589)
590]
591)
592uid 172,0
593type 1
594)
595VExpander (VariableExpander
596vvMap [
597(vvPair
598variable "HDLDir"
599value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
600)
601(vvPair
602variable "HDSDir"
603value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
604)
605(vvPair
606variable "SideDataDesignDir"
607value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester\\interface.info"
608)
609(vvPair
610variable "SideDataUserDir"
611value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester\\interface.user"
612)
613(vvPair
614variable "SourceDir"
615value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
616)
617(vvPair
618variable "appl"
619value "HDL Designer"
620)
621(vvPair
622variable "arch_name"
623value "interface"
624)
625(vvPair
626variable "config"
627value "%(unit)_%(view)_config"
628)
629(vvPair
630variable "d"
631value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester"
632)
633(vvPair
634variable "d_logical"
635value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester"
636)
637(vvPair
638variable "date"
639value "31.05.2011"
640)
641(vvPair
642variable "day"
643value "Di"
644)
645(vvPair
646variable "day_long"
647value "Dienstag"
648)
649(vvPair
650variable "dd"
651value "31"
652)
653(vvPair
654variable "entity_name"
655value "w5300_interface_tester"
656)
657(vvPair
658variable "ext"
659value "<TBD>"
660)
661(vvPair
662variable "f"
663value "interface"
664)
665(vvPair
666variable "f_logical"
667value "interface"
668)
669(vvPair
670variable "f_noext"
671value "interface"
672)
673(vvPair
674variable "group"
675value "UNKNOWN"
676)
677(vvPair
678variable "host"
679value "E5B-LABOR6"
680)
681(vvPair
682variable "language"
683value "VHDL"
684)
685(vvPair
686variable "library"
687value "FACT_FAD_TB_lib"
688)
689(vvPair
690variable "library_downstream_HdsLintPlugin"
691value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
692)
693(vvPair
694variable "library_downstream_ISEPARInvoke"
695value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
696)
697(vvPair
698variable "library_downstream_ImpactInvoke"
699value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
700)
701(vvPair
702variable "library_downstream_ModelSimCompiler"
703value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
704)
705(vvPair
706variable "library_downstream_XSTDataPrep"
707value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
708)
709(vvPair
710variable "mm"
711value "05"
712)
713(vvPair
714variable "module_name"
715value "w5300_interface_tester"
716)
717(vvPair
718variable "month"
719value "Mai"
720)
721(vvPair
722variable "month_long"
723value "Mai"
724)
725(vvPair
726variable "p"
727value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester\\interface"
728)
729(vvPair
730variable "p_logical"
731value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester\\interface"
732)
733(vvPair
734variable "package_name"
735value "<Undefined Variable>"
736)
737(vvPair
738variable "project_name"
739value "FACT_FAD"
740)
741(vvPair
742variable "series"
743value "HDL Designer Series"
744)
745(vvPair
746variable "task_DesignCompilerPath"
747value "<TBD>"
748)
749(vvPair
750variable "task_LeonardoPath"
751value "<TBD>"
752)
753(vvPair
754variable "task_ModelSimPath"
755value "C:\\modeltech_6.6a\\win32"
756)
757(vvPair
758variable "task_NC-SimPath"
759value "<TBD>"
760)
761(vvPair
762variable "task_PrecisionRTLPath"
763value "<TBD>"
764)
765(vvPair
766variable "task_QuestaSimPath"
767value "<TBD>"
768)
769(vvPair
770variable "task_VCSPath"
771value "<TBD>"
772)
773(vvPair
774variable "this_ext"
775value "<TBD>"
776)
777(vvPair
778variable "this_file"
779value "interface"
780)
781(vvPair
782variable "this_file_logical"
783value "interface"
784)
785(vvPair
786variable "time"
787value "13:38:06"
788)
789(vvPair
790variable "unit"
791value "w5300_interface_tester"
792)
793(vvPair
794variable "user"
795value "dneise"
796)
797(vvPair
798variable "version"
799value "2009.2 (Build 10)"
800)
801(vvPair
802variable "view"
803value "interface"
804)
805(vvPair
806variable "year"
807value "2011"
808)
809(vvPair
810variable "yy"
811value "11"
812)
813]
814)
815LanguageMgr "VhdlLangMgr"
816uid 142,0
817optionalChildren [
818*79 (SymbolBody
819uid 8,0
820optionalChildren [
821*80 (CptPort
822uid 3277,0
823ps "OnEdgeStrategy"
824shape (Triangle
825uid 3278,0
826ro 270
827va (VaSet
828vasetType 1
829fg "0,65535,0"
830)
831xt "14250,10625,15000,11375"
832)
833tg (CPTG
834uid 3279,0
835ps "CptPortTextPlaceStrategy"
836stg "VerticalLayoutStrategy"
837f (Text
838uid 3280,0
839va (VaSet
840)
841xt "16000,10500,18500,11500"
842st "addr_i"
843blo "16000,11300"
844tm "CptPortNameMgr"
845)
846)
847dt (MLText
848uid 3281,0
849va (VaSet
850font "Courier New,8,0"
851)
852)
853thePort (LogicalPort
854m 1
855decl (Decl
856n "addr_i"
857t "std_logic_vector"
858b "(9 DOWNTO 0)"
859o 10
860suid 365,0
861)
862)
863)
864*81 (CptPort
865uid 3282,0
866ps "OnEdgeStrategy"
867shape (Triangle
868uid 3283,0
869ro 90
870va (VaSet
871vasetType 1
872fg "0,65535,0"
873)
874xt "14250,13625,15000,14375"
875)
876tg (CPTG
877uid 3284,0
878ps "CptPortTextPlaceStrategy"
879stg "VerticalLayoutStrategy"
880f (Text
881uid 3285,0
882va (VaSet
883)
884xt "16000,13500,17300,14500"
885st "clk"
886blo "16000,14300"
887tm "CptPortNameMgr"
888)
889)
890dt (MLText
891uid 3286,0
892va (VaSet
893font "Courier New,8,0"
894)
895)
896thePort (LogicalPort
897decl (Decl
898n "clk"
899t "std_logic"
900o 1
901suid 366,0
902)
903)
904)
905*82 (CptPort
906uid 3287,0
907ps "OnEdgeStrategy"
908shape (Triangle
909uid 3288,0
910ro 270
911va (VaSet
912vasetType 1
913fg "0,65535,0"
914)
915xt "30000,7625,30750,8375"
916)
917tg (CPTG
918uid 3289,0
919ps "CptPortTextPlaceStrategy"
920stg "RightVerticalLayoutStrategy"
921f (Text
922uid 3290,0
923va (VaSet
924)
925xt "27800,7500,29000,8500"
926st "cs"
927ju 2
928blo "29000,8300"
929tm "CptPortNameMgr"
930)
931)
932dt (MLText
933uid 3291,0
934va (VaSet
935font "Courier New,8,0"
936)
937)
938thePort (LogicalPort
939decl (Decl
940n "cs"
941t "std_logic"
942o 2
943suid 367,0
944)
945)
946)
947*83 (CptPort
948uid 3292,0
949ps "OnEdgeStrategy"
950shape (Triangle
951uid 3293,0
952ro 270
953va (VaSet
954vasetType 1
955fg "0,65535,0"
956)
957xt "14250,11625,15000,12375"
958)
959tg (CPTG
960uid 3294,0
961ps "CptPortTextPlaceStrategy"
962stg "VerticalLayoutStrategy"
963f (Text
964uid 3295,0
965va (VaSet
966)
967xt "16000,11500,18400,12500"
968st "data_i"
969blo "16000,12300"
970tm "CptPortNameMgr"
971)
972)
973dt (MLText
974uid 3296,0
975va (VaSet
976font "Courier New,8,0"
977)
978)
979thePort (LogicalPort
980m 1
981decl (Decl
982n "data_i"
983t "std_logic_vector"
984b "(15 DOWNTO 0)"
985o 11
986suid 368,0
987)
988)
989)
990*84 (CptPort
991uid 3297,0
992ps "OnEdgeStrategy"
993shape (Triangle
994uid 3298,0
995ro 270
996va (VaSet
997vasetType 1
998fg "0,65535,0"
999)
1000xt "30000,12625,30750,13375"
1001)
1002tg (CPTG
1003uid 3299,0
1004ps "CptPortTextPlaceStrategy"
1005stg "RightVerticalLayoutStrategy"
1006f (Text
1007uid 3300,0
1008va (VaSet
1009)
1010xt "26400,12500,29000,13500"
1011st "data_o"
1012ju 2
1013blo "29000,13300"
1014tm "CptPortNameMgr"
1015)
1016)
1017dt (MLText
1018uid 3301,0
1019va (VaSet
1020font "Courier New,8,0"
1021)
1022)
1023thePort (LogicalPort
1024lang 10
1025decl (Decl
1026n "data_o"
1027t "std_logic_vector"
1028b "(15 DOWNTO 0)"
1029o 9
1030suid 369,0
1031)
1032)
1033)
1034*85 (CptPort
1035uid 3302,0
1036ps "OnEdgeStrategy"
1037shape (Triangle
1038uid 3303,0
1039ro 270
1040va (VaSet
1041vasetType 1
1042fg "0,65535,0"
1043)
1044xt "14250,7625,15000,8375"
1045)
1046tg (CPTG
1047uid 3304,0
1048ps "CptPortTextPlaceStrategy"
1049stg "VerticalLayoutStrategy"
1050f (Text
1051uid 3305,0
1052va (VaSet
1053)
1054xt "16000,7500,17200,8500"
1055st "int"
1056blo "16000,8300"
1057tm "CptPortNameMgr"
1058)
1059)
1060dt (MLText
1061uid 3306,0
1062va (VaSet
1063font "Courier New,8,0"
1064)
1065)
1066thePort (LogicalPort
1067m 1
1068decl (Decl
1069n "int"
1070t "std_logic"
1071o 8
1072suid 370,0
1073)
1074)
1075)
1076*86 (CptPort
1077uid 3307,0
1078ps "OnEdgeStrategy"
1079shape (Triangle
1080uid 3308,0
1081ro 270
1082va (VaSet
1083vasetType 1
1084fg "0,65535,0"
1085)
1086xt "30000,9625,30750,10375"
1087)
1088tg (CPTG
1089uid 3309,0
1090ps "CptPortTextPlaceStrategy"
1091stg "RightVerticalLayoutStrategy"
1092f (Text
1093uid 3310,0
1094va (VaSet
1095)
1096xt "27900,9500,29000,10500"
1097st "rd"
1098ju 2
1099blo "29000,10300"
1100tm "CptPortNameMgr"
1101)
1102)
1103dt (MLText
1104uid 3311,0
1105va (VaSet
1106font "Courier New,8,0"
1107)
1108)
1109thePort (LogicalPort
1110decl (Decl
1111n "rd"
1112t "std_logic"
1113o 3
1114suid 371,0
1115)
1116)
1117)
1118*87 (CptPort
1119uid 3312,0
1120ps "OnEdgeStrategy"
1121shape (Triangle
1122uid 3313,0
1123ro 270
1124va (VaSet
1125vasetType 1
1126fg "0,65535,0"
1127)
1128xt "14250,8625,15000,9375"
1129)
1130tg (CPTG
1131uid 3314,0
1132ps "CptPortTextPlaceStrategy"
1133stg "VerticalLayoutStrategy"
1134f (Text
1135uid 3315,0
1136va (VaSet
1137)
1138xt "16000,8500,18500,9500"
1139st "read_i"
1140blo "16000,9300"
1141tm "CptPortNameMgr"
1142)
1143)
1144dt (MLText
1145uid 3316,0
1146va (VaSet
1147font "Courier New,8,0"
1148)
1149)
1150thePort (LogicalPort
1151m 1
1152decl (Decl
1153n "read_i"
1154t "std_logic"
1155o 12
1156suid 372,0
1157)
1158)
1159)
1160*88 (CptPort
1161uid 3317,0
1162ps "OnEdgeStrategy"
1163shape (Triangle
1164uid 3318,0
1165ro 90
1166va (VaSet
1167vasetType 1
1168fg "0,65535,0"
1169)
1170xt "14250,12625,15000,13375"
1171)
1172tg (CPTG
1173uid 3319,0
1174ps "CptPortTextPlaceStrategy"
1175stg "VerticalLayoutStrategy"
1176f (Text
1177uid 3320,0
1178va (VaSet
1179)
1180xt "16000,12500,19000,13500"
1181st "ready_o"
1182blo "16000,13300"
1183tm "CptPortNameMgr"
1184)
1185)
1186dt (MLText
1187uid 3321,0
1188va (VaSet
1189font "Courier New,8,0"
1190)
1191)
1192thePort (LogicalPort
1193lang 10
1194decl (Decl
1195n "ready_o"
1196t "std_logic"
1197o 13
1198suid 373,0
1199)
1200)
1201)
1202*89 (CptPort
1203uid 3322,0
1204ps "OnEdgeStrategy"
1205shape (Triangle
1206uid 3323,0
1207ro 270
1208va (VaSet
1209vasetType 1
1210fg "0,65535,0"
1211)
1212xt "30000,11625,30750,12375"
1213)
1214tg (CPTG
1215uid 3324,0
1216ps "CptPortTextPlaceStrategy"
1217stg "RightVerticalLayoutStrategy"
1218f (Text
1219uid 3325,0
1220va (VaSet
1221)
1222xt "25600,11500,29000,12500"
1223st "wiz_addr"
1224ju 2
1225blo "29000,12300"
1226tm "CptPortNameMgr"
1227)
1228)
1229dt (MLText
1230uid 3326,0
1231va (VaSet
1232font "Courier New,8,0"
1233)
1234)
1235thePort (LogicalPort
1236decl (Decl
1237n "wiz_addr"
1238t "std_logic_vector"
1239b "(9 DOWNTO 0)"
1240o 4
1241suid 374,0
1242)
1243)
1244)
1245*90 (CptPort
1246uid 3327,0
1247ps "OnEdgeStrategy"
1248shape (Diamond
1249uid 3328,0
1250ro 90
1251va (VaSet
1252vasetType 1
1253fg "0,65535,0"
1254)
1255xt "30000,10625,30750,11375"
1256)
1257tg (CPTG
1258uid 3329,0
1259ps "CptPortTextPlaceStrategy"
1260stg "RightVerticalLayoutStrategy"
1261f (Text
1262uid 3330,0
1263va (VaSet
1264)
1265xt "25700,10500,29000,11500"
1266st "wiz_data"
1267ju 2
1268blo "29000,11300"
1269tm "CptPortNameMgr"
1270)
1271)
1272dt (MLText
1273uid 3331,0
1274va (VaSet
1275font "Courier New,8,0"
1276)
1277)
1278thePort (LogicalPort
1279m 2
1280decl (Decl
1281n "wiz_data"
1282t "std_logic_vector"
1283b "(15 DOWNTO 0)"
1284o 5
1285suid 375,0
1286)
1287)
1288)
1289*91 (CptPort
1290uid 3332,0
1291ps "OnEdgeStrategy"
1292shape (Triangle
1293uid 3333,0
1294ro 270
1295va (VaSet
1296vasetType 1
1297fg "0,65535,0"
1298)
1299xt "30000,6625,30750,7375"
1300)
1301tg (CPTG
1302uid 3334,0
1303ps "CptPortTextPlaceStrategy"
1304stg "RightVerticalLayoutStrategy"
1305f (Text
1306uid 3335,0
1307va (VaSet
1308)
1309xt "25400,6500,29000,7500"
1310st "wiz_reset"
1311ju 2
1312blo "29000,7300"
1313tm "CptPortNameMgr"
1314)
1315)
1316dt (MLText
1317uid 3336,0
1318va (VaSet
1319font "Courier New,8,0"
1320)
1321)
1322thePort (LogicalPort
1323decl (Decl
1324n "wiz_reset"
1325t "std_logic"
1326o 6
1327suid 376,0
1328)
1329)
1330)
1331*92 (CptPort
1332uid 3337,0
1333ps "OnEdgeStrategy"
1334shape (Triangle
1335uid 3338,0
1336ro 270
1337va (VaSet
1338vasetType 1
1339fg "0,65535,0"
1340)
1341xt "30000,8625,30750,9375"
1342)
1343tg (CPTG
1344uid 3339,0
1345ps "CptPortTextPlaceStrategy"
1346stg "RightVerticalLayoutStrategy"
1347f (Text
1348uid 3340,0
1349va (VaSet
1350)
1351xt "27800,8500,29000,9500"
1352st "wr"
1353ju 2
1354blo "29000,9300"
1355tm "CptPortNameMgr"
1356)
1357)
1358dt (MLText
1359uid 3341,0
1360va (VaSet
1361font "Courier New,8,0"
1362)
1363)
1364thePort (LogicalPort
1365decl (Decl
1366n "wr"
1367t "std_logic"
1368o 7
1369suid 377,0
1370)
1371)
1372)
1373*93 (CptPort
1374uid 3342,0
1375ps "OnEdgeStrategy"
1376shape (Triangle
1377uid 3343,0
1378ro 270
1379va (VaSet
1380vasetType 1
1381fg "0,65535,0"
1382)
1383xt "14250,9625,15000,10375"
1384)
1385tg (CPTG
1386uid 3344,0
1387ps "CptPortTextPlaceStrategy"
1388stg "VerticalLayoutStrategy"
1389f (Text
1390uid 3345,0
1391va (VaSet
1392)
1393xt "16000,9500,18600,10500"
1394st "write_i"
1395blo "16000,10300"
1396tm "CptPortNameMgr"
1397)
1398)
1399dt (MLText
1400uid 3346,0
1401va (VaSet
1402font "Courier New,8,0"
1403)
1404)
1405thePort (LogicalPort
1406m 1
1407decl (Decl
1408n "write_i"
1409t "std_logic"
1410o 14
1411suid 378,0
1412)
1413)
1414)
1415]
1416shape (Rectangle
1417uid 9,0
1418va (VaSet
1419vasetType 1
1420fg "0,65535,0"
1421lineColor "0,32896,0"
1422lineWidth 2
1423)
1424xt "15000,6000,30000,15000"
1425)
1426oxt "15000,6000,30000,14000"
1427biTextGroup (BiTextGroup
1428uid 10,0
1429ps "CenterOffsetStrategy"
1430stg "VerticalLayoutStrategy"
1431first (Text
1432uid 11,0
1433va (VaSet
1434font "Arial,8,1"
1435)
1436xt "17800,9500,25500,10500"
1437st "FACT_FAD_TB_lib"
1438blo "17800,10300"
1439)
1440second (Text
1441uid 12,0
1442va (VaSet
1443font "Arial,8,1"
1444)
1445xt "17800,10500,27200,11500"
1446st "w5300_interface_tester"
1447blo "17800,11300"
1448)
1449)
1450gi *94 (GenericInterface
1451uid 13,0
1452ps "CenterOffsetStrategy"
1453matrix (Matrix
1454uid 14,0
1455text (MLText
1456uid 15,0
1457va (VaSet
1458font "Courier New,8,0"
1459)
1460xt "-1500,6500,10000,7300"
1461st "Generic Declarations"
1462)
1463header "Generic Declarations"
1464showHdrWhenContentsEmpty 1
1465)
1466elements [
1467]
1468)
1469portInstanceVisAsIs 1
1470portInstanceVis (PortSigDisplay
1471sTC 0
1472sF 0
1473)
1474portVis (PortSigDisplay
1475sTC 0
1476sF 0
1477)
1478)
1479*95 (Grouping
1480uid 16,0
1481optionalChildren [
1482*96 (CommentText
1483uid 18,0
1484shape (Rectangle
1485uid 19,0
1486sl 0
1487va (VaSet
1488vasetType 1
1489fg "65280,65280,46080"
1490)
1491xt "36000,48000,53000,49000"
1492)
1493oxt "18000,70000,35000,71000"
1494text (MLText
1495uid 20,0
1496va (VaSet
1497fg "0,0,32768"
1498bg "0,0,32768"
1499)
1500xt "36200,48000,45800,49000"
1501st "
1502by %user on %dd %month %year
1503"
1504tm "CommentText"
1505wrapOption 3
1506visibleHeight 1000
1507visibleWidth 17000
1508)
1509position 1
1510ignorePrefs 1
1511titleBlock 1
1512)
1513*97 (CommentText
1514uid 21,0
1515shape (Rectangle
1516uid 22,0
1517sl 0
1518va (VaSet
1519vasetType 1
1520fg "65280,65280,46080"
1521)
1522xt "53000,44000,57000,45000"
1523)
1524oxt "35000,66000,39000,67000"
1525text (MLText
1526uid 23,0
1527va (VaSet
1528fg "0,0,32768"
1529bg "0,0,32768"
1530)
1531xt "53200,44000,56200,45000"
1532st "
1533Project:
1534"
1535tm "CommentText"
1536wrapOption 3
1537visibleHeight 1000
1538visibleWidth 4000
1539)
1540position 1
1541ignorePrefs 1
1542titleBlock 1
1543)
1544*98 (CommentText
1545uid 24,0
1546shape (Rectangle
1547uid 25,0
1548sl 0
1549va (VaSet
1550vasetType 1
1551fg "65280,65280,46080"
1552)
1553xt "36000,46000,53000,47000"
1554)
1555oxt "18000,68000,35000,69000"
1556text (MLText
1557uid 26,0
1558va (VaSet
1559fg "0,0,32768"
1560bg "0,0,32768"
1561)
1562xt "36200,46000,46200,47000"
1563st "
1564<enter diagram title here>
1565"
1566tm "CommentText"
1567wrapOption 3
1568visibleHeight 1000
1569visibleWidth 17000
1570)
1571position 1
1572ignorePrefs 1
1573titleBlock 1
1574)
1575*99 (CommentText
1576uid 27,0
1577shape (Rectangle
1578uid 28,0
1579sl 0
1580va (VaSet
1581vasetType 1
1582fg "65280,65280,46080"
1583)
1584xt "32000,46000,36000,47000"
1585)
1586oxt "14000,68000,18000,69000"
1587text (MLText
1588uid 29,0
1589va (VaSet
1590fg "0,0,32768"
1591bg "0,0,32768"
1592)
1593xt "32200,46000,34300,47000"
1594st "
1595Title:
1596"
1597tm "CommentText"
1598wrapOption 3
1599visibleHeight 1000
1600visibleWidth 4000
1601)
1602position 1
1603ignorePrefs 1
1604titleBlock 1
1605)
1606*100 (CommentText
1607uid 30,0
1608shape (Rectangle
1609uid 31,0
1610sl 0
1611va (VaSet
1612vasetType 1
1613fg "65280,65280,46080"
1614)
1615xt "53000,45000,73000,49000"
1616)
1617oxt "35000,67000,55000,71000"
1618text (MLText
1619uid 32,0
1620va (VaSet
1621fg "0,0,32768"
1622bg "0,0,32768"
1623)
1624xt "53200,45200,62400,46200"
1625st "
1626<enter comments here>
1627"
1628tm "CommentText"
1629wrapOption 3
1630visibleHeight 4000
1631visibleWidth 20000
1632)
1633ignorePrefs 1
1634titleBlock 1
1635)
1636*101 (CommentText
1637uid 33,0
1638shape (Rectangle
1639uid 34,0
1640sl 0
1641va (VaSet
1642vasetType 1
1643fg "65280,65280,46080"
1644)
1645xt "57000,44000,73000,45000"
1646)
1647oxt "39000,66000,55000,67000"
1648text (MLText
1649uid 35,0
1650va (VaSet
1651fg "0,0,32768"
1652bg "0,0,32768"
1653)
1654xt "57200,44000,61700,45000"
1655st "
1656%project_name
1657"
1658tm "CommentText"
1659wrapOption 3
1660visibleHeight 1000
1661visibleWidth 16000
1662)
1663position 1
1664ignorePrefs 1
1665titleBlock 1
1666)
1667*102 (CommentText
1668uid 36,0
1669shape (Rectangle
1670uid 37,0
1671sl 0
1672va (VaSet
1673vasetType 1
1674fg "65280,65280,46080"
1675)
1676xt "32000,44000,53000,46000"
1677)
1678oxt "14000,66000,35000,68000"
1679text (MLText
1680uid 38,0
1681va (VaSet
1682fg "32768,0,0"
1683)
1684xt "39150,44500,45850,45500"
1685st "
1686<company name>
1687"
1688ju 0
1689tm "CommentText"
1690wrapOption 3
1691visibleHeight 2000
1692visibleWidth 21000
1693)
1694position 1
1695ignorePrefs 1
1696titleBlock 1
1697)
1698*103 (CommentText
1699uid 39,0
1700shape (Rectangle
1701uid 40,0
1702sl 0
1703va (VaSet
1704vasetType 1
1705fg "65280,65280,46080"
1706)
1707xt "32000,47000,36000,48000"
1708)
1709oxt "14000,69000,18000,70000"
1710text (MLText
1711uid 41,0
1712va (VaSet
1713fg "0,0,32768"
1714bg "0,0,32768"
1715)
1716xt "32200,47000,34300,48000"
1717st "
1718Path:
1719"
1720tm "CommentText"
1721wrapOption 3
1722visibleHeight 1000
1723visibleWidth 4000
1724)
1725position 1
1726ignorePrefs 1
1727titleBlock 1
1728)
1729*104 (CommentText
1730uid 42,0
1731shape (Rectangle
1732uid 43,0
1733sl 0
1734va (VaSet
1735vasetType 1
1736fg "65280,65280,46080"
1737)
1738xt "32000,48000,36000,49000"
1739)
1740oxt "14000,70000,18000,71000"
1741text (MLText
1742uid 44,0
1743va (VaSet
1744fg "0,0,32768"
1745bg "0,0,32768"
1746)
1747xt "32200,48000,34900,49000"
1748st "
1749Edited:
1750"
1751tm "CommentText"
1752wrapOption 3
1753visibleHeight 1000
1754visibleWidth 4000
1755)
1756position 1
1757ignorePrefs 1
1758titleBlock 1
1759)
1760*105 (CommentText
1761uid 45,0
1762shape (Rectangle
1763uid 46,0
1764sl 0
1765va (VaSet
1766vasetType 1
1767fg "65280,65280,46080"
1768)
1769xt "36000,47000,53000,48000"
1770)
1771oxt "18000,69000,35000,70000"
1772text (MLText
1773uid 47,0
1774va (VaSet
1775fg "0,0,32768"
1776bg "0,0,32768"
1777)
1778xt "36200,47000,52200,48000"
1779st "
1780%library/%unit/%view
1781"
1782tm "CommentText"
1783wrapOption 3
1784visibleHeight 1000
1785visibleWidth 17000
1786)
1787position 1
1788ignorePrefs 1
1789titleBlock 1
1790)
1791]
1792shape (GroupingShape
1793uid 17,0
1794va (VaSet
1795vasetType 1
1796fg "65535,65535,65535"
1797lineStyle 2
1798lineWidth 2
1799)
1800xt "32000,44000,73000,49000"
1801)
1802oxt "14000,66000,55000,71000"
1803)
1804]
1805bg "65535,65535,65535"
1806grid (Grid
1807origin "0,0"
1808isVisible 1
1809isActive 1
1810xSpacing 1000
1811xySpacing 1000
1812xShown 1
1813yShown 1
1814color "26368,26368,26368"
1815)
1816packageList *106 (PackageList
1817uid 48,0
1818stg "VerticalLayoutStrategy"
1819textVec [
1820*107 (Text
1821uid 49,0
1822va (VaSet
1823font "arial,8,1"
1824)
1825xt "0,0,5400,1000"
1826st "Package List"
1827blo "0,800"
1828)
1829*108 (MLText
1830uid 50,0
1831va (VaSet
1832)
1833xt "0,1000,13000,5000"
1834st "LIBRARY IEEE;
1835USE IEEE.STD_LOGIC_1164.ALL;
1836USE IEEE.NUMERIC_STD.ALL;
1837USE ieee.std_logic_unsigned.all;"
1838tm "PackageList"
1839)
1840]
1841)
1842windowSize "0,0,1015,690"
1843viewArea "0,0,0,0"
1844cachedDiagramExtent "0,0,0,0"
1845pageBreakOrigin "0,0"
1846defaultCommentText (CommentText
1847shape (Rectangle
1848layer 0
1849va (VaSet
1850vasetType 1
1851fg "65280,65280,46080"
1852lineColor "0,0,32768"
1853)
1854xt "0,0,15000,5000"
1855)
1856text (MLText
1857va (VaSet
1858fg "0,0,32768"
1859)
1860xt "200,200,2000,1200"
1861st "
1862Text
1863"
1864tm "CommentText"
1865wrapOption 3
1866visibleHeight 4600
1867visibleWidth 14600
1868)
1869)
1870defaultPanel (Panel
1871shape (RectFrame
1872va (VaSet
1873vasetType 1
1874fg "65535,65535,65535"
1875lineColor "32768,0,0"
1876lineWidth 3
1877)
1878xt "0,0,20000,20000"
1879)
1880title (TextAssociate
1881ps "TopLeftStrategy"
1882text (Text
1883va (VaSet
1884font "Arial,8,1"
1885)
1886xt "1000,1000,3800,2000"
1887st "Panel0"
1888blo "1000,1800"
1889tm "PanelText"
1890)
1891)
1892)
1893parentGraphicsRef (HdmGraphicsRef
1894libraryName "FACT_FAD_TB_lib"
1895entityName "w5300_interface_tb"
1896viewName "struct.bd"
1897)
1898defaultSymbolBody (SymbolBody
1899shape (Rectangle
1900va (VaSet
1901vasetType 1
1902fg "0,65535,0"
1903lineColor "0,32896,0"
1904lineWidth 2
1905)
1906xt "15000,6000,33000,26000"
1907)
1908biTextGroup (BiTextGroup
1909ps "CenterOffsetStrategy"
1910stg "VerticalLayoutStrategy"
1911first (Text
1912va (VaSet
1913font "Arial,8,1"
1914)
1915xt "22200,15000,25800,16000"
1916st "<library>"
1917blo "22200,15800"
1918)
1919second (Text
1920va (VaSet
1921font "Arial,8,1"
1922)
1923xt "22200,16000,24800,17000"
1924st "<cell>"
1925blo "22200,16800"
1926)
1927)
1928gi *109 (GenericInterface
1929ps "CenterOffsetStrategy"
1930matrix (Matrix
1931text (MLText
1932va (VaSet
1933font "Courier New,8,0"
1934)
1935xt "0,12000,11500,12800"
1936st "Generic Declarations"
1937)
1938header "Generic Declarations"
1939showHdrWhenContentsEmpty 1
1940)
1941elements [
1942]
1943)
1944portInstanceVisAsIs 1
1945portInstanceVis (PortSigDisplay
1946sIVOD 1
1947)
1948portVis (PortSigDisplay
1949sIVOD 1
1950)
1951)
1952defaultCptPort (CptPort
1953ps "OnEdgeStrategy"
1954shape (Triangle
1955ro 90
1956va (VaSet
1957vasetType 1
1958fg "0,65535,0"
1959)
1960xt "0,0,750,750"
1961)
1962tg (CPTG
1963ps "CptPortTextPlaceStrategy"
1964stg "VerticalLayoutStrategy"
1965f (Text
1966va (VaSet
1967)
1968xt "0,750,1400,1750"
1969st "In0"
1970blo "0,1550"
1971tm "CptPortNameMgr"
1972)
1973)
1974dt (MLText
1975va (VaSet
1976font "Courier New,8,0"
1977)
1978)
1979thePort (LogicalPort
1980decl (Decl
1981n "In0"
1982t "std_logic_vector"
1983b "(15 DOWNTO 0)"
1984o 0
1985)
1986)
1987)
1988defaultCptPortBuffer (CptPort
1989ps "OnEdgeStrategy"
1990shape (Diamond
1991va (VaSet
1992vasetType 1
1993fg "65535,65535,65535"
1994bg "0,0,0"
1995)
1996xt "0,0,750,750"
1997)
1998tg (CPTG
1999ps "CptPortTextPlaceStrategy"
2000stg "VerticalLayoutStrategy"
2001f (Text
2002va (VaSet
2003)
2004xt "0,750,2800,1750"
2005st "Buffer0"
2006blo "0,1550"
2007tm "CptPortNameMgr"
2008)
2009)
2010dt (MLText
2011va (VaSet
2012font "Courier New,8,0"
2013)
2014)
2015thePort (LogicalPort
2016m 3
2017decl (Decl
2018n "Buffer0"
2019t "std_logic_vector"
2020b "(15 DOWNTO 0)"
2021o 0
2022)
2023)
2024)
2025DeclarativeBlock *110 (SymDeclBlock
2026uid 1,0
2027stg "SymDeclLayoutStrategy"
2028declLabel (Text
2029uid 2,0
2030va (VaSet
2031font "Arial,8,1"
2032)
2033xt "42000,0,47400,1000"
2034st "Declarations"
2035blo "42000,800"
2036)
2037portLabel (Text
2038uid 3,0
2039va (VaSet
2040font "Arial,8,1"
2041)
2042xt "42000,1000,44700,2000"
2043st "Ports:"
2044blo "42000,1800"
2045)
2046externalLabel (Text
2047uid 4,0
2048va (VaSet
2049font "Arial,8,1"
2050)
2051xt "42000,12400,44400,13400"
2052st "User:"
2053blo "42000,13200"
2054)
2055internalLabel (Text
2056uid 6,0
2057va (VaSet
2058isHidden 1
2059font "Arial,8,1"
2060)
2061xt "42000,0,47800,1000"
2062st "Internal User:"
2063blo "42000,800"
2064)
2065externalText (MLText
2066uid 5,0
2067va (VaSet
2068font "Courier New,8,0"
2069)
2070xt "44000,13400,44000,13400"
2071tm "SyDeclarativeTextMgr"
2072)
2073internalText (MLText
2074uid 7,0
2075va (VaSet
2076isHidden 1
2077font "Courier New,8,0"
2078)
2079xt "42000,0,42000,0"
2080tm "SyDeclarativeTextMgr"
2081)
2082)
2083lastUid 3374,0
2084activeModelName "Symbol:CDM"
2085)
Note: See TracBrowser for help on using the repository browser.