Ignore:
Timestamp:
05/18/11 18:47:32 (14 years ago)
Author:
neise
Message:
 
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl
Files:
7 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/data_generator.vhd

    r10729 r10744  
    165165signal adc_data_sig : adc_data_array_type;
    166166signal adc_otr_sig : std_logic_vector(3 downto 0) ;
    167 type adc_data_16bit_t is array (3 downto 0) of sdt_logic_vector(15 downto 0);
     167type adc_data_16bit_t is array (3 downto 0) of std_logic_vector(15 downto 0);
    168168signal data_16bit : adc_data_16bit_t;
    169169
     
    425425                        if (data_cntr < roi_max_int (channel_id)) then
    426426                                data_out <= adc_data_array(3)(7 downto 0) & "000" & adc_otr(3) & adc_data_array(3)(11 downto 8) &
    427           adc_data_array(2)(7 downto 0) & "000" & adc_otr(2) & adc_data_array(2)(11 downto 8) &
    428           adc_data_array(1)(7 downto 0) & "000" & adc_otr(1) & adc_data_array(1)(11 downto 8) &
    429           adc_data_array(0)(7 downto 0) & "000" & adc_otr(0) & adc_data_array(0)(11 downto 8) ;
     427                                        adc_data_array(2)(7 downto 0) & "000" & adc_otr(2) & adc_data_array(2)(11 downto 8) &
     428                                        adc_data_array(1)(7 downto 0) & "000" & adc_otr(1) & adc_data_array(1)(11 downto 8) &
     429                                        adc_data_array(0)(7 downto 0) & "000" & adc_otr(0) & adc_data_array(0)(11 downto 8) ;
    430430
    431431                                addr_cntr <= addr_cntr + 1;
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r10729 r10744  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 17:58:44 17.05.2011
     5--          at - 10:24:04 18.05.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    7676-- Created:
    7777--          by - daqct3.UNKNOWN (IHP110)
    78 --          at - 17:58:44 17.05.2011
     78--          at - 10:24:05 18.05.2011
    7979--
    8080-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    108108   SIGNAL debug_data_valid      : std_logic;
    109109   SIGNAL led                   : std_logic_vector(7 DOWNTO 0)  := (OTHERS => '0');
     110   SIGNAL mem_manager_state     : std_logic_vector(3 DOWNTO 0);                        -- state is encoded here ... useful for debugging.
    110111   SIGNAL w5300_state           : std_logic_vector(7 DOWNTO 0);                        -- state is encoded here ... useful for debugging.
    111112
     
    154155      green                 : OUT    std_logic ;
    155156      led                   : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
     157      mem_manager_state     : OUT    std_logic_vector (3 DOWNTO 0);                  -- state is encoded here ... useful for debugging.
    156158      mosi                  : OUT    std_logic                     := '0';
    157159      red                   : OUT    std_logic ;
     
    209211   --A1_T(7 downto 0) <= (others => '0');
    210212   
    211    A1_T <= counter_result ( 7 downto 0);
     213   --A1_T <= counter_result ( 7 downto 0);
     214   A1_T(3 downto 0) <= mem_manager_state;
     215   A1_T(7 downto 4) <= "1100";
    212216   --D_T(3 downto 0) <=  counter_result ( 11 downto 8);
    213217   --D_T(4) <= alarm_refclk_too_low;
     
    274278         green                 => RED_LED,
    275279         led                   => led,
     280         mem_manager_state     => mem_manager_state,
    276281         mosi                  => MOSI,
    277282         red                   => GREEN_LED,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd

    r10729 r10744  
    5757        --constant SUBVERSION_NUMBER : std_logic_vector (15 downto 0) := conv_std_logic_vector(str_to_int(SUBVERSION_STRING),16);
    5858        constant PACKAGE_VERSION : std_logic_vector(7 downto 0) := X"01";
    59         constant PACKAGE_SUB_VERSION : std_logic_vector(7 downto 0) := X"07";
     59        constant PACKAGE_SUB_VERSION : std_logic_vector(7 downto 0) := X"0A";
    6060        constant PACKAGE_HEADER_LENGTH : integer := 36;
    6161        constant PACKAGE_HEADER_ZEROS : integer := 0; 
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r10729 r10744  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 17:58:42 17.05.2011
     5--          at - 10:24:03 18.05.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    5555      green                 : OUT    std_logic;
    5656      led                   : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
     57      mem_manager_state     : OUT    std_logic_vector (3 DOWNTO 0);                     -- state is encoded here ... useful for debugging.
    5758      mosi                  : OUT    std_logic                     := '0';
    5859      red                   : OUT    std_logic;
     
    7980-- Created:
    8081--          by - daqct3.UNKNOWN (IHP110)
    81 --          at - 17:58:43 17.05.2011
     82--          at - 10:24:04 18.05.2011
    8283--
    8384-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    183184   SIGNAL socks_connected              : std_logic;
    184185   SIGNAL socks_waiting                : std_logic;
     186   SIGNAL software_trigger_in          : std_logic;
    185187   SIGNAL spi_interface_config_start   : std_logic                                    := '0';
    186188   SIGNAL spi_interface_config_valid   : std_logic;
     
    338340      runnumber                  : IN     std_logic_vector (31 DOWNTO 0);
    339341      timer_value                : IN     std_logic_vector (31 DOWNTO 0);                 -- time in units of 100us
    340       trigger                    : IN     std_logic ;
     342      hardware_trigger_in        : IN     std_logic ;
     343      software_trigger_in        : IN     std_logic ;
    341344      adc_data_array             : IN     adc_data_array_type ;
    342345      adc_output_enable_inverted : OUT    std_logic                     := '1';
     
    410413   );
    411414   PORT (
     415      state                  : OUT    std_logic_vector (3 DOWNTO 0);                                                               -- state is encoded here ... useful for debugging.
    412416      clk                    : IN     std_logic ;
    413417      config_start           : IN     std_logic ;
     
    610614   denable <= denable_sig;
    611615
     616   -- ModuleWare code(v1.9) for instance 'U_6' of 'gnd'
     617   software_trigger_in <= '0';
     618
    612619   -- ModuleWare code(v1.9) for instance 'U_15' of 'gnd'
    613620   reset_synch_i <= '0';
     
    755762         runnumber                  => runnumber,
    756763         timer_value                => time,
    757          trigger                    => trigger_out,
     764         hardware_trigger_in        => trigger_out,
     765         software_trigger_in        => software_trigger_in,
    758766         adc_data_array             => adc_data_array_int,
    759767         adc_output_enable_inverted => adc_oeb,
     
    822830      )
    823831      PORT MAP (
     832         state                  => mem_manager_state,
    824833         clk                    => CLK_25,
    825834         config_start           => memory_manager_config_start,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/memory_manager_beha.vhd

    r10738 r10744  
    3333);
    3434PORT(
     35        state          : OUT    std_logic_vector (3 DOWNTO 0);  -- state is encoded here ... useful for debugging.
     36
    3537        clk : IN std_logic;
    3638        config_start : IN std_logic;
     
    112114                -- no shift register, but local copy.
    113115        signal roi_array_local : roi_array_type;
     116       
     117       
     118        signal state_sig : std_logic_vector( 3 downto 0 ) := "0000";
    114119
    115120BEGIN
    116 
     121        state <= state_sig;
    117122--  led <= conv_std_logic_vector (events_in_ram, 4) & "00" & wiz_ack & wiz_busy;
    118123  data_ram_empty <= '1' when events_in_ram = 0 else '0';
     
    243248         
    244249        when MM_MAIN =>
     250                        state_sig <= X"1";
    245251          state_mm <= MM_MAIN1;
    246252          if (config_start_sr = "11") then
    247253            --config_ready <= '0';
    248             if (events_in_ram = 0) then
     254            if (events_in_ram = 0) then     
    249255              state_mm <= MM_CONFIG;
    250256            end if;
     
    252258
    253259        when MM_MAIN1 =>
     260                        state_sig <= X"2";
    254261          state_mm <= MM_MAIN2;
    255262          if ((ram_write_ready_sr = "11") and (event_ready_flag = '0')) then
     
    269276
    270277        when MM_MAIN2 =>
     278                        state_sig <= X"3";
    271279          state_mm <= MM_MAIN3;
    272280          if ((event_ready_flag = '1') and (ram_write_ready_sr = "00")) then
     
    282290
    283291        when MM_MAIN3 =>
     292                        state_sig <= X"4";
    284293          state_mm <= MM_MAIN4;
    285294          if ((wiz_ack_sr = "11") and (wiz_ack_flag = '0')) then
     
    300309         
    301310        when MM_MAIN4 =>
     311                        state_sig <= X"5";
    302312          state_mm <= MM_MAIN;
    303313          if ((events_in_ram > 0) and (wiz_busy_sr = "00")) then
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/spi_interface_struct.vhd

    r10729 r10744  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 10:39:55 17.05.2011
     5--          at - 08:31:16 18.05.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    3939-- Created:
    4040--          by - daqct3.UNKNOWN (IHP110)
    41 --          at - 10:39:56 17.05.2011
     41--          at - 08:31:16 18.05.2011
    4242--
    4343-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd

    r10738 r10744  
    107107        SI, SI1, SI1b, SI2, SI3, SI4, SI5, SI6, ESTABLISH, EST1,
    108108       
    109         --CONFIG, WAIT_FOR_OLLI, WAIT_FOR_DATA_RAM_EMPTY,
     109        CONFIG, WAIT_FOR_OLLI, WAIT_FOR_DATA_RAM_EMPTY,
    110110        CONFIG_MEMORY_MANAGER, WAIT_FOR_CONFIG_MEMORY_MANAGER,
    111111        CONFIG_DATA_GENERATOR, WAIT_FOR_CONFIG_DATA_GENERATOR,
     
    694694                                                end case;
    695695                                               
    696 --                                      when CONFIG =>   -- Triggers are disabled here!
    697 --                                        state_sig <= X"06";
    698 --                                              trigger_enable_storage_sig <= trigger_enable_sig;                               -- store last value of this signal.
    699 --                                              trigger_enable_sig <= '0';                                                                              --no triggers must occur, while configurating.
    700 --                                              state_init <= WAIT_FOR_OLLI;                                                                    -- now wait until the last event was send down..
    701 --                                     
    702 --                                      when WAIT_FOR_OLLI =>                                                                                   -- This single wait state is not needed, I guess.
    703 --                                        state_sig <= X"16";
    704 --                                              state_init <= WAIT_FOR_DATA_RAM_EMPTY;                                          -- should be removed asap, but not now. 28.04.11 DN
    705 --                                     
    706 --                                             
    707 --                                      when WAIT_FOR_DATA_RAM_EMPTY =>
    708 --                                        state_sig <= X"17";
    709 --                                              if (data_ram_empty_sr(1) = '1') then
    710 --                                                      state_init <= CONFIG_MEMORY_MANAGER;
    711 --                                              end if;
     696                                        when CONFIG =>   -- Triggers are disabled here!
     697                                          state_sig <= X"06";
     698                                                trigger_enable_storage_sig <= trigger_enable_sig;                               -- store last value of this signal.
     699                                                trigger_enable_sig <= '0';                                                                              --no triggers must occur, while configurating.
     700                                                state_init <= WAIT_FOR_OLLI;                                                                    -- now wait until the last event was send down..
     701                                       
     702                                        when WAIT_FOR_OLLI =>                                                                                   -- This single wait state is not needed, I guess.
     703                                          state_sig <= X"16";
     704                                                state_init <= WAIT_FOR_DATA_RAM_EMPTY;                                          -- should be removed asap, but not now. 28.04.11 DN
     705                                       
     706                                               
     707                                        when WAIT_FOR_DATA_RAM_EMPTY =>                                                                 -- GUARANTIED DEAD LOCK HERE, because RAM will never empty, when staying in this state.
     708                                          state_sig <= X"17";
     709                                                if (data_ram_empty_sr(1) = '1') then
     710                                                        state_init <= CONFIG_MEMORY_MANAGER;
     711                                                end if;
    712712                                       
    713713                                        when CONFIG_MEMORY_MANAGER =>
     
    768768                                               
    769769                                                if (update_of_rois = '1') then
    770                                                         if (trigger_enable_sig = '1') then
    771                                                                 trigger_enable_storage_sig <= trigger_enable_sig;
    772                                                         end if;
    773                                                         trigger_enable_sig <= '0';
    774 
    775770                                                        update_of_rois <= '0';
    776                                                         state_init <= CONFIG_MEMORY_MANAGER;
     771                                                        state_init <= CONFIG;
     772--                                                      if (trigger_enable_sig = '1') then
     773--                                                              trigger_enable_storage_sig <= trigger_enable_sig;
     774--                                                      end if;
     775--                                                      trigger_enable_sig <= '0';
     776--
     777--                                                      update_of_rois <= '0';
     778--                                                      state_init <= CONFIG_MEMORY_MANAGER;
    777779--                                                      if (data_ram_empty_sr(1) = '1') then
    778780--                                                              update_of_rois <= '0';
     
    781783--                                                              state_init <= MAIN2;
    782784--                                                      end if;
     785                                                       
    783786                                                elsif (update_of_lessimportant = '1') then                             
    784787                                                        update_of_lessimportant <= '0';
     
    818821                                                        state_init <= MAIN3;
    819822                                                else
    820                                                         state_init <= MAIN;  -- MAIN1
     823                                                        state_init <= MAIN1;
    821824                                                end if;
    822825                                        when MAIN3 =>
Note: See TracChangeset for help on using the changeset viewer.