Changeset 10988 for firmware/FAD/FACT_FAD_20MHz_VAR_PS
- Timestamp:
- 06/10/11 16:59:58 (14 years ago)
- Location:
- firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib
- Files:
-
- 1 added
- 6 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib ¶
- Property svn:ignore
-
TabularUnified
old new 4 4 *.sig 5 5 iMPACT 6 ise7 6 work
-
- Property svn:ignore
-
TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd ¶
r10958 r10988 75 75 uid 19500,0 76 76 ) 77 (Instance 78 name "I4" 79 duLibraryName "moduleware" 80 duName "assignment" 81 elements [ 82 ] 83 mwi 1 84 uid 20188,0 85 ) 77 86 ] 78 87 embeddedInstances [ … … 151 160 (vvPair 152 161 variable "date" 153 value " 09.06.2011"162 value "10.06.2011" 154 163 ) 155 164 (vvPair 156 165 variable "day" 157 value " Do"166 value "Fr" 158 167 ) 159 168 (vvPair 160 169 variable "day_long" 161 value " Donnerstag"170 value "Freitag" 162 171 ) 163 172 (vvPair 164 173 variable "dd" 165 value " 09"174 value "10" 166 175 ) 167 176 (vvPair … … 299 308 (vvPair 300 309 variable "time" 301 value "1 6:56:32"310 value "10:56:46" 302 311 ) 303 312 (vvPair … … 852 861 ) 853 862 xt "39000,52800,70500,53600" 854 st "SIGNAL board_id : std_logic_vector(3 downto 0)" 863 st "SIGNAL board_id : std_logic_vector(3 downto 0) 864 " 855 865 ) 856 866 ) … … 870 880 ) 871 881 xt "39000,54400,70500,55200" 872 st "SIGNAL crate_id : std_logic_vector(1 downto 0)" 882 st "SIGNAL crate_id : std_logic_vector(1 downto 0) 883 " 873 884 ) 874 885 ) … … 1193 1204 ) 1194 1205 xt "39000,50400,66000,51200" 1195 st "SIGNAL adc_data_array : adc_data_array_type" 1206 st "SIGNAL adc_data_array : adc_data_array_type 1207 " 1196 1208 ) 1197 1209 ) … … 1211 1223 ) 1212 1224 xt "39000,35000,71500,35800" 1213 st "RSRLOAD : std_logic := '0'" 1225 st "RSRLOAD : std_logic := '0' 1226 " 1214 1227 ) 1215 1228 ) … … 1273 1286 ) 1274 1287 xt "39000,20600,57000,21400" 1275 st "DAC_CS : std_logic" 1288 st "DAC_CS : std_logic 1289 " 1276 1290 ) 1277 1291 ) … … 1337 1351 ) 1338 1352 xt "39000,16600,57000,17400" 1339 st "X_50M : STD_LOGIC" 1353 st "X_50M : STD_LOGIC 1354 " 1340 1355 ) 1341 1356 ) … … 1354 1369 ) 1355 1370 xt "39000,15000,57000,15800" 1356 st "TRG : STD_LOGIC" 1371 st "TRG : STD_LOGIC 1372 " 1357 1373 ) 1358 1374 ) … … 1465 1481 ) 1466 1482 xt "39000,19800,67000,20600" 1467 st "A_CLK : std_logic_vector(3 downto 0)" 1483 st "A_CLK : std_logic_vector(3 downto 0) 1484 " 1468 1485 ) 1469 1486 ) … … 1529 1546 ) 1530 1547 xt "39000,28600,57000,29400" 1531 st "OE_ADC : STD_LOGIC" 1548 st "OE_ADC : STD_LOGIC 1549 " 1532 1550 ) 1533 1551 ) … … 1592 1610 ) 1593 1611 xt "39000,7000,67000,7800" 1594 st "A_OTR : std_logic_vector(3 DOWNTO 0)" 1612 st "A_OTR : std_logic_vector(3 DOWNTO 0) 1613 " 1595 1614 ) 1596 1615 ) … … 1878 1897 ) 1879 1898 xt "39000,3800,67500,4600" 1880 st "A0_D : std_logic_vector(11 DOWNTO 0)" 1899 st "A0_D : std_logic_vector(11 DOWNTO 0) 1900 " 1881 1901 ) 1882 1902 ) … … 1896 1916 ) 1897 1917 xt "39000,4600,67500,5400" 1898 st "A1_D : std_logic_vector(11 DOWNTO 0)" 1918 st "A1_D : std_logic_vector(11 DOWNTO 0) 1919 " 1899 1920 ) 1900 1921 ) … … 1914 1935 ) 1915 1936 xt "39000,5400,67500,6200" 1916 st "A2_D : std_logic_vector(11 DOWNTO 0)" 1937 st "A2_D : std_logic_vector(11 DOWNTO 0) 1938 " 1917 1939 ) 1918 1940 ) … … 1932 1954 ) 1933 1955 xt "39000,6200,67500,7000" 1934 st "A3_D : std_logic_vector(11 DOWNTO 0)" 1956 st "A3_D : std_logic_vector(11 DOWNTO 0) 1957 " 1935 1958 ) 1936 1959 ) … … 2129 2152 ) 2130 2153 xt "39000,7800,57000,8600" 2131 st "D0_SROUT : std_logic" 2154 st "D0_SROUT : std_logic 2155 " 2132 2156 ) 2133 2157 ) … … 2146 2170 ) 2147 2171 xt "39000,8600,57000,9400" 2148 st "D1_SROUT : std_logic" 2172 st "D1_SROUT : std_logic 2173 " 2149 2174 ) 2150 2175 ) … … 2163 2188 ) 2164 2189 xt "39000,9400,57000,10200" 2165 st "D2_SROUT : std_logic" 2190 st "D2_SROUT : std_logic 2191 " 2166 2192 ) 2167 2193 ) … … 2180 2206 ) 2181 2207 xt "39000,10200,57000,11000" 2182 st "D3_SROUT : std_logic" 2208 st "D3_SROUT : std_logic 2209 " 2183 2210 ) 2184 2211 ) … … 2244 2271 ) 2245 2272 xt "39000,23800,77500,24600" 2246 st "D_A : std_logic_vector(3 DOWNTO 0) := (others => '0')" 2273 st "D_A : std_logic_vector(3 DOWNTO 0) := (others => '0') 2274 " 2247 2275 ) 2248 2276 ) … … 2307 2335 ) 2308 2336 xt "39000,23000,71500,23800" 2309 st "DWRITE : std_logic := '0'" 2337 st "DWRITE : std_logic := '0' 2338 " 2310 2339 ) 2311 2340 ) … … 2412 2441 ) 2413 2442 xt "39000,36600,57000,37400" 2414 st "S_CLK : std_logic" 2443 st "S_CLK : std_logic 2444 " 2415 2445 ) 2416 2446 ) … … 2430 2460 ) 2431 2461 xt "39000,39000,67000,39800" 2432 st "W_A : std_logic_vector(9 DOWNTO 0)" 2462 st "W_A : std_logic_vector(9 DOWNTO 0) 2463 " 2433 2464 ) 2434 2465 ) … … 2448 2479 ) 2449 2480 xt "39000,44600,67500,45400" 2450 st "W_D : std_logic_vector(15 DOWNTO 0)" 2481 st "W_D : std_logic_vector(15 DOWNTO 0) 2482 " 2451 2483 ) 2452 2484 ) … … 2466 2498 ) 2467 2499 xt "39000,41400,71500,42200" 2468 st "W_RES : std_logic := '1'" 2500 st "W_RES : std_logic := '1' 2501 " 2469 2502 ) 2470 2503 ) … … 2484 2517 ) 2485 2518 xt "39000,40600,71500,41400" 2486 st "W_RD : std_logic := '1'" 2519 st "W_RD : std_logic := '1' 2520 " 2487 2521 ) 2488 2522 ) … … 2502 2536 ) 2503 2537 xt "39000,43000,71500,43800" 2504 st "W_WR : std_logic := '1'" 2538 st "W_WR : std_logic := '1' 2539 " 2505 2540 ) 2506 2541 ) … … 2519 2554 ) 2520 2555 xt "39000,15800,57000,16600" 2521 st "W_INT : std_logic" 2556 st "W_INT : std_logic 2557 " 2522 2558 ) 2523 2559 ) … … 2537 2573 ) 2538 2574 xt "39000,39800,71500,40600" 2539 st "W_CS : std_logic := '1'" 2575 st "W_CS : std_logic := '1' 2576 " 2540 2577 ) 2541 2578 ) … … 2597 2634 ) 2598 2635 xt "39000,27800,71500,28600" 2599 st "MOSI : std_logic := '0'" 2636 st "MOSI : std_logic := '0' 2637 " 2600 2638 ) 2601 2639 ) … … 2660 2698 ) 2661 2699 xt "39000,43800,57000,44600" 2662 st "MISO : std_logic" 2700 st "MISO : std_logic 2701 " 2663 2702 ) 2664 2703 ) … … 2941 2980 ) 2942 2981 xt "39000,31800,57000,32600" 2943 st "RS485_C_RE : std_logic" 2982 st "RS485_C_RE : std_logic 2983 " 2944 2984 ) 2945 2985 ) … … 2958 2998 ) 2959 2999 xt "39000,30200,57000,31000" 2960 st "RS485_C_DE : std_logic" 3000 st "RS485_C_DE : std_logic 3001 " 2961 3002 ) 2962 3003 ) … … 2975 3016 ) 2976 3017 xt "39000,34200,57000,35000" 2977 st "RS485_E_RE : std_logic" 3018 st "RS485_E_RE : std_logic 3019 " 2978 3020 ) 2979 3021 ) … … 2992 3034 ) 2993 3035 xt "39000,32600,57000,33400" 2994 st "RS485_E_DE : std_logic" 3036 st "RS485_E_DE : std_logic 3037 " 2995 3038 ) 2996 3039 ) … … 3010 3053 ) 3011 3054 xt "39000,21400,71500,22200" 3012 st "DENABLE : std_logic := '0'" 3055 st "DENABLE : std_logic := '0' 3056 " 3013 3057 ) 3014 3058 ) … … 3027 3071 ) 3028 3072 xt "39000,26200,57000,27000" 3029 st "EE_CS : std_logic" 3073 st "EE_CS : std_logic 3074 " 3030 3075 ) 3031 3076 ) … … 3090 3135 ) 3091 3136 xt "39000,24600,77500,25400" 3092 st "D_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 3137 st "D_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 3138 " 3093 3139 ) 3094 3140 ) … … 3153 3199 ) 3154 3200 xt "39000,25400,77500,26200" 3155 st "D_T2 : std_logic_vector(1 DOWNTO 0) := (others => '0')" 3201 st "D_T2 : std_logic_vector(1 DOWNTO 0) := (others => '0') 3202 " 3156 3203 ) 3157 3204 ) … … 3216 3263 ) 3217 3264 xt "39000,18200,77500,19000" 3218 st "A1_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 3265 st "A1_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 3266 " 3219 3267 ) 3220 3268 ) … … 3233 3281 ) 3234 3282 xt "39000,47200,61000,48000" 3235 st "SIGNAL CLK_50 : std_logic" 3283 st "SIGNAL CLK_50 : std_logic 3284 " 3236 3285 ) 3237 3286 ) … … 3296 3345 ) 3297 3346 xt "39000,17400,77500,18200" 3298 st "A0_T : std_logic_vector(7 DOWNTO 0) := (others => '0')" 3347 st "A0_T : std_logic_vector(7 DOWNTO 0) := (others => '0') 3348 " 3299 3349 ) 3300 3350 ) … … 3494 3544 ) 3495 3545 xt "39000,31000,57000,31800" 3496 st "RS485_C_DO : std_logic" 3546 st "RS485_C_DO : std_logic 3547 " 3497 3548 ) 3498 3549 ) … … 3555 3606 ) 3556 3607 xt "39000,14200,57000,15000" 3557 st "RS485_E_DI : std_logic" 3608 st "RS485_E_DI : std_logic 3609 " 3558 3610 ) 3559 3611 ) … … 3572 3624 ) 3573 3625 xt "39000,33400,57000,34200" 3574 st "RS485_E_DO : std_logic" 3626 st "RS485_E_DO : std_logic 3627 " 3575 3628 ) 3576 3629 ) … … 3634 3687 ) 3635 3688 xt "39000,35800,71500,36600" 3636 st "SRIN : std_logic := '0'" 3689 st "SRIN : std_logic := '0' 3690 " 3637 3691 ) 3638 3692 ) … … 3783 3837 ) 3784 3838 xt "39000,19000,57000,19800" 3785 st "AMBER_LED : std_logic" 3839 st "AMBER_LED : std_logic 3840 " 3786 3841 ) 3787 3842 ) … … 3800 3855 ) 3801 3856 xt "39000,27000,57000,27800" 3802 st "GREEN_LED : std_logic" 3857 st "GREEN_LED : std_logic 3858 " 3803 3859 ) 3804 3860 ) … … 3817 3873 ) 3818 3874 xt "39000,29400,57000,30200" 3819 st "RED_LED : std_logic" 3875 st "RED_LED : std_logic 3876 " 3820 3877 ) 3821 3878 ) … … 3880 3937 ) 3881 3938 xt "39000,12600,68000,13400" 3882 st "LINE : std_logic_vector( 5 DOWNTO 0 )" 3939 st "LINE : std_logic_vector( 5 DOWNTO 0 ) 3940 " 3883 3941 ) 3884 3942 ) … … 3942 4000 ) 3943 4001 xt "39000,13400,57000,14200" 3944 st "REFCLK : std_logic" 4002 st "REFCLK : std_logic 4003 " 3945 4004 ) 3946 4005 ) … … 4005 4064 ) 4006 4065 xt "39000,11800,67000,12600" 4007 st "D_T_in : std_logic_vector(1 DOWNTO 0)" 4066 st "D_T_in : std_logic_vector(1 DOWNTO 0) 4067 " 4008 4068 ) 4009 4069 ) … … 4025 4085 ) 4026 4086 xt "39000,57600,81000,58400" 4027 st "SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 4087 st "SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 4088 " 4028 4089 ) 4029 4090 ) … … 4132 4193 ) 4133 4194 xt "39000,11000,67000,11800" 4134 st "D_PLLLCK : std_logic_vector(3 DOWNTO 0)" 4195 st "D_PLLLCK : std_logic_vector(3 DOWNTO 0) 4196 " 4135 4197 ) 4136 4198 ) … … 4194 4256 ) 4195 4257 xt "39000,37400,67000,38200" 4196 st "TCS : std_logic_vector(3 DOWNTO 0)" 4258 st "TCS : std_logic_vector(3 DOWNTO 0) 4259 " 4197 4260 ) 4198 4261 ) … … 4258 4321 ) 4259 4322 xt "39000,22200,77500,23000" 4260 st "DSRCLK : std_logic_vector(3 DOWNTO 0) := (others => '0')" 4323 st "DSRCLK : std_logic_vector(3 DOWNTO 0) := (others => '0') 4324 " 4261 4325 ) 4262 4326 ) … … 4276 4340 ) 4277 4341 xt "39000,49600,75000,50400" 4278 st "SIGNAL SRCLK : std_logic := '0'" 4342 st "SIGNAL SRCLK : std_logic := '0' 4343 " 4279 4344 ) 4280 4345 ) … … 4381 4446 ) 4382 4447 xt "39000,51200,75000,52000" 4383 st "SIGNAL alarm_refclk_too_high : std_logic := '0'" 4448 st "SIGNAL alarm_refclk_too_high : std_logic := '0' 4449 " 4384 4450 ) 4385 4451 ) … … 4399 4465 ) 4400 4466 xt "39000,52000,75000,52800" 4401 st "SIGNAL alarm_refclk_too_low : std_logic := '0'" 4467 st "SIGNAL alarm_refclk_too_low : std_logic := '0' 4468 " 4402 4469 ) 4403 4470 ) … … 4418 4485 ) 4419 4486 xt "39000,53600,81000,54400" 4420 st "SIGNAL counter_result : std_logic_vector(11 downto 0) := (others => '0')" 4487 st "SIGNAL counter_result : std_logic_vector(11 downto 0) := (others => '0') 4488 " 4421 4489 ) 4422 4490 ) … … 6452 6520 ) 6453 6521 xt "39000,46400,61000,47200" 6454 st "SIGNAL ADC_CLK : std_logic" 6522 st "SIGNAL ADC_CLK : std_logic 6523 " 6455 6524 ) 6456 6525 ) … … 6468 6537 sl 0 6469 6538 ro 270 6470 xt " 87500,143625,89000,144375"6539 xt "112500,143625,114000,144375" 6471 6540 ) 6472 6541 (Line … … 6474 6543 sl 0 6475 6544 ro 270 6476 xt " 87000,144000,87500,144000"6477 pts [ 6478 " 87000,144000"6479 " 87500,144000"6545 xt "112000,144000,112500,144000" 6546 pts [ 6547 "112000,144000" 6548 "112500,144000" 6480 6549 ] 6481 6550 ) … … 6492 6561 va (VaSet 6493 6562 ) 6494 xt " 90000,143500,92900,144500"6563 xt "115000,143500,117900,144500" 6495 6564 st "TRG_V" 6496 blo " 90000,144300"6565 blo "115000,144300" 6497 6566 tm "WireNameMgr" 6498 6567 ) … … 6515 6584 ) 6516 6585 xt "39000,38200,71500,39000" 6517 st "TRG_V : std_logic := '0'" 6586 st "TRG_V : std_logic := '0' 6587 " 6518 6588 ) 6519 6589 ) … … 6534 6604 font "Courier New,8,0" 6535 6605 ) 6536 xt "39000,62400,96000,63200" 6537 st "SIGNAL w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging." 6606 xt "39000,63200,96000,64000" 6607 st "SIGNAL w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging. 6608 " 6538 6609 ) 6539 6610 ) … … 6552 6623 ) 6553 6624 xt "39000,56000,61000,56800" 6554 st "SIGNAL debug_data_ram_empty : std_logic" 6625 st "SIGNAL debug_data_ram_empty : std_logic 6626 " 6555 6627 ) 6556 6628 ) … … 6569 6641 ) 6570 6642 xt "39000,56800,61000,57600" 6571 st "SIGNAL debug_data_valid : std_logic" 6643 st "SIGNAL debug_data_valid : std_logic 6644 " 6572 6645 ) 6573 6646 ) … … 6590 6663 ) 6591 6664 xt "39000,58400,96000,59200" 6592 st "SIGNAL mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging." 6665 st "SIGNAL mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging. 6666 " 6593 6667 ) 6594 6668 ) … … 6611 6685 xt "39000,48000,70500,49600" 6612 6686 st "-- for debugging 6613 SIGNAL DG_state : std_logic_vector(7 downto 0)" 6687 SIGNAL DG_state : std_logic_vector(7 downto 0) 6688 " 6614 6689 ) 6615 6690 ) … … 6631 6706 ) 6632 6707 xt "39000,61600,86000,62400" 6633 st "SIGNAL socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true" 6708 st "SIGNAL socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true 6709 " 6634 6710 ) 6635 6711 ) … … 6694 6770 ) 6695 6771 xt "39000,42200,77500,43000" 6696 st "W_T : std_logic_vector( 3 DOWNTO 0 ) := (others => '0')" 6772 st "W_T : std_logic_vector( 3 DOWNTO 0 ) := (others => '0') 6773 " 6697 6774 ) 6698 6775 ) … … 7977 8054 ) 7978 8055 xt "39000,55200,61000,56000" 7979 st "SIGNAL dac_cs1 : std_logic" 8056 st "SIGNAL dac_cs1 : std_logic 8057 " 7980 8058 ) 7981 8059 ) … … 7995 8073 ) 7996 8074 xt "39000,60800,70500,61600" 7997 st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0)" 8075 st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0) 8076 " 7998 8077 ) 7999 8078 ) … … 8012 8091 ) 8013 8092 xt "39000,60000,61000,60800" 8014 st "SIGNAL sclk : std_logic" 8093 st "SIGNAL sclk : std_logic 8094 " 8015 8095 ) 8016 8096 ) … … 8029 8109 ) 8030 8110 xt "39000,59200,61000,60000" 8031 st "SIGNAL mosi1 : std_logic" 8032 ) 8033 ) 8034 *265 (Wire 8111 st "SIGNAL mosi1 : std_logic 8112 " 8113 ) 8114 ) 8115 *265 (MWC 8116 uid 20188,0 8117 optionalChildren [ 8118 *266 (CptPort 8119 uid 20197,0 8120 optionalChildren [ 8121 *267 (Line 8122 uid 20202,0 8123 layer 5 8124 sl 0 8125 va (VaSet 8126 vasetType 3 8127 ) 8128 xt "105000,137000,105000,137000" 8129 pts [ 8130 "105000,137000" 8131 "105000,137000" 8132 ] 8133 ) 8134 ] 8135 ps "OnEdgeStrategy" 8136 shape (Triangle 8137 uid 20198,0 8138 ro 90 8139 va (VaSet 8140 vasetType 1 8141 isHidden 1 8142 fg "0,65535,65535" 8143 ) 8144 xt "104250,136625,105000,137375" 8145 ) 8146 tg (CPTG 8147 uid 20199,0 8148 ps "CptPortTextPlaceStrategy" 8149 stg "VerticalLayoutStrategy" 8150 f (Text 8151 uid 20200,0 8152 sl 0 8153 va (VaSet 8154 isHidden 1 8155 font "arial,8,0" 8156 ) 8157 xt "498200,98500,499000,99500" 8158 st "s" 8159 blo "498200,99300" 8160 ) 8161 s (Text 8162 uid 20201,0 8163 sl 0 8164 va (VaSet 8165 font "arial,8,0" 8166 ) 8167 xt "498200,99500,498200,99500" 8168 blo "498200,99500" 8169 ) 8170 ) 8171 thePort (LogicalPort 8172 decl (Decl 8173 n "s" 8174 t "std_logic" 8175 o 73 8176 i "'1'" 8177 ) 8178 ) 8179 ) 8180 *268 (CptPort 8181 uid 20203,0 8182 optionalChildren [ 8183 *269 (Line 8184 uid 20208,0 8185 layer 5 8186 sl 0 8187 va (VaSet 8188 vasetType 3 8189 ) 8190 xt "108000,137000,108000,137000" 8191 pts [ 8192 "108000,137000" 8193 "108000,137000" 8194 ] 8195 ) 8196 ] 8197 ps "OnEdgeStrategy" 8198 shape (Triangle 8199 uid 20204,0 8200 ro 90 8201 va (VaSet 8202 vasetType 1 8203 isHidden 1 8204 fg "0,65535,65535" 8205 ) 8206 xt "108000,136625,108750,137375" 8207 ) 8208 tg (CPTG 8209 uid 20205,0 8210 ps "CptPortTextPlaceStrategy" 8211 stg "RightVerticalLayoutStrategy" 8212 f (Text 8213 uid 20206,0 8214 sl 0 8215 va (VaSet 8216 isHidden 1 8217 font "arial,8,0" 8218 ) 8219 xt "496000,96500,496600,97500" 8220 st "t" 8221 ju 2 8222 blo "496600,97300" 8223 ) 8224 s (Text 8225 uid 20207,0 8226 sl 0 8227 va (VaSet 8228 font "arial,8,0" 8229 ) 8230 xt "496600,97500,496600,97500" 8231 ju 2 8232 blo "496600,97500" 8233 ) 8234 ) 8235 thePort (LogicalPort 8236 lang 2 8237 m 1 8238 decl (Decl 8239 n "t" 8240 t "std_logic" 8241 o 44 8242 i "'0'" 8243 ) 8244 ) 8245 ) 8246 *270 (CommentGraphic 8247 uid 20209,0 8248 shape (PolyLine2D 8249 pts [ 8250 "105000,137000" 8251 "106000,136000" 8252 ] 8253 uid 20210,0 8254 layer 8 8255 sl 0 8256 va (VaSet 8257 vasetType 1 8258 transparent 1 8259 fg "49152,49152,49152" 8260 lineColor "26368,26368,26368" 8261 lineWidth 2 8262 ) 8263 xt "105000,136000,106000,137000" 8264 ) 8265 oxt "6000,6000,7000,7000" 8266 ) 8267 *271 (CommentGraphic 8268 uid 20211,0 8269 shape (PolyLine2D 8270 pts [ 8271 "105000,137000" 8272 "106000,138000" 8273 ] 8274 uid 20212,0 8275 layer 8 8276 sl 0 8277 va (VaSet 8278 vasetType 1 8279 transparent 1 8280 fg "49152,49152,49152" 8281 lineColor "26368,26368,26368" 8282 lineWidth 2 8283 ) 8284 xt "105000,137000,106000,138000" 8285 ) 8286 oxt "6000,7000,7000,8000" 8287 ) 8288 *272 (CommentGraphic 8289 uid 20213,0 8290 shape (PolyLine2D 8291 pts [ 8292 "105988,137329" 8293 "106988,137329" 8294 ] 8295 uid 20214,0 8296 layer 8 8297 sl 0 8298 va (VaSet 8299 vasetType 1 8300 transparent 1 8301 fg "49152,49152,49152" 8302 lineColor "26368,26368,26368" 8303 lineWidth 2 8304 ) 8305 xt "105988,137329,106988,137329" 8306 ) 8307 oxt "6988,7329,7988,7329" 8308 ) 8309 *273 (CommentGraphic 8310 uid 20215,0 8311 shape (PolyLine2D 8312 pts [ 8313 "107000,137000" 8314 "108000,137000" 8315 ] 8316 uid 20216,0 8317 layer 0 8318 sl 0 8319 va (VaSet 8320 vasetType 1 8321 transparent 1 8322 fg "49152,49152,49152" 8323 ) 8324 xt "107000,137000,108000,137000" 8325 ) 8326 oxt "8000,7000,9000,7000" 8327 ) 8328 *274 (CommentGraphic 8329 uid 20217,0 8330 shape (PolyLine2D 8331 pts [ 8332 "105976,136730" 8333 "106976,136730" 8334 ] 8335 uid 20218,0 8336 layer 8 8337 sl 0 8338 va (VaSet 8339 vasetType 1 8340 transparent 1 8341 fg "49152,49152,49152" 8342 lineColor "26368,26368,26368" 8343 lineWidth 2 8344 ) 8345 xt "105976,136730,106976,136730" 8346 ) 8347 oxt "6976,6730,7976,6730" 8348 ) 8349 ] 8350 shape (Rectangle 8351 uid 20189,0 8352 va (VaSet 8353 vasetType 1 8354 transparent 1 8355 fg "0,65535,0" 8356 lineColor "65535,65535,65535" 8357 lineWidth -1 8358 ) 8359 xt "105000,136000,108000,138000" 8360 fos 1 8361 ) 8362 showPorts 0 8363 oxt "6000,6000,9000,8000" 8364 ttg (MlTextGroup 8365 uid 20190,0 8366 ps "CenterOffsetStrategy" 8367 stg "VerticalLayoutStrategy" 8368 textVec [ 8369 *275 (Text 8370 uid 20191,0 8371 va (VaSet 8372 isHidden 1 8373 font "arial,8,0" 8374 ) 8375 xt "105350,137100,110150,138100" 8376 st "moduleware" 8377 blo "105350,137900" 8378 ) 8379 *276 (Text 8380 uid 20192,0 8381 va (VaSet 8382 font "arial,8,0" 8383 ) 8384 xt "105350,138100,110050,139100" 8385 st "assignment" 8386 blo "105350,138900" 8387 ) 8388 *277 (Text 8389 uid 20193,0 8390 va (VaSet 8391 font "arial,8,0" 8392 ) 8393 xt "105350,139100,106350,140100" 8394 st "I4" 8395 blo "105350,139900" 8396 tm "InstanceNameMgr" 8397 ) 8398 ] 8399 ) 8400 ga (GenericAssociation 8401 uid 20194,0 8402 ps "EdgeToEdgeStrategy" 8403 matrix (Matrix 8404 uid 20195,0 8405 text (MLText 8406 uid 20196,0 8407 va (VaSet 8408 font "arial,8,0" 8409 ) 8410 xt "100000,116400,100000,116400" 8411 ) 8412 header "" 8413 ) 8414 elements [ 8415 ] 8416 ) 8417 sed 1 8418 awe 1 8419 portVis (PortSigDisplay 8420 disp 1 8421 sN 0 8422 sTC 0 8423 selT 0 8424 ) 8425 prms (Property 8426 pclass "params" 8427 pname "params" 8428 ptn "String" 8429 ) 8430 visOptions (mwParamsVisibilityOptions 8431 ) 8432 ) 8433 *278 (Net 8434 uid 20219,0 8435 decl (Decl 8436 n "trigger_veto" 8437 t "std_logic" 8438 o 73 8439 suid 249,0 8440 i "'1'" 8441 ) 8442 declText (MLText 8443 uid 20220,0 8444 va (VaSet 8445 font "Courier New,8,0" 8446 ) 8447 xt "39000,62400,75000,63200" 8448 st "SIGNAL trigger_veto : std_logic := '1' 8449 " 8450 ) 8451 ) 8452 *279 (Wire 8035 8453 uid 245,0 8036 8454 shape (OrthoPolyLine … … 8070 8488 on &32 8071 8489 ) 8072 *2 66(Wire8490 *280 (Wire 8073 8491 uid 277,0 8074 8492 shape (OrthoPolyLine … … 8108 8526 on &18 8109 8527 ) 8110 *2 67(Wire8528 *281 (Wire 8111 8529 uid 285,0 8112 8530 shape (OrthoPolyLine … … 8146 8564 on &19 8147 8565 ) 8148 *2 68(Wire8566 *282 (Wire 8149 8567 uid 362,0 8150 8568 shape (OrthoPolyLine … … 8184 8602 on &40 8185 8603 ) 8186 *2 69(Wire8604 *283 (Wire 8187 8605 uid 418,0 8188 8606 shape (OrthoPolyLine … … 8222 8640 on &72 8223 8641 ) 8224 *2 70(Wire8642 *284 (Wire 8225 8643 uid 426,0 8226 8644 shape (OrthoPolyLine … … 8262 8680 on &70 8263 8681 ) 8264 *2 71(Wire8682 *285 (Wire 8265 8683 uid 434,0 8266 8684 shape (OrthoPolyLine … … 8300 8718 on &76 8301 8719 ) 8302 *2 72(Wire8720 *286 (Wire 8303 8721 uid 442,0 8304 8722 shape (OrthoPolyLine … … 8340 8758 on &71 8341 8759 ) 8342 *2 73(Wire8760 *287 (Wire 8343 8761 uid 450,0 8344 8762 shape (OrthoPolyLine … … 8378 8796 on &75 8379 8797 ) 8380 *2 74(Wire8798 *288 (Wire 8381 8799 uid 458,0 8382 8800 shape (OrthoPolyLine … … 8416 8834 on &73 8417 8835 ) 8418 *2 75(Wire8836 *289 (Wire 8419 8837 uid 466,0 8420 8838 shape (OrthoPolyLine … … 8454 8872 on &74 8455 8873 ) 8456 *2 76(Wire8874 *290 (Wire 8457 8875 uid 1467,0 8458 8876 shape (OrthoPolyLine … … 8491 8909 on &27 8492 8910 ) 8493 *2 77(Wire8911 *291 (Wire 8494 8912 uid 1730,0 8495 8913 shape (OrthoPolyLine … … 8531 8949 on &42 8532 8950 ) 8533 *2 78(Wire8951 *292 (Wire 8534 8952 uid 1833,0 8535 8953 shape (OrthoPolyLine … … 8571 8989 on &64 8572 8990 ) 8573 *2 79(Wire8991 *293 (Wire 8574 8992 uid 1841,0 8575 8993 shape (OrthoPolyLine … … 8609 9027 on &66 8610 9028 ) 8611 *2 80(Wire9029 *294 (Wire 8612 9030 uid 1865,0 8613 9031 shape (OrthoPolyLine … … 8647 9065 on &59 8648 9066 ) 8649 *2 81(Wire9067 *295 (Wire 8650 9068 uid 1873,0 8651 9069 shape (OrthoPolyLine … … 8685 9103 on &60 8686 9104 ) 8687 *2 82(Wire9105 *296 (Wire 8688 9106 uid 1881,0 8689 9107 shape (OrthoPolyLine … … 8723 9141 on &61 8724 9142 ) 8725 *2 83(Wire9143 *297 (Wire 8726 9144 uid 1889,0 8727 9145 shape (OrthoPolyLine … … 8761 9179 on &62 8762 9180 ) 8763 *2 84(Wire9181 *298 (Wire 8764 9182 uid 2409,0 8765 9183 shape (OrthoPolyLine … … 8799 9217 on &28 8800 9218 ) 8801 *2 85(Wire9219 *299 (Wire 8802 9220 uid 3009,0 8803 9221 shape (OrthoPolyLine … … 8837 9255 on &69 8838 9256 ) 8839 * 286(Wire9257 *300 (Wire 8840 9258 uid 3015,0 8841 9259 shape (OrthoPolyLine … … 8875 9293 on &80 8876 9294 ) 8877 * 287(Wire9295 *301 (Wire 8878 9296 uid 3027,0 8879 9297 shape (OrthoPolyLine … … 8914 9332 on &30 8915 9333 ) 8916 * 288(Wire9334 *302 (Wire 8917 9335 uid 3218,0 8918 9336 shape (OrthoPolyLine … … 8952 9370 on &33 8953 9371 ) 8954 * 289(Wire9372 *303 (Wire 8955 9373 uid 3260,0 8956 9374 shape (OrthoPolyLine … … 8992 9410 on &38 8993 9411 ) 8994 * 290(Wire9412 *304 (Wire 8995 9413 uid 3318,0 8996 9414 shape (OrthoPolyLine … … 9032 9450 on &51 9033 9451 ) 9034 * 291(Wire9452 *305 (Wire 9035 9453 uid 3352,0 9036 9454 shape (OrthoPolyLine … … 9072 9490 on &52 9073 9491 ) 9074 * 292(Wire9492 *306 (Wire 9075 9493 uid 3360,0 9076 9494 shape (OrthoPolyLine … … 9112 9530 on &53 9113 9531 ) 9114 * 293(Wire9532 *307 (Wire 9115 9533 uid 3368,0 9116 9534 shape (OrthoPolyLine … … 9152 9570 on &54 9153 9571 ) 9154 * 294(Wire9572 *308 (Wire 9155 9573 uid 3682,0 9156 9574 shape (OrthoPolyLine … … 9190 9608 on &78 9191 9609 ) 9192 * 295(Wire9610 *309 (Wire 9193 9611 uid 3834,0 9194 9612 shape (OrthoPolyLine … … 9228 9646 on &92 9229 9647 ) 9230 * 296(Wire9648 *310 (Wire 9231 9649 uid 4942,0 9232 9650 shape (OrthoPolyLine … … 9268 9686 on &94 9269 9687 ) 9270 * 297(Wire9688 *311 (Wire 9271 9689 uid 6431,0 9272 9690 shape (OrthoPolyLine … … 9306 9724 on &91 9307 9725 ) 9308 * 298(Wire9726 *312 (Wire 9309 9727 uid 7144,0 9310 9728 shape (OrthoPolyLine … … 9345 9763 on &98 9346 9764 ) 9347 * 299(Wire9765 *313 (Wire 9348 9766 uid 9502,0 9349 9767 shape (OrthoPolyLine … … 9380 9798 on &99 9381 9799 ) 9382 *3 00(Wire9800 *314 (Wire 9383 9801 uid 10302,0 9384 9802 shape (OrthoPolyLine … … 9419 9837 on &101 9420 9838 ) 9421 *3 01(Wire9839 *315 (Wire 9422 9840 uid 11514,0 9423 9841 shape (OrthoPolyLine … … 9457 9875 on &109 9458 9876 ) 9459 *3 02(Wire9877 *316 (Wire 9460 9878 uid 11528,0 9461 9879 shape (OrthoPolyLine … … 9495 9913 on &110 9496 9914 ) 9497 *3 03(Wire9915 *317 (Wire 9498 9916 uid 12320,0 9499 9917 shape (OrthoPolyLine … … 9533 9951 on &112 9534 9952 ) 9535 *3 04(Wire9953 *318 (Wire 9536 9954 uid 12545,0 9537 9955 shape (OrthoPolyLine … … 9570 9988 on &116 9571 9989 ) 9572 *3 05(Wire9990 *319 (Wire 9573 9991 uid 12559,0 9574 9992 shape (OrthoPolyLine … … 9607 10025 on &117 9608 10026 ) 9609 *3 06(Wire10027 *320 (Wire 9610 10028 uid 12573,0 9611 10029 shape (OrthoPolyLine … … 9644 10062 on &118 9645 10063 ) 9646 *3 07(Wire10064 *321 (Wire 9647 10065 uid 13522,0 9648 10066 shape (OrthoPolyLine … … 9682 10100 on &120 9683 10101 ) 9684 *3 08(Wire10102 *322 (Wire 9685 10103 uid 13618,0 9686 10104 shape (OrthoPolyLine … … 9721 10139 on &96 9722 10140 ) 9723 *3 09(Wire10141 *323 (Wire 9724 10142 uid 13634,0 9725 10143 shape (OrthoPolyLine … … 9758 10176 on &122 9759 10177 ) 9760 *3 10(Wire10178 *324 (Wire 9761 10179 uid 13658,0 9762 10180 shape (OrthoPolyLine … … 9796 10214 on &90 9797 10215 ) 9798 *3 11(Wire10216 *325 (Wire 9799 10217 uid 14328,0 9800 10218 shape (OrthoPolyLine … … 9835 10253 on &124 9836 10254 ) 9837 *3 12(Wire10255 *326 (Wire 9838 10256 uid 15175,0 9839 10257 shape (OrthoPolyLine … … 9872 10290 on &125 9873 10291 ) 9874 *3 13(Wire10292 *327 (Wire 9875 10293 uid 15517,0 9876 10294 shape (OrthoPolyLine … … 9909 10327 on &88 9910 10328 ) 9911 *3 14(Wire10329 *328 (Wire 9912 10330 uid 15525,0 9913 10331 shape (OrthoPolyLine … … 9946 10364 on &107 9947 10365 ) 9948 *3 15(Wire10366 *329 (Wire 9949 10367 uid 15533,0 9950 10368 shape (OrthoPolyLine … … 9983 10401 on &87 9984 10402 ) 9985 *3 16(Wire10403 *330 (Wire 9986 10404 uid 15563,0 9987 10405 shape (OrthoPolyLine … … 10021 10439 on &89 10022 10440 ) 10023 *3 17(Wire10441 *331 (Wire 10024 10442 uid 15712,0 10025 10443 shape (OrthoPolyLine … … 10060 10478 on &128 10061 10479 ) 10062 *3 18(Wire10480 *332 (Wire 10063 10481 uid 15851,0 10064 10482 shape (OrthoPolyLine … … 10100 10518 on &130 10101 10519 ) 10102 *3 19(Wire10520 *333 (Wire 10103 10521 uid 16063,0 10104 10522 shape (OrthoPolyLine … … 10139 10557 on &132 10140 10558 ) 10141 *3 20(Wire10559 *334 (Wire 10142 10560 uid 16247,0 10143 10561 shape (OrthoPolyLine … … 10175 10593 on &133 10176 10594 ) 10177 *3 21(Wire10595 *335 (Wire 10178 10596 uid 16538,0 10179 10597 shape (OrthoPolyLine … … 10210 10628 on &138 10211 10629 ) 10212 *3 22(Wire10630 *336 (Wire 10213 10631 uid 16546,0 10214 10632 shape (OrthoPolyLine … … 10245 10663 on &139 10246 10664 ) 10247 *3 23(Wire10665 *337 (Wire 10248 10666 uid 16576,0 10249 10667 shape (OrthoPolyLine … … 10282 10700 on &140 10283 10701 ) 10284 *3 24(Wire10702 *338 (Wire 10285 10703 uid 17296,0 10286 10704 shape (OrthoPolyLine … … 10318 10736 on &198 10319 10737 ) 10320 *3 25(Wire10738 *339 (Wire 10321 10739 uid 17407,0 10322 10740 shape (OrthoPolyLine … … 10325 10743 vasetType 3 10326 10744 ) 10327 xt " 80750,144000,87000,144000"10328 pts [ 10329 " 80750,144000"10330 " 87000,144000"10331 ] 10332 ) 10333 start & 18410745 xt "108000,137000,112000,144000" 10746 pts [ 10747 "108000,137000" 10748 "112000,144000" 10749 ] 10750 ) 10751 start &268 10334 10752 end &199 10753 ss 0 10335 10754 sat 32 10336 10755 eat 32 … … 10347 10766 isHidden 1 10348 10767 ) 10349 xt " 83000,143000,85900,144000"10768 xt "110000,136000,112900,137000" 10350 10769 st "TRG_V" 10351 blo " 83000,143800"10770 blo "110000,136800" 10352 10771 tm "WireNameMgr" 10353 10772 ) … … 10355 10774 on &200 10356 10775 ) 10357 *3 26(Wire10776 *340 (Wire 10358 10777 uid 17848,0 10359 10778 shape (OrthoPolyLine … … 10392 10811 on &201 10393 10812 ) 10394 *3 27(Wire10813 *341 (Wire 10395 10814 uid 17856,0 10396 10815 shape (OrthoPolyLine … … 10429 10848 on &201 10430 10849 ) 10431 *3 28(Wire10850 *342 (Wire 10432 10851 uid 18068,0 10433 10852 shape (OrthoPolyLine … … 10464 10883 on &202 10465 10884 ) 10466 *3 29(Wire10885 *343 (Wire 10467 10886 uid 18076,0 10468 10887 shape (OrthoPolyLine … … 10499 10918 on &203 10500 10919 ) 10501 *3 30(Wire10920 *344 (Wire 10502 10921 uid 18207,0 10503 10922 shape (OrthoPolyLine … … 10536 10955 on &204 10537 10956 ) 10538 *3 31(Wire10957 *345 (Wire 10539 10958 uid 18328,0 10540 10959 shape (OrthoPolyLine … … 10573 10992 on &205 10574 10993 ) 10575 *3 32(Wire10994 *346 (Wire 10576 10995 uid 18336,0 10577 10996 shape (OrthoPolyLine … … 10609 11028 on &205 10610 11029 ) 10611 *3 33(Wire11030 *347 (Wire 10612 11031 uid 18352,0 10613 11032 shape (OrthoPolyLine … … 10643 11062 on &203 10644 11063 ) 10645 *3 34(Wire11064 *348 (Wire 10646 11065 uid 18360,0 10647 11066 shape (OrthoPolyLine … … 10678 11097 on &204 10679 11098 ) 10680 *3 35(Wire11099 *349 (Wire 10681 11100 uid 18477,0 10682 11101 shape (OrthoPolyLine … … 10715 11134 on &206 10716 11135 ) 10717 *3 36(Wire11136 *350 (Wire 10718 11137 uid 18808,0 10719 11138 shape (OrthoPolyLine … … 10754 11173 on &208 10755 11174 ) 10756 *3 37(Wire11175 *351 (Wire 10757 11176 uid 18923,0 10758 11177 shape (OrthoPolyLine … … 10789 11208 on &206 10790 11209 ) 10791 *3 38(Wire11210 *352 (Wire 10792 11211 uid 19161,0 10793 11212 shape (OrthoPolyLine … … 10824 11243 on &200 10825 11244 ) 10826 *3 39(Wire11245 *353 (Wire 10827 11246 uid 19169,0 10828 11247 shape (OrthoPolyLine … … 10859 11278 on &202 10860 11279 ) 10861 *3 40(Wire11280 *354 (Wire 10862 11281 uid 19533,0 10863 11282 shape (OrthoPolyLine … … 10896 11315 on &261 10897 11316 ) 10898 *3 41(Wire11317 *355 (Wire 10899 11318 uid 19539,0 10900 11319 shape (OrthoPolyLine … … 10933 11352 on &262 10934 11353 ) 10935 *3 42(Wire11354 *356 (Wire 10936 11355 uid 19545,0 10937 11356 shape (OrthoPolyLine … … 10970 11389 on &263 10971 11390 ) 10972 *3 43(Wire11391 *357 (Wire 10973 11392 uid 19551,0 10974 11393 shape (OrthoPolyLine … … 11009 11428 on &264 11010 11429 ) 11430 *358 (Wire 11431 uid 20221,0 11432 shape (OrthoPolyLine 11433 uid 20222,0 11434 va (VaSet 11435 vasetType 3 11436 ) 11437 xt "80750,137000,105000,144000" 11438 pts [ 11439 "80750,144000" 11440 "105000,144000" 11441 "105000,137000" 11442 ] 11443 ) 11444 start &184 11445 end &266 11446 sat 32 11447 eat 32 11448 st 0 11449 sf 1 11450 si 0 11451 tg (WTG 11452 uid 20223,0 11453 ps "ConnStartEndStrategy" 11454 stg "STSignalDisplayStrategy" 11455 f (Text 11456 uid 20224,0 11457 va (VaSet 11458 ) 11459 xt "82750,143000,88350,144000" 11460 st "trigger_veto" 11461 blo "82750,143800" 11462 tm "WireNameMgr" 11463 ) 11464 ) 11465 on &278 11466 ) 11011 11467 ] 11012 11468 bg "65535,65535,65535" … … 11021 11477 color "26368,26368,26368" 11022 11478 ) 11023 packageList *3 44(PackageList11479 packageList *359 (PackageList 11024 11480 uid 41,0 11025 11481 stg "VerticalLayoutStrategy" 11026 11482 textVec [ 11027 *3 45(Text11483 *360 (Text 11028 11484 uid 42,0 11029 11485 va (VaSet … … 11034 11490 blo "0,800" 11035 11491 ) 11036 *3 46(MLText11492 *361 (MLText 11037 11493 uid 43,0 11038 11494 va (VaSet … … 11055 11511 stg "VerticalLayoutStrategy" 11056 11512 textVec [ 11057 *3 47(Text11513 *362 (Text 11058 11514 uid 45,0 11059 11515 va (VaSet … … 11065 11521 blo "20000,800" 11066 11522 ) 11067 *3 48(Text11523 *363 (Text 11068 11524 uid 46,0 11069 11525 va (VaSet … … 11075 11531 blo "20000,1800" 11076 11532 ) 11077 *3 49(MLText11533 *364 (MLText 11078 11534 uid 47,0 11079 11535 va (VaSet … … 11085 11541 tm "BdCompilerDirectivesTextMgr" 11086 11542 ) 11087 *3 50(Text11543 *365 (Text 11088 11544 uid 48,0 11089 11545 va (VaSet … … 11095 11551 blo "20000,4800" 11096 11552 ) 11097 *3 51(MLText11553 *366 (MLText 11098 11554 uid 49,0 11099 11555 va (VaSet … … 11103 11559 tm "BdCompilerDirectivesTextMgr" 11104 11560 ) 11105 *3 52(Text11561 *367 (Text 11106 11562 uid 50,0 11107 11563 va (VaSet … … 11113 11569 blo "20000,5800" 11114 11570 ) 11115 *3 53(MLText11571 *368 (MLText 11116 11572 uid 51,0 11117 11573 va (VaSet … … 11125 11581 ) 11126 11582 windowSize "0,22,1681,1050" 11127 viewArea " 49100,50668,141206,106486"11128 cachedDiagramExtent "-23000,0,4 77000,153000"11583 viewArea "12308,102297,104414,159879" 11584 cachedDiagramExtent "-23000,0,499000,153000" 11129 11585 pageSetupInfo (PageSetupInfo 11130 11586 ptrCmd "" … … 11138 11594 hasePageBreakOrigin 1 11139 11595 pageBreakOrigin "-73000,0" 11140 lastUid 20 072,011596 lastUid 20226,0 11141 11597 defaultCommentText (CommentText 11142 11598 shape (Rectangle … … 11200 11656 stg "VerticalLayoutStrategy" 11201 11657 textVec [ 11202 *3 54(Text11658 *369 (Text 11203 11659 va (VaSet 11204 11660 font "Arial,8,1" … … 11209 11665 tm "BdLibraryNameMgr" 11210 11666 ) 11211 *3 55(Text11667 *370 (Text 11212 11668 va (VaSet 11213 11669 font "Arial,8,1" … … 11218 11674 tm "BlkNameMgr" 11219 11675 ) 11220 *3 56(Text11676 *371 (Text 11221 11677 va (VaSet 11222 11678 font "Arial,8,1" … … 11269 11725 stg "VerticalLayoutStrategy" 11270 11726 textVec [ 11271 *3 57(Text11727 *372 (Text 11272 11728 va (VaSet 11273 11729 font "Arial,8,1" … … 11277 11733 blo "550,4300" 11278 11734 ) 11279 *3 58(Text11735 *373 (Text 11280 11736 va (VaSet 11281 11737 font "Arial,8,1" … … 11285 11741 blo "550,5300" 11286 11742 ) 11287 *3 59(Text11743 *374 (Text 11288 11744 va (VaSet 11289 11745 font "Arial,8,1" … … 11334 11790 stg "VerticalLayoutStrategy" 11335 11791 textVec [ 11336 *3 60(Text11792 *375 (Text 11337 11793 va (VaSet 11338 11794 font "Arial,8,1" … … 11343 11799 tm "BdLibraryNameMgr" 11344 11800 ) 11345 *3 61(Text11801 *376 (Text 11346 11802 va (VaSet 11347 11803 font "Arial,8,1" … … 11352 11808 tm "CptNameMgr" 11353 11809 ) 11354 *3 62(Text11810 *377 (Text 11355 11811 va (VaSet 11356 11812 font "Arial,8,1" … … 11406 11862 stg "VerticalLayoutStrategy" 11407 11863 textVec [ 11408 *3 63(Text11864 *378 (Text 11409 11865 va (VaSet 11410 11866 font "Arial,8,1" … … 11414 11870 blo "500,4300" 11415 11871 ) 11416 *3 64(Text11872 *379 (Text 11417 11873 va (VaSet 11418 11874 font "Arial,8,1" … … 11422 11878 blo "500,5300" 11423 11879 ) 11424 *3 65(Text11880 *380 (Text 11425 11881 va (VaSet 11426 11882 font "Arial,8,1" … … 11467 11923 stg "VerticalLayoutStrategy" 11468 11924 textVec [ 11469 *3 66(Text11925 *381 (Text 11470 11926 va (VaSet 11471 11927 font "Arial,8,1" … … 11475 11931 blo "50,4300" 11476 11932 ) 11477 *3 67(Text11933 *382 (Text 11478 11934 va (VaSet 11479 11935 font "Arial,8,1" … … 11483 11939 blo "50,5300" 11484 11940 ) 11485 *3 68(Text11941 *383 (Text 11486 11942 va (VaSet 11487 11943 font "Arial,8,1" … … 11524 11980 stg "VerticalLayoutStrategy" 11525 11981 textVec [ 11526 *3 69(Text11982 *384 (Text 11527 11983 va (VaSet 11528 11984 font "Arial,8,1" … … 11533 11989 tm "HdlTextNameMgr" 11534 11990 ) 11535 *3 70(Text11991 *385 (Text 11536 11992 va (VaSet 11537 11993 font "Arial,8,1" … … 11936 12392 stg "VerticalLayoutStrategy" 11937 12393 textVec [ 11938 *3 71(Text12394 *386 (Text 11939 12395 va (VaSet 11940 12396 font "Arial,8,1" … … 11944 12400 blo "14100,20800" 11945 12401 ) 11946 *3 72(MLText12402 *387 (MLText 11947 12403 va (VaSet 11948 12404 ) … … 11996 12452 stg "VerticalLayoutStrategy" 11997 12453 textVec [ 11998 *3 73(Text12454 *388 (Text 11999 12455 va (VaSet 12000 12456 font "Arial,8,1" … … 12004 12460 blo "14100,20800" 12005 12461 ) 12006 *3 74(MLText12462 *389 (MLText 12007 12463 va (VaSet 12008 12464 ) … … 12148 12604 commonDM (CommonDM 12149 12605 ldm (LogicalDM 12150 suid 24 8,012606 suid 249,0 12151 12607 usingSuid 1 12152 emptyRow *3 75(LEmptyRow12608 emptyRow *390 (LEmptyRow 12153 12609 ) 12154 12610 uid 54,0 12155 12611 optionalChildren [ 12156 *3 76(RefLabelRowHdr12157 ) 12158 *3 77(TitleRowHdr12159 ) 12160 *3 78(FilterRowHdr12161 ) 12162 *3 79(RefLabelColHdr12612 *391 (RefLabelRowHdr 12613 ) 12614 *392 (TitleRowHdr 12615 ) 12616 *393 (FilterRowHdr 12617 ) 12618 *394 (RefLabelColHdr 12163 12619 tm "RefLabelColHdrMgr" 12164 12620 ) 12165 *3 80(RowExpandColHdr12621 *395 (RowExpandColHdr 12166 12622 tm "RowExpandColHdrMgr" 12167 12623 ) 12168 *3 81(GroupColHdr12624 *396 (GroupColHdr 12169 12625 tm "GroupColHdrMgr" 12170 12626 ) 12171 *3 82(NameColHdr12627 *397 (NameColHdr 12172 12628 tm "BlockDiagramNameColHdrMgr" 12173 12629 ) 12174 *3 83(ModeColHdr12630 *398 (ModeColHdr 12175 12631 tm "BlockDiagramModeColHdrMgr" 12176 12632 ) 12177 *3 84(TypeColHdr12633 *399 (TypeColHdr 12178 12634 tm "BlockDiagramTypeColHdrMgr" 12179 12635 ) 12180 * 385(BoundsColHdr12636 *400 (BoundsColHdr 12181 12637 tm "BlockDiagramBoundsColHdrMgr" 12182 12638 ) 12183 * 386(InitColHdr12639 *401 (InitColHdr 12184 12640 tm "BlockDiagramInitColHdrMgr" 12185 12641 ) 12186 * 387(EolColHdr12642 *402 (EolColHdr 12187 12643 tm "BlockDiagramEolColHdrMgr" 12188 12644 ) 12189 * 388(LeafLogPort12645 *403 (LeafLogPort 12190 12646 port (LogicalPort 12191 12647 m 4 … … 12202 12658 uid 327,0 12203 12659 ) 12204 * 389(LeafLogPort12660 *404 (LeafLogPort 12205 12661 port (LogicalPort 12206 12662 m 4 … … 12215 12671 uid 329,0 12216 12672 ) 12217 * 390(LeafLogPort12673 *405 (LeafLogPort 12218 12674 port (LogicalPort 12219 12675 m 4 … … 12227 12683 uid 1491,0 12228 12684 ) 12229 * 391(LeafLogPort12685 *406 (LeafLogPort 12230 12686 port (LogicalPort 12231 12687 m 1 … … 12240 12696 uid 2435,0 12241 12697 ) 12242 * 392(LeafLogPort12698 *407 (LeafLogPort 12243 12699 port (LogicalPort 12244 12700 m 1 … … 12252 12708 uid 3039,0 12253 12709 ) 12254 * 393(LeafLogPort12710 *408 (LeafLogPort 12255 12711 port (LogicalPort 12256 12712 decl (Decl … … 12265 12721 uid 3276,0 12266 12722 ) 12267 * 394(LeafLogPort12723 *409 (LeafLogPort 12268 12724 port (LogicalPort 12269 12725 decl (Decl … … 12276 12732 uid 3278,0 12277 12733 ) 12278 * 395(LeafLogPort12734 *410 (LeafLogPort 12279 12735 port (LogicalPort 12280 12736 m 1 … … 12289 12745 uid 3280,0 12290 12746 ) 12291 * 396(LeafLogPort12747 *411 (LeafLogPort 12292 12748 port (LogicalPort 12293 12749 m 1 … … 12303 12759 uid 3382,0 12304 12760 ) 12305 * 397(LeafLogPort12761 *412 (LeafLogPort 12306 12762 port (LogicalPort 12307 12763 decl (Decl … … 12315 12771 uid 3384,0 12316 12772 ) 12317 * 398(LeafLogPort12773 *413 (LeafLogPort 12318 12774 port (LogicalPort 12319 12775 decl (Decl … … 12327 12783 uid 3386,0 12328 12784 ) 12329 * 399(LeafLogPort12785 *414 (LeafLogPort 12330 12786 port (LogicalPort 12331 12787 decl (Decl … … 12339 12795 uid 3388,0 12340 12796 ) 12341 *4 00(LeafLogPort12797 *415 (LeafLogPort 12342 12798 port (LogicalPort 12343 12799 decl (Decl … … 12351 12807 uid 3390,0 12352 12808 ) 12353 *4 01(LeafLogPort12809 *416 (LeafLogPort 12354 12810 port (LogicalPort 12355 12811 decl (Decl … … 12363 12819 uid 3392,0 12364 12820 ) 12365 *4 02(LeafLogPort12821 *417 (LeafLogPort 12366 12822 port (LogicalPort 12367 12823 decl (Decl … … 12374 12830 uid 3524,0 12375 12831 ) 12376 *4 03(LeafLogPort12832 *418 (LeafLogPort 12377 12833 port (LogicalPort 12378 12834 decl (Decl … … 12385 12841 uid 3526,0 12386 12842 ) 12387 *4 04(LeafLogPort12843 *419 (LeafLogPort 12388 12844 port (LogicalPort 12389 12845 decl (Decl … … 12396 12852 uid 3528,0 12397 12853 ) 12398 *4 05(LeafLogPort12854 *420 (LeafLogPort 12399 12855 port (LogicalPort 12400 12856 decl (Decl … … 12407 12863 uid 3530,0 12408 12864 ) 12409 *4 06(LeafLogPort12865 *421 (LeafLogPort 12410 12866 port (LogicalPort 12411 12867 m 1 … … 12421 12877 uid 3532,0 12422 12878 ) 12423 *4 07(LeafLogPort12879 *422 (LeafLogPort 12424 12880 port (LogicalPort 12425 12881 m 1 … … 12434 12890 uid 3534,0 12435 12891 ) 12436 *4 08(LeafLogPort12892 *423 (LeafLogPort 12437 12893 port (LogicalPort 12438 12894 m 1 … … 12446 12902 uid 3654,0 12447 12903 ) 12448 *4 09(LeafLogPort12904 *424 (LeafLogPort 12449 12905 port (LogicalPort 12450 12906 m 1 … … 12459 12915 uid 3656,0 12460 12916 ) 12461 *4 10(LeafLogPort12917 *425 (LeafLogPort 12462 12918 port (LogicalPort 12463 12919 m 2 … … 12472 12928 uid 3658,0 12473 12929 ) 12474 *4 11(LeafLogPort12930 *426 (LeafLogPort 12475 12931 port (LogicalPort 12476 12932 m 1 … … 12485 12941 uid 3660,0 12486 12942 ) 12487 *4 12(LeafLogPort12943 *427 (LeafLogPort 12488 12944 port (LogicalPort 12489 12945 m 1 … … 12498 12954 uid 3662,0 12499 12955 ) 12500 *4 13(LeafLogPort12956 *428 (LeafLogPort 12501 12957 port (LogicalPort 12502 12958 m 1 … … 12511 12967 uid 3664,0 12512 12968 ) 12513 *4 14(LeafLogPort12969 *429 (LeafLogPort 12514 12970 port (LogicalPort 12515 12971 decl (Decl … … 12522 12978 uid 3666,0 12523 12979 ) 12524 *4 15(LeafLogPort12980 *430 (LeafLogPort 12525 12981 port (LogicalPort 12526 12982 m 1 … … 12535 12991 uid 3668,0 12536 12992 ) 12537 *4 16(LeafLogPort12993 *431 (LeafLogPort 12538 12994 port (LogicalPort 12539 12995 m 1 … … 12548 13004 uid 3696,0 12549 13005 ) 12550 *4 17(LeafLogPort13006 *432 (LeafLogPort 12551 13007 port (LogicalPort 12552 13008 m 2 … … 12562 13018 uid 3698,0 12563 13019 ) 12564 *4 18(LeafLogPort13020 *433 (LeafLogPort 12565 13021 port (LogicalPort 12566 13022 m 1 … … 12574 13030 uid 3888,0 12575 13031 ) 12576 *4 19(LeafLogPort13032 *434 (LeafLogPort 12577 13033 port (LogicalPort 12578 13034 m 1 … … 12586 13042 uid 3890,0 12587 13043 ) 12588 *4 20(LeafLogPort13044 *435 (LeafLogPort 12589 13045 port (LogicalPort 12590 13046 m 1 … … 12598 13054 uid 3892,0 12599 13055 ) 12600 *4 21(LeafLogPort13056 *436 (LeafLogPort 12601 13057 port (LogicalPort 12602 13058 m 1 … … 12610 13066 uid 3894,0 12611 13067 ) 12612 *4 22(LeafLogPort13068 *437 (LeafLogPort 12613 13069 port (LogicalPort 12614 13070 m 1 … … 12623 13079 uid 3896,0 12624 13080 ) 12625 *4 23(LeafLogPort13081 *438 (LeafLogPort 12626 13082 port (LogicalPort 12627 13083 m 1 … … 12635 13091 uid 3900,0 12636 13092 ) 12637 *4 24(LeafLogPort13093 *439 (LeafLogPort 12638 13094 port (LogicalPort 12639 13095 m 1 … … 12649 13105 uid 5322,0 12650 13106 ) 12651 *4 25(LeafLogPort13107 *440 (LeafLogPort 12652 13108 port (LogicalPort 12653 13109 m 1 … … 12664 13120 scheme 0 12665 13121 ) 12666 *4 26(LeafLogPort13122 *441 (LeafLogPort 12667 13123 port (LogicalPort 12668 13124 m 1 … … 12679 13135 scheme 0 12680 13136 ) 12681 *4 27(LeafLogPort13137 *442 (LeafLogPort 12682 13138 port (LogicalPort 12683 13139 m 4 … … 12691 13147 uid 9516,0 12692 13148 ) 12693 *4 28(LeafLogPort13149 *443 (LeafLogPort 12694 13150 port (LogicalPort 12695 13151 m 1 … … 12706 13162 scheme 0 12707 13163 ) 12708 *4 29(LeafLogPort13164 *444 (LeafLogPort 12709 13165 port (LogicalPort 12710 13166 m 1 … … 12719 13175 scheme 0 12720 13176 ) 12721 *4 30(LeafLogPort13177 *445 (LeafLogPort 12722 13178 port (LogicalPort 12723 13179 decl (Decl … … 12731 13187 scheme 0 12732 13188 ) 12733 *4 31(LeafLogPort13189 *446 (LeafLogPort 12734 13190 port (LogicalPort 12735 13191 m 1 … … 12744 13200 scheme 0 12745 13201 ) 12746 *4 32(LeafLogPort13202 *447 (LeafLogPort 12747 13203 port (LogicalPort 12748 13204 m 1 … … 12757 13213 uid 12336,0 12758 13214 ) 12759 *4 33(LeafLogPort13215 *448 (LeafLogPort 12760 13216 port (LogicalPort 12761 13217 m 1 … … 12769 13225 uid 12768,0 12770 13226 ) 12771 *4 34(LeafLogPort13227 *449 (LeafLogPort 12772 13228 port (LogicalPort 12773 13229 m 1 … … 12781 13237 uid 12770,0 12782 13238 ) 12783 *4 35(LeafLogPort13239 *450 (LeafLogPort 12784 13240 port (LogicalPort 12785 13241 m 1 … … 12793 13249 uid 12772,0 12794 13250 ) 12795 *4 36(LeafLogPort13251 *451 (LeafLogPort 12796 13252 port (LogicalPort 12797 13253 decl (Decl … … 12806 13262 scheme 0 12807 13263 ) 12808 *4 37(LeafLogPort13264 *452 (LeafLogPort 12809 13265 port (LogicalPort 12810 13266 decl (Decl … … 12818 13274 scheme 0 12819 13275 ) 12820 *4 38(LeafLogPort13276 *453 (LeafLogPort 12821 13277 port (LogicalPort 12822 13278 decl (Decl … … 12831 13287 scheme 0 12832 13288 ) 12833 *4 39(LeafLogPort13289 *454 (LeafLogPort 12834 13290 port (LogicalPort 12835 13291 m 4 … … 12846 13302 uid 15181,0 12847 13303 ) 12848 *4 40(LeafLogPort13304 *455 (LeafLogPort 12849 13305 port (LogicalPort 12850 13306 decl (Decl … … 12859 13315 scheme 0 12860 13316 ) 12861 *4 41(LeafLogPort13317 *456 (LeafLogPort 12862 13318 port (LogicalPort 12863 13319 m 1 … … 12873 13329 scheme 0 12874 13330 ) 12875 *4 42(LeafLogPort13331 *457 (LeafLogPort 12876 13332 port (LogicalPort 12877 13333 m 1 … … 12888 13344 scheme 0 12889 13345 ) 12890 *4 43(LeafLogPort13346 *458 (LeafLogPort 12891 13347 port (LogicalPort 12892 13348 m 4 … … 12901 13357 uid 16253,0 12902 13358 ) 12903 *4 44(LeafLogPort13359 *459 (LeafLogPort 12904 13360 port (LogicalPort 12905 13361 m 4 … … 12914 13370 uid 16582,0 12915 13371 ) 12916 *4 45(LeafLogPort13372 *460 (LeafLogPort 12917 13373 port (LogicalPort 12918 13374 m 4 … … 12927 13383 uid 16584,0 12928 13384 ) 12929 *4 46(LeafLogPort13385 *461 (LeafLogPort 12930 13386 port (LogicalPort 12931 13387 m 4 … … 12941 13397 uid 16586,0 12942 13398 ) 12943 *4 47(LeafLogPort13399 *462 (LeafLogPort 12944 13400 port (LogicalPort 12945 13401 lang 2 … … 12954 13410 uid 17310,0 12955 13411 ) 12956 *4 48(LeafLogPort13412 *463 (LeafLogPort 12957 13413 port (LogicalPort 12958 13414 lang 2 … … 12969 13425 scheme 0 12970 13426 ) 12971 *4 49(LeafLogPort13427 *464 (LeafLogPort 12972 13428 port (LogicalPort 12973 13429 m 4 … … 12984 13440 uid 17854,0 12985 13441 ) 12986 *4 50(LeafLogPort13442 *465 (LeafLogPort 12987 13443 port (LogicalPort 12988 13444 m 4 … … 12996 13452 uid 18082,0 12997 13453 ) 12998 *4 51(LeafLogPort13454 *466 (LeafLogPort 12999 13455 port (LogicalPort 13000 13456 m 4 … … 13008 13464 uid 18084,0 13009 13465 ) 13010 *4 52(LeafLogPort13466 *467 (LeafLogPort 13011 13467 port (LogicalPort 13012 13468 lang 2 … … 13024 13480 uid 18213,0 13025 13481 ) 13026 *4 53(LeafLogPort13482 *468 (LeafLogPort 13027 13483 port (LogicalPort 13028 13484 m 4 … … 13039 13495 uid 18334,0 13040 13496 ) 13041 *4 54(LeafLogPort13497 *469 (LeafLogPort 13042 13498 port (LogicalPort 13043 13499 m 4 … … 13054 13510 uid 18483,0 13055 13511 ) 13056 *4 55(LeafLogPort13512 *470 (LeafLogPort 13057 13513 port (LogicalPort 13058 13514 m 1 … … 13069 13525 scheme 0 13070 13526 ) 13071 *4 56(LeafLogPort13527 *471 (LeafLogPort 13072 13528 port (LogicalPort 13073 13529 m 4 … … 13081 13537 uid 19557,0 13082 13538 ) 13083 *4 57(LeafLogPort13539 *472 (LeafLogPort 13084 13540 port (LogicalPort 13085 13541 m 4 … … 13094 13550 uid 19559,0 13095 13551 ) 13096 *4 58(LeafLogPort13552 *473 (LeafLogPort 13097 13553 port (LogicalPort 13098 13554 m 4 … … 13106 13562 uid 19561,0 13107 13563 ) 13108 *4 59(LeafLogPort13564 *474 (LeafLogPort 13109 13565 port (LogicalPort 13110 13566 m 4 … … 13117 13573 ) 13118 13574 uid 19563,0 13575 ) 13576 *475 (LeafLogPort 13577 port (LogicalPort 13578 m 4 13579 decl (Decl 13580 n "trigger_veto" 13581 t "std_logic" 13582 o 73 13583 suid 249,0 13584 i "'1'" 13585 ) 13586 ) 13587 uid 20225,0 13119 13588 ) 13120 13589 ] … … 13125 13594 uid 67,0 13126 13595 optionalChildren [ 13127 *4 60(Sheet13596 *476 (Sheet 13128 13597 sheetRow (SheetRow 13129 13598 headerVa (MVa … … 13142 13611 font "Tahoma,10,0" 13143 13612 ) 13144 emptyMRCItem *4 61(MRCItem13145 litem &3 7513146 pos 7 213613 emptyMRCItem *477 (MRCItem 13614 litem &390 13615 pos 73 13147 13616 dimension 20 13148 13617 ) 13149 13618 uid 69,0 13150 13619 optionalChildren [ 13151 *4 62(MRCItem13152 litem &3 7613620 *478 (MRCItem 13621 litem &391 13153 13622 pos 0 13154 13623 dimension 20 13155 13624 uid 70,0 13156 13625 ) 13157 *4 63(MRCItem13158 litem &3 7713626 *479 (MRCItem 13627 litem &392 13159 13628 pos 1 13160 13629 dimension 23 13161 13630 uid 71,0 13162 13631 ) 13163 *4 64(MRCItem13164 litem &3 7813632 *480 (MRCItem 13633 litem &393 13165 13634 pos 2 13166 13635 hidden 1 … … 13168 13637 uid 72,0 13169 13638 ) 13170 *4 65(MRCItem13171 litem & 38813639 *481 (MRCItem 13640 litem &403 13172 13641 pos 52 13173 13642 dimension 20 13174 13643 uid 328,0 13175 13644 ) 13176 *4 66(MRCItem13177 litem & 38913645 *482 (MRCItem 13646 litem &404 13178 13647 pos 53 13179 13648 dimension 20 13180 13649 uid 330,0 13181 13650 ) 13182 *4 67(MRCItem13183 litem & 39013651 *483 (MRCItem 13652 litem &405 13184 13653 pos 54 13185 13654 dimension 20 13186 13655 uid 1492,0 13187 13656 ) 13188 *4 68(MRCItem13189 litem & 39113657 *484 (MRCItem 13658 litem &406 13190 13659 pos 0 13191 13660 dimension 20 13192 13661 uid 2436,0 13193 13662 ) 13194 *4 69(MRCItem13195 litem & 39213663 *485 (MRCItem 13664 litem &407 13196 13665 pos 1 13197 13666 dimension 20 13198 13667 uid 3040,0 13199 13668 ) 13200 *4 70(MRCItem13201 litem & 39313669 *486 (MRCItem 13670 litem &408 13202 13671 pos 2 13203 13672 dimension 20 13204 13673 uid 3277,0 13205 13674 ) 13206 *4 71(MRCItem13207 litem & 39413675 *487 (MRCItem 13676 litem &409 13208 13677 pos 3 13209 13678 dimension 20 13210 13679 uid 3279,0 13211 13680 ) 13212 *4 72(MRCItem13213 litem & 39513681 *488 (MRCItem 13682 litem &410 13214 13683 pos 4 13215 13684 dimension 20 13216 13685 uid 3281,0 13217 13686 ) 13218 *4 73(MRCItem13219 litem & 39613687 *489 (MRCItem 13688 litem &411 13220 13689 pos 5 13221 13690 dimension 20 13222 13691 uid 3383,0 13223 13692 ) 13224 *4 74(MRCItem13225 litem & 39713693 *490 (MRCItem 13694 litem &412 13226 13695 pos 6 13227 13696 dimension 20 13228 13697 uid 3385,0 13229 13698 ) 13230 *4 75(MRCItem13231 litem & 39813699 *491 (MRCItem 13700 litem &413 13232 13701 pos 7 13233 13702 dimension 20 13234 13703 uid 3387,0 13235 13704 ) 13236 *4 76(MRCItem13237 litem & 39913705 *492 (MRCItem 13706 litem &414 13238 13707 pos 8 13239 13708 dimension 20 13240 13709 uid 3389,0 13241 13710 ) 13242 *4 77(MRCItem13243 litem &4 0013711 *493 (MRCItem 13712 litem &415 13244 13713 pos 9 13245 13714 dimension 20 13246 13715 uid 3391,0 13247 13716 ) 13248 *4 78(MRCItem13249 litem &4 0113717 *494 (MRCItem 13718 litem &416 13250 13719 pos 10 13251 13720 dimension 20 13252 13721 uid 3393,0 13253 13722 ) 13254 *4 79(MRCItem13255 litem &4 0213723 *495 (MRCItem 13724 litem &417 13256 13725 pos 11 13257 13726 dimension 20 13258 13727 uid 3525,0 13259 13728 ) 13260 *4 80(MRCItem13261 litem &4 0313729 *496 (MRCItem 13730 litem &418 13262 13731 pos 12 13263 13732 dimension 20 13264 13733 uid 3527,0 13265 13734 ) 13266 *4 81(MRCItem13267 litem &4 0413735 *497 (MRCItem 13736 litem &419 13268 13737 pos 13 13269 13738 dimension 20 13270 13739 uid 3529,0 13271 13740 ) 13272 *4 82(MRCItem13273 litem &4 0513741 *498 (MRCItem 13742 litem &420 13274 13743 pos 14 13275 13744 dimension 20 13276 13745 uid 3531,0 13277 13746 ) 13278 *4 83(MRCItem13279 litem &4 0613747 *499 (MRCItem 13748 litem &421 13280 13749 pos 15 13281 13750 dimension 20 13282 13751 uid 3533,0 13283 13752 ) 13284 * 484(MRCItem13285 litem &4 0713753 *500 (MRCItem 13754 litem &422 13286 13755 pos 16 13287 13756 dimension 20 13288 13757 uid 3535,0 13289 13758 ) 13290 * 485(MRCItem13291 litem &4 0813759 *501 (MRCItem 13760 litem &423 13292 13761 pos 17 13293 13762 dimension 20 13294 13763 uid 3655,0 13295 13764 ) 13296 * 486(MRCItem13297 litem &4 0913765 *502 (MRCItem 13766 litem &424 13298 13767 pos 18 13299 13768 dimension 20 13300 13769 uid 3657,0 13301 13770 ) 13302 * 487(MRCItem13303 litem &4 1013771 *503 (MRCItem 13772 litem &425 13304 13773 pos 19 13305 13774 dimension 20 13306 13775 uid 3659,0 13307 13776 ) 13308 * 488(MRCItem13309 litem &4 1113777 *504 (MRCItem 13778 litem &426 13310 13779 pos 20 13311 13780 dimension 20 13312 13781 uid 3661,0 13313 13782 ) 13314 * 489(MRCItem13315 litem &4 1213783 *505 (MRCItem 13784 litem &427 13316 13785 pos 21 13317 13786 dimension 20 13318 13787 uid 3663,0 13319 13788 ) 13320 * 490(MRCItem13321 litem &4 1313789 *506 (MRCItem 13790 litem &428 13322 13791 pos 22 13323 13792 dimension 20 13324 13793 uid 3665,0 13325 13794 ) 13326 * 491(MRCItem13327 litem &4 1413795 *507 (MRCItem 13796 litem &429 13328 13797 pos 23 13329 13798 dimension 20 13330 13799 uid 3667,0 13331 13800 ) 13332 * 492(MRCItem13333 litem &4 1513801 *508 (MRCItem 13802 litem &430 13334 13803 pos 24 13335 13804 dimension 20 13336 13805 uid 3669,0 13337 13806 ) 13338 * 493(MRCItem13339 litem &4 1613807 *509 (MRCItem 13808 litem &431 13340 13809 pos 25 13341 13810 dimension 20 13342 13811 uid 3697,0 13343 13812 ) 13344 * 494(MRCItem13345 litem &4 1713813 *510 (MRCItem 13814 litem &432 13346 13815 pos 26 13347 13816 dimension 20 13348 13817 uid 3699,0 13349 13818 ) 13350 * 495(MRCItem13351 litem &4 1813819 *511 (MRCItem 13820 litem &433 13352 13821 pos 27 13353 13822 dimension 20 13354 13823 uid 3889,0 13355 13824 ) 13356 * 496(MRCItem13357 litem &4 1913825 *512 (MRCItem 13826 litem &434 13358 13827 pos 28 13359 13828 dimension 20 13360 13829 uid 3891,0 13361 13830 ) 13362 * 497(MRCItem13363 litem &4 2013831 *513 (MRCItem 13832 litem &435 13364 13833 pos 29 13365 13834 dimension 20 13366 13835 uid 3893,0 13367 13836 ) 13368 * 498(MRCItem13369 litem &4 2113837 *514 (MRCItem 13838 litem &436 13370 13839 pos 30 13371 13840 dimension 20 13372 13841 uid 3895,0 13373 13842 ) 13374 * 499(MRCItem13375 litem &4 2213843 *515 (MRCItem 13844 litem &437 13376 13845 pos 31 13377 13846 dimension 20 13378 13847 uid 3897,0 13379 13848 ) 13380 *5 00(MRCItem13381 litem &4 2313849 *516 (MRCItem 13850 litem &438 13382 13851 pos 32 13383 13852 dimension 20 13384 13853 uid 3901,0 13385 13854 ) 13386 *5 01(MRCItem13387 litem &4 2413855 *517 (MRCItem 13856 litem &439 13388 13857 pos 33 13389 13858 dimension 20 13390 13859 uid 5323,0 13391 13860 ) 13392 *5 02(MRCItem13393 litem &4 2513861 *518 (MRCItem 13862 litem &440 13394 13863 pos 34 13395 13864 dimension 20 13396 13865 uid 6873,0 13397 13866 ) 13398 *5 03(MRCItem13399 litem &4 2613867 *519 (MRCItem 13868 litem &441 13400 13869 pos 35 13401 13870 dimension 20 13402 13871 uid 7135,0 13403 13872 ) 13404 *5 04(MRCItem13405 litem &4 2713873 *520 (MRCItem 13874 litem &442 13406 13875 pos 55 13407 13876 dimension 20 13408 13877 uid 9517,0 13409 13878 ) 13410 *5 05(MRCItem13411 litem &4 2813879 *521 (MRCItem 13880 litem &443 13412 13881 pos 36 13413 13882 dimension 20 13414 13883 uid 10295,0 13415 13884 ) 13416 *5 06(MRCItem13417 litem &4 2913885 *522 (MRCItem 13886 litem &444 13418 13887 pos 37 13419 13888 dimension 20 13420 13889 uid 11087,0 13421 13890 ) 13422 *5 07(MRCItem13423 litem &4 3013891 *523 (MRCItem 13892 litem &445 13424 13893 pos 38 13425 13894 dimension 20 13426 13895 uid 11505,0 13427 13896 ) 13428 *5 08(MRCItem13429 litem &4 3113897 *524 (MRCItem 13898 litem &446 13430 13899 pos 39 13431 13900 dimension 20 13432 13901 uid 11507,0 13433 13902 ) 13434 *5 09(MRCItem13435 litem &4 3213903 *525 (MRCItem 13904 litem &447 13436 13905 pos 40 13437 13906 dimension 20 13438 13907 uid 12337,0 13439 13908 ) 13440 *5 10(MRCItem13441 litem &4 3313909 *526 (MRCItem 13910 litem &448 13442 13911 pos 41 13443 13912 dimension 20 13444 13913 uid 12769,0 13445 13914 ) 13446 *5 11(MRCItem13447 litem &4 3413915 *527 (MRCItem 13916 litem &449 13448 13917 pos 42 13449 13918 dimension 20 13450 13919 uid 12771,0 13451 13920 ) 13452 *5 12(MRCItem13453 litem &4 3513921 *528 (MRCItem 13922 litem &450 13454 13923 pos 43 13455 13924 dimension 20 13456 13925 uid 12773,0 13457 13926 ) 13458 *5 13(MRCItem13459 litem &4 3613927 *529 (MRCItem 13928 litem &451 13460 13929 pos 44 13461 13930 dimension 20 13462 13931 uid 13515,0 13463 13932 ) 13464 *5 14(MRCItem13465 litem &4 3713933 *530 (MRCItem 13934 litem &452 13466 13935 pos 45 13467 13936 dimension 20 13468 13937 uid 13627,0 13469 13938 ) 13470 *5 15(MRCItem13471 litem &4 3813939 *531 (MRCItem 13940 litem &453 13472 13941 pos 46 13473 13942 dimension 20 13474 13943 uid 14321,0 13475 13944 ) 13476 *5 16(MRCItem13477 litem &4 3913945 *532 (MRCItem 13946 litem &454 13478 13947 pos 56 13479 13948 dimension 20 13480 13949 uid 15182,0 13481 13950 ) 13482 *5 17(MRCItem13483 litem &4 4013951 *533 (MRCItem 13952 litem &455 13484 13953 pos 47 13485 13954 dimension 20 13486 13955 uid 15705,0 13487 13956 ) 13488 *5 18(MRCItem13489 litem &4 4113957 *534 (MRCItem 13958 litem &456 13490 13959 pos 48 13491 13960 dimension 20 13492 13961 uid 15844,0 13493 13962 ) 13494 *5 19(MRCItem13495 litem &4 4213963 *535 (MRCItem 13964 litem &457 13496 13965 pos 49 13497 13966 dimension 20 13498 13967 uid 16056,0 13499 13968 ) 13500 *5 20(MRCItem13501 litem &4 4313969 *536 (MRCItem 13970 litem &458 13502 13971 pos 57 13503 13972 dimension 20 13504 13973 uid 16254,0 13505 13974 ) 13506 *5 21(MRCItem13507 litem &4 4413975 *537 (MRCItem 13976 litem &459 13508 13977 pos 58 13509 13978 dimension 20 13510 13979 uid 16583,0 13511 13980 ) 13512 *5 22(MRCItem13513 litem &4 4513981 *538 (MRCItem 13982 litem &460 13514 13983 pos 59 13515 13984 dimension 20 13516 13985 uid 16585,0 13517 13986 ) 13518 *5 23(MRCItem13519 litem &4 4613987 *539 (MRCItem 13988 litem &461 13520 13989 pos 60 13521 13990 dimension 20 13522 13991 uid 16587,0 13523 13992 ) 13524 *5 24(MRCItem13525 litem &4 4713993 *540 (MRCItem 13994 litem &462 13526 13995 pos 61 13527 13996 dimension 20 13528 13997 uid 17311,0 13529 13998 ) 13530 *5 25(MRCItem13531 litem &4 4813999 *541 (MRCItem 14000 litem &463 13532 14001 pos 50 13533 14002 dimension 20 13534 14003 uid 17400,0 13535 14004 ) 13536 *5 26(MRCItem13537 litem &4 4914005 *542 (MRCItem 14006 litem &464 13538 14007 pos 62 13539 14008 dimension 20 13540 14009 uid 17855,0 13541 14010 ) 13542 *5 27(MRCItem13543 litem &4 5014011 *543 (MRCItem 14012 litem &465 13544 14013 pos 63 13545 14014 dimension 20 13546 14015 uid 18083,0 13547 14016 ) 13548 *5 28(MRCItem13549 litem &4 5114017 *544 (MRCItem 14018 litem &466 13550 14019 pos 64 13551 14020 dimension 20 13552 14021 uid 18085,0 13553 14022 ) 13554 *5 29(MRCItem13555 litem &4 5214023 *545 (MRCItem 14024 litem &467 13556 14025 pos 65 13557 14026 dimension 20 13558 14027 uid 18214,0 13559 14028 ) 13560 *5 30(MRCItem13561 litem &4 5314029 *546 (MRCItem 14030 litem &468 13562 14031 pos 66 13563 14032 dimension 20 13564 14033 uid 18335,0 13565 14034 ) 13566 *5 31(MRCItem13567 litem &4 5414035 *547 (MRCItem 14036 litem &469 13568 14037 pos 67 13569 14038 dimension 20 13570 14039 uid 18484,0 13571 14040 ) 13572 *5 32(MRCItem13573 litem &4 5514041 *548 (MRCItem 14042 litem &470 13574 14043 pos 51 13575 14044 dimension 20 13576 14045 uid 18801,0 13577 14046 ) 13578 *5 33(MRCItem13579 litem &4 5614047 *549 (MRCItem 14048 litem &471 13580 14049 pos 68 13581 14050 dimension 20 13582 14051 uid 19558,0 13583 14052 ) 13584 *5 34(MRCItem13585 litem &4 5714053 *550 (MRCItem 14054 litem &472 13586 14055 pos 69 13587 14056 dimension 20 13588 14057 uid 19560,0 13589 14058 ) 13590 *5 35(MRCItem13591 litem &4 5814059 *551 (MRCItem 14060 litem &473 13592 14061 pos 70 13593 14062 dimension 20 13594 14063 uid 19562,0 13595 14064 ) 13596 *5 36(MRCItem13597 litem &4 5914065 *552 (MRCItem 14066 litem &474 13598 14067 pos 71 13599 14068 dimension 20 13600 14069 uid 19564,0 14070 ) 14071 *553 (MRCItem 14072 litem &475 14073 pos 72 14074 dimension 20 14075 uid 20226,0 13601 14076 ) 13602 14077 ] … … 13611 14086 uid 73,0 13612 14087 optionalChildren [ 13613 *5 37(MRCItem13614 litem &3 7914088 *554 (MRCItem 14089 litem &394 13615 14090 pos 0 13616 14091 dimension 20 13617 14092 uid 74,0 13618 14093 ) 13619 *5 38(MRCItem13620 litem &3 8114094 *555 (MRCItem 14095 litem &396 13621 14096 pos 1 13622 14097 dimension 50 13623 14098 uid 75,0 13624 14099 ) 13625 *5 39(MRCItem13626 litem &3 8214100 *556 (MRCItem 14101 litem &397 13627 14102 pos 2 13628 14103 dimension 100 13629 14104 uid 76,0 13630 14105 ) 13631 *5 40(MRCItem13632 litem &3 8314106 *557 (MRCItem 14107 litem &398 13633 14108 pos 3 13634 14109 dimension 50 13635 14110 uid 77,0 13636 14111 ) 13637 *5 41(MRCItem13638 litem &3 8414112 *558 (MRCItem 14113 litem &399 13639 14114 pos 4 13640 14115 dimension 100 13641 14116 uid 78,0 13642 14117 ) 13643 *5 42(MRCItem13644 litem & 38514118 *559 (MRCItem 14119 litem &400 13645 14120 pos 5 13646 14121 dimension 100 13647 14122 uid 79,0 13648 14123 ) 13649 *5 43(MRCItem13650 litem & 38614124 *560 (MRCItem 14125 litem &401 13651 14126 pos 6 13652 14127 dimension 182 13653 14128 uid 80,0 13654 14129 ) 13655 *5 44(MRCItem13656 litem & 38714130 *561 (MRCItem 14131 litem &402 13657 14132 pos 7 13658 14133 dimension 80 … … 13674 14149 genericsCommonDM (CommonDM 13675 14150 ldm (LogicalDM 13676 emptyRow *5 45(LEmptyRow14151 emptyRow *562 (LEmptyRow 13677 14152 ) 13678 14153 uid 83,0 13679 14154 optionalChildren [ 13680 *5 46(RefLabelRowHdr13681 ) 13682 *5 47(TitleRowHdr13683 ) 13684 *5 48(FilterRowHdr13685 ) 13686 *5 49(RefLabelColHdr14155 *563 (RefLabelRowHdr 14156 ) 14157 *564 (TitleRowHdr 14158 ) 14159 *565 (FilterRowHdr 14160 ) 14161 *566 (RefLabelColHdr 13687 14162 tm "RefLabelColHdrMgr" 13688 14163 ) 13689 *5 50(RowExpandColHdr14164 *567 (RowExpandColHdr 13690 14165 tm "RowExpandColHdrMgr" 13691 14166 ) 13692 *5 51(GroupColHdr14167 *568 (GroupColHdr 13693 14168 tm "GroupColHdrMgr" 13694 14169 ) 13695 *5 52(NameColHdr14170 *569 (NameColHdr 13696 14171 tm "GenericNameColHdrMgr" 13697 14172 ) 13698 *5 53(TypeColHdr14173 *570 (TypeColHdr 13699 14174 tm "GenericTypeColHdrMgr" 13700 14175 ) 13701 *5 54(InitColHdr14176 *571 (InitColHdr 13702 14177 tm "GenericValueColHdrMgr" 13703 14178 ) 13704 *5 55(PragmaColHdr14179 *572 (PragmaColHdr 13705 14180 tm "GenericPragmaColHdrMgr" 13706 14181 ) 13707 *5 56(EolColHdr14182 *573 (EolColHdr 13708 14183 tm "GenericEolColHdrMgr" 13709 14184 ) … … 13715 14190 uid 95,0 13716 14191 optionalChildren [ 13717 *5 57(Sheet14192 *574 (Sheet 13718 14193 sheetRow (SheetRow 13719 14194 headerVa (MVa … … 13732 14207 font "Tahoma,10,0" 13733 14208 ) 13734 emptyMRCItem *5 58(MRCItem13735 litem &5 4514209 emptyMRCItem *575 (MRCItem 14210 litem &562 13736 14211 pos 0 13737 14212 dimension 20 … … 13739 14214 uid 97,0 13740 14215 optionalChildren [ 13741 *5 59(MRCItem13742 litem &5 4614216 *576 (MRCItem 14217 litem &563 13743 14218 pos 0 13744 14219 dimension 20 13745 14220 uid 98,0 13746 14221 ) 13747 *5 60(MRCItem13748 litem &5 4714222 *577 (MRCItem 14223 litem &564 13749 14224 pos 1 13750 14225 dimension 23 13751 14226 uid 99,0 13752 14227 ) 13753 *5 61(MRCItem13754 litem &5 4814228 *578 (MRCItem 14229 litem &565 13755 14230 pos 2 13756 14231 hidden 1 … … 13769 14244 uid 101,0 13770 14245 optionalChildren [ 13771 *5 62(MRCItem13772 litem &5 4914246 *579 (MRCItem 14247 litem &566 13773 14248 pos 0 13774 14249 dimension 20 13775 14250 uid 102,0 13776 14251 ) 13777 *5 63(MRCItem13778 litem &5 5114252 *580 (MRCItem 14253 litem &568 13779 14254 pos 1 13780 14255 dimension 50 13781 14256 uid 103,0 13782 14257 ) 13783 *5 64(MRCItem13784 litem &5 5214258 *581 (MRCItem 14259 litem &569 13785 14260 pos 2 13786 14261 dimension 100 13787 14262 uid 104,0 13788 14263 ) 13789 *5 65(MRCItem13790 litem &5 5314264 *582 (MRCItem 14265 litem &570 13791 14266 pos 3 13792 14267 dimension 100 13793 14268 uid 105,0 13794 14269 ) 13795 *5 66(MRCItem13796 litem &5 5414270 *583 (MRCItem 14271 litem &571 13797 14272 pos 4 13798 14273 dimension 50 13799 14274 uid 106,0 13800 14275 ) 13801 *5 67(MRCItem13802 litem &5 5514276 *584 (MRCItem 14277 litem &572 13803 14278 pos 5 13804 14279 dimension 50 13805 14280 uid 107,0 13806 14281 ) 13807 *5 68(MRCItem13808 litem &5 5614282 *585 (MRCItem 14283 litem &573 13809 14284 pos 6 13810 14285 dimension 80 -
TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd.bak ¶
r10958 r10988 299 299 (vvPair 300 300 variable "time" 301 value "16:56:3 1"301 value "16:56:32" 302 302 ) 303 303 (vvPair -
TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd ¶
r10958 r10988 348 348 ) 349 349 (Instance 350 name " U_7"350 name "Inst_rs485_receiver" 351 351 duLibraryName "FACT_FAD_lib" 352 352 duName "FAD_rs485_receiver" … … 470 470 (vvPair 471 471 variable "date" 472 value " 09.06.2011"472 value "10.06.2011" 473 473 ) 474 474 (vvPair 475 475 variable "day" 476 value " Do"476 value "Fr" 477 477 ) 478 478 (vvPair 479 479 variable "day_long" 480 value " Donnerstag"480 value "Freitag" 481 481 ) 482 482 (vvPair 483 483 variable "dd" 484 value " 09"484 value "10" 485 485 ) 486 486 (vvPair … … 622 622 (vvPair 623 623 variable "time" 624 value "1 6:55:25"624 value "10:56:40" 625 625 ) 626 626 (vvPair … … 714 714 ) 715 715 xt "-172000,127600,-125500,128400" 716 st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\" 717 " 716 st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\"" 718 717 ) 719 718 ) … … 733 732 ) 734 733 xt "-172000,60400,-129000,61200" 735 st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0) 736 " 734 st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)" 737 735 ) 738 736 ) … … 752 750 ) 753 751 xt "-172000,65200,-136500,66000" 754 st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0) 755 " 752 st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0)" 756 753 ) 757 754 ) … … 771 768 ) 772 769 xt "-172000,95600,-129000,96400" 773 st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) 774 " 770 st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)" 775 771 ) 776 772 ) … … 790 786 ) 791 787 xt "-172000,96400,-136500,97200" 792 st "SIGNAL ram_data : std_logic_vector(15 downto 0) 793 " 788 st "SIGNAL ram_data : std_logic_vector(15 downto 0)" 794 789 ) 795 790 ) … … 809 804 ) 810 805 xt "-172000,43200,-129000,44000" 811 st "wiz_reset : std_logic := '1' 812 " 806 st "wiz_reset : std_logic := '1'" 813 807 ) 814 808 ) … … 828 822 ) 829 823 xt "-172000,40800,-140500,41600" 830 st "wiz_addr : std_logic_vector(9 DOWNTO 0) 831 " 824 st "wiz_addr : std_logic_vector(9 DOWNTO 0)" 832 825 ) 833 826 ) … … 847 840 ) 848 841 xt "-172000,45600,-140000,46400" 849 st "wiz_data : std_logic_vector(15 DOWNTO 0) 850 " 842 st "wiz_data : std_logic_vector(15 DOWNTO 0)" 851 843 ) 852 844 ) … … 866 858 ) 867 859 xt "-172000,41600,-129000,42400" 868 st "wiz_cs : std_logic := '1' 869 " 860 st "wiz_cs : std_logic := '1'" 870 861 ) 871 862 ) … … 885 876 ) 886 877 xt "-172000,44000,-129000,44800" 887 st "wiz_wr : std_logic := '1' 888 " 878 st "wiz_wr : std_logic := '1'" 889 879 ) 890 880 ) … … 904 894 ) 905 895 xt "-172000,42400,-129000,43200" 906 st "wiz_rd : std_logic := '1' 907 " 896 st "wiz_rd : std_logic := '1'" 908 897 ) 909 898 ) … … 922 911 ) 923 912 xt "-172000,14400,-150000,15200" 924 st "wiz_int : std_logic 925 " 913 st "wiz_int : std_logic" 926 914 ) 927 915 ) … … 3398 3386 ) 3399 3387 xt "-172000,10400,-140500,11200" 3400 st "board_id : std_logic_vector(3 DOWNTO 0) 3401 " 3388 st "board_id : std_logic_vector(3 DOWNTO 0)" 3402 3389 ) 3403 3390 ) … … 3418 3405 ) 3419 3406 xt "-172000,13600,-150000,14400" 3420 st "trigger : std_logic 3421 " 3407 st "trigger : std_logic" 3422 3408 ) 3423 3409 ) … … 5464 5450 ) 5465 5451 xt "-172000,11200,-140500,12000" 5466 st "crate_id : std_logic_vector(1 DOWNTO 0) 5467 " 5452 st "crate_id : std_logic_vector(1 DOWNTO 0)" 5468 5453 ) 5469 5454 ) … … 5723 5708 ) 5724 5709 xt "-172000,97200,-129000,98000" 5725 st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0) 5726 " 5710 st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)" 5727 5711 ) 5728 5712 ) … … 5743 5727 ) 5744 5728 xt "-172000,124400,-125500,125200" 5745 st "SIGNAL wiz_write_ea : std_logic := '0' 5746 " 5729 st "SIGNAL wiz_write_ea : std_logic := '0'" 5747 5730 ) 5748 5731 ) … … 5764 5747 ) 5765 5748 xt "-172000,126800,-119500,127600" 5766 st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0') 5767 " 5749 st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0')" 5768 5750 ) 5769 5751 ) … … 5786 5768 ) 5787 5769 xt "-172000,123600,-119500,124400" 5788 st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0') 5789 " 5770 st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')" 5790 5771 ) 5791 5772 ) … … 5807 5788 ) 5808 5789 xt "-172000,122800,-119500,123600" 5809 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0') 5810 " 5790 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0')" 5811 5791 ) 5812 5792 ) … … 5827 5807 ) 5828 5808 xt "-172000,125200,-125500,126000" 5829 st "SIGNAL wiz_write_end : std_logic := '0' 5830 " 5809 st "SIGNAL wiz_write_end : std_logic := '0'" 5831 5810 ) 5832 5811 ) … … 5847 5826 ) 5848 5827 xt "-172000,126000,-125500,126800" 5849 st "SIGNAL wiz_write_header : std_logic := '0' 5850 " 5828 st "SIGNAL wiz_write_header : std_logic := '0'" 5851 5829 ) 5852 5830 ) … … 5865 5843 ) 5866 5844 xt "-172000,98000,-146500,98800" 5867 st "SIGNAL ram_write_ea : std_logic 5868 " 5845 st "SIGNAL ram_write_ea : std_logic" 5869 5846 ) 5870 5847 ) … … 5884 5861 ) 5885 5862 xt "-172000,98800,-125500,99600" 5886 st "SIGNAL ram_write_ready : std_logic := '0' 5887 " 5863 st "SIGNAL ram_write_ready : std_logic := '0'" 5888 5864 ) 5889 5865 ) … … 5902 5878 ) 5903 5879 xt "-172000,102800,-145000,103600" 5904 st "SIGNAL roi_max : roi_max_type 5905 " 5880 st "SIGNAL roi_max : roi_max_type" 5906 5881 ) 5907 5882 ) … … 5921 5896 ) 5922 5897 xt "-172000,92400,-136500,93200" 5923 st "SIGNAL package_length : std_logic_vector(15 downto 0) 5924 " 5898 st "SIGNAL package_length : std_logic_vector(15 downto 0)" 5925 5899 ) 5926 5900 ) … … 5940 5914 ) 5941 5915 xt "-172000,24000,-129000,24800" 5942 st "adc_oeb : std_logic := '1' 5943 " 5916 st "adc_oeb : std_logic := '1'" 5944 5917 ) 5945 5918 ) … … 6145 6118 bg "0,0,32768" 6146 6119 ) 6147 xt "85200,178000,9 6000,179000"6120 xt "85200,178000,95900,179000" 6148 6121 st " 6149 6122 by %user on %dd %month %year … … 6464 6437 ) 6465 6438 xt "-172000,16000,-150000,16800" 6466 st "CLK_25_PS : std_logic 6467 " 6439 st "CLK_25_PS : std_logic" 6468 6440 ) 6469 6441 ) … … 6528 6500 ) 6529 6501 xt "-172000,16800,-150000,17600" 6530 st "CLK_50 : std_logic 6531 " 6502 st "CLK_50 : std_logic" 6532 6503 ) 6533 6504 ) … … 6548 6519 ) 6549 6520 xt "-172000,48400,-146500,49200" 6550 st "SIGNAL CLK_25 : std_logic 6551 " 6521 st "SIGNAL CLK_25 : std_logic" 6552 6522 ) 6553 6523 ) … … 6610 6580 ) 6611 6581 xt "-172000,3200,-150000,4000" 6612 st "CLK : std_logic 6613 " 6582 st "CLK : std_logic" 6614 6583 ) 6615 6584 ) … … 6629 6598 ) 6630 6599 xt "-172000,9600,-140500,10400" 6631 st "adc_otr_array : std_logic_vector(3 DOWNTO 0) 6632 " 6600 st "adc_otr_array : std_logic_vector(3 DOWNTO 0)" 6633 6601 ) 6634 6602 ) … … 6647 6615 ) 6648 6616 xt "-172000,8800,-145000,9600" 6649 st "adc_data_array : adc_data_array_type 6650 " 6617 st "adc_data_array : adc_data_array_type" 6651 6618 ) 6652 6619 ) … … 6711 6678 ) 6712 6679 xt "-172000,78000,-125500,78800" 6713 st "SIGNAL drs_clk_en : std_logic := '0' 6714 " 6680 st "SIGNAL drs_clk_en : std_logic := '0'" 6715 6681 ) 6716 6682 ) … … 6729 6695 ) 6730 6696 xt "-172000,84400,-140500,85200" 6731 st "SIGNAL drs_s_cell_array : drs_s_cell_array_type 6732 " 6697 st "SIGNAL drs_s_cell_array : drs_s_cell_array_type" 6733 6698 ) 6734 6699 ) … … 6748 6713 ) 6749 6714 xt "-172000,78800,-125500,79600" 6750 st "SIGNAL drs_read_s_cell : std_logic := '0' 6751 " 6715 st "SIGNAL drs_read_s_cell : std_logic := '0'" 6752 6716 ) 6753 6717 ) … … 6768 6732 ) 6769 6733 xt "-172000,31200,-123000,32000" 6770 st "drs_channel_id : std_logic_vector(3 downto 0) := (others => '0') 6771 " 6734 st "drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')" 6772 6735 ) 6773 6736 ) … … 6787 6750 ) 6788 6751 xt "-172000,32000,-129000,32800" 6789 st "drs_dwrite : std_logic := '1' 6790 " 6752 st "drs_dwrite : std_logic := '1'" 6791 6753 ) 6792 6754 ) … … 6895 6857 ) 6896 6858 xt "-172000,5600,-150000,6400" 6897 st "SROUT_in_0 : std_logic 6898 " 6859 st "SROUT_in_0 : std_logic" 6899 6860 ) 6900 6861 ) … … 6913 6874 ) 6914 6875 xt "-172000,6400,-150000,7200" 6915 st "SROUT_in_1 : std_logic 6916 " 6876 st "SROUT_in_1 : std_logic" 6917 6877 ) 6918 6878 ) … … 6931 6891 ) 6932 6892 xt "-172000,7200,-150000,8000" 6933 st "SROUT_in_2 : std_logic 6934 " 6893 st "SROUT_in_2 : std_logic" 6935 6894 ) 6936 6895 ) … … 6949 6908 ) 6950 6909 xt "-172000,8000,-150000,8800" 6951 st "SROUT_in_3 : std_logic 6952 " 6910 st "SROUT_in_3 : std_logic" 6953 6911 ) 6954 6912 ) … … 7147 7105 ) 7148 7106 xt "-172000,79600,-146500,80400" 7149 st "SIGNAL drs_read_s_cell_ready : std_logic 7150 " 7107 st "SIGNAL drs_read_s_cell_ready : std_logic" 7151 7108 ) 7152 7109 ) … … 7803 7760 ) 7804 7761 xt "-172000,21600,-129000,22400" 7805 st "RSRLOAD : std_logic := '0' 7806 " 7762 st "RSRLOAD : std_logic := '0'" 7807 7763 ) 7808 7764 ) … … 7867 7823 ) 7868 7824 xt "-172000,22400,-129000,23200" 7869 st "SRCLK : std_logic := '0' 7870 " 7825 st "SRCLK : std_logic := '0'" 7871 7826 ) 7872 7827 ) … … 7933 7888 ) 7934 7889 xt "-172000,33600,-123000,34400" 7935 st "led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 7936 " 7890 st "led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 7937 7891 ) 7938 7892 ) … … 7951 7905 ) 7952 7906 xt "-172000,110000,-146500,110800" 7953 st "SIGNAL sensor_ready : std_logic 7954 " 7907 st "SIGNAL sensor_ready : std_logic" 7955 7908 ) 7956 7909 ) … … 7969 7922 ) 7970 7923 xt "-172000,109200,-142500,110000" 7971 st "SIGNAL sensor_array : sensor_array_type 7972 " 7924 st "SIGNAL sensor_array : sensor_array_type" 7973 7925 ) 7974 7926 ) … … 7989 7941 ) 7990 7942 xt "-172000,59600,-137000,60400" 7991 st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0) 7992 " 7943 st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0)" 7993 7944 ) 7994 7945 ) … … 8007 7958 ) 8008 7959 xt "-172000,58800,-141500,59600" 8009 st "SIGNAL adc_data_array_int : adc_data_array_type 8010 " 7960 st "SIGNAL adc_data_array_int : adc_data_array_type" 8011 7961 ) 8012 7962 ) … … 8848 8798 ) 8849 8799 xt "-172000,36800,-150000,37600" 8850 st "sclk : std_logic 8851 " 8800 st "sclk : std_logic" 8852 8801 ) 8853 8802 ) … … 8868 8817 ) 8869 8818 xt "-172000,44800,-150000,45600" 8870 st "sio : std_logic 8871 " 8819 st "sio : std_logic" 8872 8820 ) 8873 8821 ) … … 8886 8834 ) 8887 8835 xt "-172000,28000,-150000,28800" 8888 st "dac_cs : std_logic 8889 " 8836 st "dac_cs : std_logic" 8890 8837 ) 8891 8838 ) … … 8905 8852 ) 8906 8853 xt "-172000,37600,-140500,38400" 8907 st "sensor_cs : std_logic_vector(3 DOWNTO 0) 8908 " 8854 st "sensor_cs : std_logic_vector(3 DOWNTO 0)" 8909 8855 ) 8910 8856 ) … … 9104 9050 ) 9105 9051 xt "-172000,35200,-129000,36000" 9106 st "mosi : std_logic := '0' 9107 " 9052 st "mosi : std_logic := '0'" 9108 9053 ) 9109 9054 ) … … 9170 9115 ) 9171 9116 xt "-172000,30400,-115500,31200" 9172 st "denable : std_logic := '0' -- default domino wave off 9173 " 9117 st "denable : std_logic := '0' -- default domino wave off" 9174 9118 ) 9175 9119 ) … … 9957 9901 ) 9958 9902 xt "-172000,108400,-146500,109200" 9959 st "SIGNAL sclk_enable : std_logic 9960 " 9903 st "SIGNAL sclk_enable : std_logic" 9961 9904 ) 9962 9905 ) … … 9976 9919 ) 9977 9920 xt "-172000,58000,-146500,58800" 9978 st "SIGNAL adc_clk_en : std_logic 9979 " 9921 st "SIGNAL adc_clk_en : std_logic" 9980 9922 ) 9981 9923 ) … … 10449 10391 ) 10450 10392 xt "-172000,93200,-110000,94000" 10451 st "SIGNAL ps_direction : std_logic := '1' -- default phase shift upwards 10452 " 10393 st "SIGNAL ps_direction : std_logic := '1' -- default phase shift upwards" 10453 10394 ) 10454 10395 ) … … 10471 10412 ) 10472 10413 xt "-172000,94000,-109000,94800" 10473 st "SIGNAL ps_do_phase_shift : std_logic := '0' --pulse this to phase shift once 10474 " 10414 st "SIGNAL ps_do_phase_shift : std_logic := '0' --pulse this to phase shift once" 10475 10415 ) 10476 10416 ) … … 10492 10432 ) 10493 10433 xt "-172000,94800,-101500,95600" 10494 st "SIGNAL ps_reset : std_logic := '0' -- pulse this to reset the variable phase shift 10495 " 10434 st "SIGNAL ps_reset : std_logic := '0' -- pulse this to reset the variable phase shift" 10496 10435 ) 10497 10436 ) … … 10511 10450 ) 10512 10451 xt "-172000,114800,-125500,115600" 10513 st "SIGNAL srclk_enable : std_logic := '0' 10514 " 10452 st "SIGNAL srclk_enable : std_logic := '0'" 10515 10453 ) 10516 10454 ) … … 10896 10834 ) 10897 10835 xt "-172000,57200,-125500,58000" 10898 st "SIGNAL SRCLK1 : std_logic := '0' 10899 " 10836 st "SIGNAL SRCLK1 : std_logic := '0'" 10900 10837 ) 10901 10838 ) … … 10914 10851 ) 10915 10852 xt "-172000,106800,-146500,107600" 10916 st "SIGNAL s_trigger : std_logic 10917 " 10853 st "SIGNAL s_trigger : std_logic" 10918 10854 ) 10919 10855 ) … … 10932 10868 ) 10933 10869 xt "-172000,117200,-146500,118000" 10934 st "SIGNAL start_srin_write_8b : std_logic 10935 " 10870 st "SIGNAL start_srin_write_8b : std_logic" 10936 10871 ) 10937 10872 ) … … 10951 10886 ) 10952 10887 xt "-172000,115600,-125500,116400" 10953 st "SIGNAL srin_write_ack : std_logic := '0' 10954 " 10888 st "SIGNAL srin_write_ack : std_logic := '0'" 10955 10889 ) 10956 10890 ) … … 10970 10904 ) 10971 10905 xt "-172000,116400,-125500,117200" 10972 st "SIGNAL srin_write_ready : std_logic := '0' 10973 " 10906 st "SIGNAL srin_write_ready : std_logic := '0'" 10974 10907 ) 10975 10908 ) … … 10990 10923 ) 10991 10924 xt "-172000,85200,-119500,86000" 10992 st "SIGNAL drs_srin_data : std_logic_vector(7 downto 0) := (others => '0') 10993 " 10925 st "SIGNAL drs_srin_data : std_logic_vector(7 downto 0) := (others => '0')" 10994 10926 ) 10995 10927 ) … … 11009 10941 ) 11010 10942 xt "-172000,23200,-129000,24000" 11011 st "SRIN_out : std_logic := '0' 11012 " 10943 st "SRIN_out : std_logic := '0'" 11013 10944 ) 11014 10945 ) … … 11526 11457 ) 11527 11458 xt "-172000,110800,-146500,111600" 11528 st "SIGNAL socks_connected : std_logic 11529 " 11459 st "SIGNAL socks_connected : std_logic" 11530 11460 ) 11531 11461 ) … … 11544 11474 ) 11545 11475 xt "-172000,111600,-146500,112400" 11546 st "SIGNAL socks_waiting : std_logic 11547 " 11476 st "SIGNAL socks_waiting : std_logic" 11548 11477 ) 11549 11478 ) … … 11562 11491 ) 11563 11492 xt "-172000,32800,-150000,33600" 11564 st "green : std_logic 11565 " 11493 st "green : std_logic" 11566 11494 ) 11567 11495 ) … … 11624 11552 ) 11625 11553 xt "-172000,26400,-150000,27200" 11626 st "amber : std_logic 11627 " 11554 st "amber : std_logic" 11628 11555 ) 11629 11556 ) … … 11686 11613 ) 11687 11614 xt "-172000,36000,-150000,36800" 11688 st "red : std_logic 11689 " 11615 st "red : std_logic" 11690 11616 ) 11691 11617 ) … … 12185 12111 ) 12186 12112 xt "-172000,83600,-146500,84400" 12187 st "SIGNAL drs_readout_started : std_logic 12188 " 12113 st "SIGNAL drs_readout_started : std_logic" 12189 12114 ) 12190 12115 ) … … 12203 12128 ) 12204 12129 xt "-172000,118800,-146500,119600" 12205 st "SIGNAL trigger_enable : std_logic 12206 " 12130 st "SIGNAL trigger_enable : std_logic" 12207 12131 ) 12208 12132 ) … … 12891 12815 st "-- -- 12892 12816 -- drs_dwrite : out std_logic := '1'; 12893 SIGNAL drs_readout_ready : std_logic := '0' 12894 " 12817 SIGNAL drs_readout_ready : std_logic := '0'" 12895 12818 ) 12896 12819 ) … … 12909 12832 ) 12910 12833 xt "-172000,82800,-146500,83600" 12911 st "SIGNAL drs_readout_ready_ack : std_logic 12912 " 12834 st "SIGNAL drs_readout_ready_ack : std_logic" 12913 12835 ) 12914 12836 ) … … 13164 13086 ) 13165 13087 xt "-172000,61200,-125500,62000" 13166 st "SIGNAL c_trigger_enable : std_logic := '0' 13167 " 13088 st "SIGNAL c_trigger_enable : std_logic := '0'" 13168 13089 ) 13169 13090 ) … … 13679 13600 ) 13680 13601 xt "-172000,4000,-140500,4800" 13681 st "D_T_in : std_logic_vector(1 DOWNTO 0) 13682 " 13602 st "D_T_in : std_logic_vector(1 DOWNTO 0)" 13683 13603 ) 13684 13604 ) … … 13743 13663 ) 13744 13664 xt "-172000,12000,-118500,12800" 13745 st "drs_refclk_in : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit 13746 " 13665 st "drs_refclk_in : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit" 13747 13666 ) 13748 13667 ) … … 13808 13727 ) 13809 13728 xt "-172000,12800,-111000,13600" 13810 st "plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked 13811 " 13729 st "plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked" 13812 13730 ) 13813 13731 ) … … 14089 14007 ) 14090 14008 xt "-172000,24800,-150000,25600" 14091 st "alarm_refclk_too_high : std_logic 14092 " 14009 st "alarm_refclk_too_high : std_logic" 14093 14010 ) 14094 14011 ) … … 14152 14069 ) 14153 14070 xt "-172000,25600,-150000,26400" 14154 st "alarm_refclk_too_low : std_logic 14155 " 14071 st "alarm_refclk_too_low : std_logic" 14156 14072 ) 14157 14073 ) … … 14215 14131 ) 14216 14132 xt "-172000,27200,-140000,28000" 14217 st "counter_result : std_logic_vector(11 DOWNTO 0) 14218 " 14133 st "counter_result : std_logic_vector(11 DOWNTO 0)" 14219 14134 ) 14220 14135 ) … … 14904 14819 ) 14905 14820 xt "-172000,67600,-112000,68400" 14906 st "SIGNAL denable_prim : std_logic := '0' -- default domino wave off 14907 " 14821 st "SIGNAL denable_prim : std_logic := '0' -- default domino wave off" 14908 14822 ) 14909 14823 ) … … 14925 14839 ) 14926 14840 xt "-172000,70800,-112000,71600" 14927 st "SIGNAL din1 : std_logic := '0' -- default domino wave off 14928 " 14841 st "SIGNAL din1 : std_logic := '0' -- default domino wave off" 14929 14842 ) 14930 14843 ) … … 14943 14856 ) 14944 14857 xt "-172000,121200,-146500,122000" 14945 st "SIGNAL trigger_out : std_logic 14946 " 14858 st "SIGNAL trigger_out : std_logic" 14947 14859 ) 14948 14860 ) … … 14965 14877 ) 14966 14878 xt "-172000,119600,-136500,120400" 14967 st "SIGNAL trigger_id : std_logic_vector(31 downto 0) 14968 " 14879 st "SIGNAL trigger_id : std_logic_vector(31 downto 0)" 14969 14880 ) 14970 14881 ) … … 14987 14898 ) 14988 14899 xt "-172000,49200,-119500,50000" 14989 st "SIGNAL DCM_PS_status : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 14990 " 14900 st "SIGNAL DCM_PS_status : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 14991 14901 ) 14992 14902 ) … … 15211 15121 ) 15212 15122 xt "-172000,71600,-119500,72400" 15213 st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0) := (others => '0') 15214 " 15123 st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0) := (others => '0')" 15215 15124 ) 15216 15125 ) … … 15232 15141 ) 15233 15142 xt "-172000,99600,-125500,100400" 15234 st "SIGNAL ready : STD_LOGIC := '0' 15235 " 15143 st "SIGNAL ready : STD_LOGIC := '0'" 15236 15144 ) 15237 15145 ) … … 15766 15674 ) 15767 15675 xt "-172000,88400,-146500,89200" 15768 st "SIGNAL enable_i : std_logic 15769 " 15676 st "SIGNAL enable_i : std_logic" 15770 15677 ) 15771 15678 ) … … 15977 15884 ) 15978 15885 xt "-172000,101200,-146500,102000" 15979 st "SIGNAL reset_synch_i : std_logic 15980 " 15886 st "SIGNAL reset_synch_i : std_logic" 15981 15887 ) 15982 15888 ) … … 15996 15902 ) 15997 15903 xt "-172000,118000,-136500,118800" 15998 st "SIGNAL time : std_logic_vector(31 DOWNTO 0) 15999 " 15904 st "SIGNAL time : std_logic_vector(31 DOWNTO 0)" 16000 15905 ) 16001 15906 ) … … 16017 15922 ) 16018 15923 xt "-172000,104400,-132000,105200" 16019 st "SIGNAL rs465_data : std_logic_vector(55 DOWNTO 0) --7 byte 16020 " 15924 st "SIGNAL rs465_data : std_logic_vector(55 DOWNTO 0) --7 byte" 16021 15925 ) 16022 15926 ) … … 16046 15950 -- during EVT header wrinting, this field is left out ... and only written into event header, 16047 15951 -- when the DRS chip were read out already. 16048 SIGNAL FTM_RS485_ready : std_logic 16049 " 15952 SIGNAL FTM_RS485_ready : std_logic" 16050 15953 ) 16051 15954 ) … … 16066 15969 ) 16067 15970 xt "-172000,62000,-136500,62800" 16068 st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0) 16069 " 15971 st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0)" 16070 15972 ) 16071 15973 ) … … 16085 15987 ) 16086 15988 xt "-172000,66000,-146500,66800" 16087 st "SIGNAL data_ram_empty : std_logic 16088 " 15989 st "SIGNAL data_ram_empty : std_logic" 16089 15990 ) 16090 15991 ) … … 16149 16050 ) 16150 16051 xt "-172000,15200,-150000,16000" 16151 st "ADC_CLK : std_logic 16152 " 16052 st "ADC_CLK : std_logic" 16153 16053 ) 16154 16054 ) … … 16543 16443 ) 16544 16444 xt "-172000,63600,-120000,64400" 16545 st "SIGNAL current_dac_array : dac_array_type := ( others => 0) 16546 " 16445 st "SIGNAL current_dac_array : dac_array_type := ( others => 0)" 16547 16446 ) 16548 16447 ) … … 16563 16462 ) 16564 16463 xt "-172000,120400,-146500,121200" 16565 st "SIGNAL trigger_or_s_trigger : std_logic 16566 " 16464 st "SIGNAL trigger_or_s_trigger : std_logic" 16567 16465 ) 16568 16466 ) … … 16583 16481 ) 16584 16482 xt "-172000,89200,-146500,90000" 16585 st "SIGNAL enabled_trigger_or_s_trigger : std_logic 16586 " 16483 st "SIGNAL enabled_trigger_or_s_trigger : std_logic" 16587 16484 ) 16588 16485 ) … … 16601 16498 ) 16602 16499 xt "-172000,62800,-146500,63600" 16603 st "SIGNAL cont_trigger : std_logic 16604 " 16500 st "SIGNAL cont_trigger : std_logic" 16605 16501 ) 16606 16502 ) … … 16619 16515 ) 16620 16516 xt "-172000,107600,-146500,108400" 16621 st "SIGNAL s_trigger_or_cont_trigger : std_logic 16622 " 16517 st "SIGNAL s_trigger_or_cont_trigger : std_logic" 16623 16518 ) 16624 16519 ) … … 16640 16535 ) 16641 16536 xt "-172000,64400,-98500,65200" 16642 st "SIGNAL dac_setting : dac_array_type := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd 16643 " 16537 st "SIGNAL dac_setting : dac_array_type := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd" 16644 16538 ) 16645 16539 ) … … 16658 16552 ) 16659 16553 xt "-172000,103600,-144000,104400" 16660 st "SIGNAL roi_setting : roi_array_type 16661 " 16554 st "SIGNAL roi_setting : roi_array_type" 16662 16555 ) 16663 16556 ) … … 16677 16570 ) 16678 16571 xt "-172000,90800,-125500,91600" 16679 st "SIGNAL memory_manager_config_start : std_logic := '0' 16680 " 16572 st "SIGNAL memory_manager_config_start : std_logic := '0'" 16681 16573 ) 16682 16574 ) … … 16695 16587 ) 16696 16588 xt "-172000,91600,-146500,92400" 16697 st "SIGNAL memory_manager_config_valid : std_logic 16698 " 16589 st "SIGNAL memory_manager_config_valid : std_logic" 16699 16590 ) 16700 16591 ) … … 16714 16605 ) 16715 16606 xt "-172000,113200,-125500,114000" 16716 st "SIGNAL spi_interface_config_start : std_logic := '0' 16717 " 16607 st "SIGNAL spi_interface_config_start : std_logic := '0'" 16718 16608 ) 16719 16609 ) … … 16732 16622 ) 16733 16623 xt "-172000,114000,-146500,114800" 16734 st "SIGNAL spi_interface_config_valid : std_logic 16735 " 16624 st "SIGNAL spi_interface_config_valid : std_logic" 16736 16625 ) 16737 16626 ) … … 17683 17572 ) 17684 17573 xt "-172000,73200,-146500,74000" 17685 st "SIGNAL dout0 : STD_LOGIC 17686 " 17574 st "SIGNAL dout0 : STD_LOGIC" 17687 17575 ) 17688 17576 ) … … 17701 17589 ) 17702 17590 xt "-172000,74000,-146500,74800" 17703 st "SIGNAL dout1 : STD_LOGIC 17704 " 17591 st "SIGNAL dout1 : STD_LOGIC" 17705 17592 ) 17706 17593 ) … … 17719 17606 ) 17720 17607 xt "-172000,74800,-146500,75600" 17721 st "SIGNAL dout2 : STD_LOGIC 17722 " 17608 st "SIGNAL dout2 : STD_LOGIC" 17723 17609 ) 17724 17610 ) … … 17737 17623 ) 17738 17624 xt "-172000,75600,-146500,76400" 17739 st "SIGNAL dout3 : STD_LOGIC 17740 " 17625 st "SIGNAL dout3 : STD_LOGIC" 17741 17626 ) 17742 17627 ) … … 18203 18088 ) 18204 18089 xt "-172000,72400,-146500,73200" 18205 st "SIGNAL dout : STD_LOGIC 18206 " 18090 st "SIGNAL dout : STD_LOGIC" 18207 18091 ) 18208 18092 ) … … 18221 18105 ) 18222 18106 xt "-172000,56400,-146500,57200" 18223 st "SIGNAL I_really_want_dwrite : STD_LOGIC 18224 " 18107 st "SIGNAL I_really_want_dwrite : STD_LOGIC" 18225 18108 ) 18226 18109 ) … … 18240 18123 ) 18241 18124 xt "-172000,86000,-125500,86800" 18242 st "SIGNAL dwrite_enable_w5300 : std_logic := '1' 18243 " 18125 st "SIGNAL dwrite_enable_w5300 : std_logic := '1'" 18244 18126 ) 18245 18127 ) … … 18259 18141 ) 18260 18142 xt "-172000,86800,-125500,87600" 18261 st "SIGNAL dwrite_global_enable : std_logic := '1' 18262 " 18143 st "SIGNAL dwrite_global_enable : std_logic := '1'" 18263 18144 ) 18264 18145 ) … … 18644 18525 ) 18645 18526 xt "-172000,76400,-146500,77200" 18646 st "SIGNAL dout4 : STD_LOGIC 18647 " 18527 st "SIGNAL dout4 : STD_LOGIC" 18648 18528 ) 18649 18529 ) … … 18663 18543 ) 18664 18544 xt "-172000,87600,-125500,88400" 18665 st "SIGNAL dwrite_trigger_manager : std_logic := '1' 18666 " 18545 st "SIGNAL dwrite_trigger_manager : std_logic := '1'" 18667 18546 ) 18668 18547 ) … … 19224 19103 ) 19225 19104 xt "-172000,68400,-112000,69200" 19226 st "SIGNAL denable_sig : std_logic := '0' -- default domino wave off 19227 " 19105 st "SIGNAL denable_sig : std_logic := '0' -- default domino wave off" 19228 19106 ) 19229 19107 ) … … 19242 19120 ) 19243 19121 xt "-172000,50000,-146500,50800" 19244 st "SIGNAL DCM_locked_status : std_logic 19245 " 19122 st "SIGNAL DCM_locked_status : std_logic" 19246 19123 ) 19247 19124 ) … … 19260 19137 ) 19261 19138 xt "-172000,50800,-146500,51600" 19262 st "SIGNAL DCM_ready_status : std_logic 19263 " 19139 st "SIGNAL DCM_ready_status : std_logic" 19264 19140 ) 19265 19141 ) … … 19279 19155 ) 19280 19156 xt "-172000,39200,-129000,40000" 19281 st "trigger_veto : std_logic := '1' 19282 " 19157 st "trigger_veto : std_logic := '1'" 19283 19158 ) 19284 19159 ) … … 19687 19562 font "Arial,8,1" 19688 19563 ) 19689 xt "-15000,159000,- 13200,160000"19690 st " U_7"19564 xt "-15000,159000,-7200,160000" 19565 st "Inst_rs485_receiver" 19691 19566 blo "-15000,159800" 19692 19567 tm "InstanceNameMgr" … … 19933 19808 ) 19934 19809 xt "-172000,4800,-150000,5600" 19935 st "FTM_RS485_rx_d : std_logic 19936 " 19810 st "FTM_RS485_rx_d : std_logic" 19937 19811 ) 19938 19812 ) … … 19951 19825 ) 19952 19826 xt "-172000,20000,-150000,20800" 19953 st "FTM_RS485_tx_d : std_logic 19954 " 19827 st "FTM_RS485_tx_d : std_logic" 19955 19828 ) 19956 19829 ) … … 19969 19842 ) 19970 19843 xt "-172000,19200,-150000,20000" 19971 st "FTM_RS485_rx_en : std_logic 19972 " 19844 st "FTM_RS485_rx_en : std_logic" 19973 19845 ) 19974 19846 ) … … 19987 19859 ) 19988 19860 xt "-172000,20800,-150000,21600" 19989 st "FTM_RS485_tx_en : std_logic 19990 " 19861 st "FTM_RS485_tx_en : std_logic" 19991 19862 ) 19992 19863 ) … … 20006 19877 ) 20007 19878 xt "-172000,100400,-125500,101200" 20008 st "SIGNAL rec_timeout_occured : std_logic := '0' 20009 " 19879 st "SIGNAL rec_timeout_occured : std_logic := '0'" 20010 19880 ) 20011 19881 ) … … 20025 19895 ) 20026 19896 xt "-172000,102000,-125500,102800" 20027 st "SIGNAL reset_trigger_id : std_logic := '0' 20028 " 19897 st "SIGNAL reset_trigger_id : std_logic := '0'" 20029 19898 ) 20030 19899 ) … … 20090 19959 ) 20091 19960 xt "-172000,40000,-115000,40800" 20092 st "w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging. 20093 " 19961 st "w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging." 20094 19962 ) 20095 19963 ) … … 20108 19976 ) 20109 19977 xt "-172000,28800,-150000,29600" 20110 st "debug_data_ram_empty : std_logic 20111 " 19978 st "debug_data_ram_empty : std_logic" 20112 19979 ) 20113 19980 ) … … 20170 20037 ) 20171 20038 xt "-172000,29600,-150000,30400" 20172 st "debug_data_valid : std_logic 20173 " 20039 st "debug_data_valid : std_logic" 20174 20040 ) 20175 20041 ) … … 20425 20291 ) 20426 20292 xt "-172000,112400,-146500,113200" 20427 st "SIGNAL software_trigger_in : std_logic 20428 " 20293 st "SIGNAL software_trigger_in : std_logic" 20429 20294 ) 20430 20295 ) … … 20491 20356 ) 20492 20357 xt "-172000,34400,-115000,35200" 20493 st "mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging. 20494 " 20358 st "mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging." 20495 20359 ) 20496 20360 ) … … 20509 20373 ) 20510 20374 xt "-172000,90000,-146500,90800" 20511 st "SIGNAL is_idle : std_logic 20512 " 20375 st "SIGNAL is_idle : std_logic" 20513 20376 ) 20514 20377 ) … … 20575 20438 xt "-172000,17600,-140500,19200" 20576 20439 st "-- for debugging 20577 DG_state : std_logic_vector(7 downto 0) 20578 " 20440 DG_state : std_logic_vector(7 downto 0)" 20579 20441 ) 20580 20442 ) … … 21396 21258 ) 21397 21259 xt "-172000,66800,-125500,67600" 21398 st "SIGNAL data_valid_ack : std_logic := '0' 21399 " 21260 st "SIGNAL data_valid_ack : std_logic := '0'" 21400 21261 ) 21401 21262 ) … … 21415 21276 ) 21416 21277 xt "-172000,70000,-125500,70800" 21417 st "SIGNAL dg_start_config : std_logic := '0' 21418 " 21278 st "SIGNAL dg_start_config : std_logic := '0'" 21419 21279 ) 21420 21280 ) … … 21433 21293 ) 21434 21294 xt "-172000,69200,-146500,70000" 21435 st "SIGNAL dg_config_done : std_logic 21436 " 21295 st "SIGNAL dg_config_done : std_logic" 21437 21296 ) 21438 21297 ) … … 21456 21315 xt "-172000,105200,-136500,106800" 21457 21316 st "-- EVT HEADER - part 6 21458 SIGNAL runnumber : std_logic_vector(31 downto 0) 21459 " 21317 SIGNAL runnumber : std_logic_vector(31 downto 0)" 21460 21318 ) 21461 21319 ) … … 21477 21335 ) 21478 21336 xt "-172000,38400,-125000,39200" 21479 st "socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true 21480 " 21337 st "socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true" 21481 21338 ) 21482 21339 ) … … 22241 22098 ) 22242 22099 xt "-172000,77200,-146500,78000" 22243 st "SIGNAL dout5 : std_logic 22244 " 22100 st "SIGNAL dout5 : std_logic" 22245 22101 ) 22246 22102 ) … … 22260 22116 ) 22261 22117 xt "-172000,122000,-125500,122800" 22262 st "SIGNAL trigger_veto1 : std_logic := '1' 22263 " 22118 st "SIGNAL trigger_veto1 : std_logic := '1'" 22264 22119 ) 22265 22120 ) … … 30230 30085 ) 30231 30086 windowSize "0,22,1681,1050" 30232 viewArea "- 59900,89700,36592,148176"30087 viewArea "-40628,107718,55864,168042" 30233 30088 cachedDiagramExtent "-174000,-16000,261100,353300" 30234 30089 pageSetupInfo (PageSetupInfo … … 30256 30111 hasePageBreakOrigin 1 30257 30112 pageBreakOrigin "-73000,0" 30258 lastUid 30 018,030113 lastUid 30231,0 30259 30114 defaultCommentText (CommentText 30260 30115 shape (Rectangle -
TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak ¶
r10958 r10988 348 348 ) 349 349 (Instance 350 name " U_7"350 name "Inst_rs485_receiver" 351 351 duLibraryName "FACT_FAD_lib" 352 352 duName "FAD_rs485_receiver" … … 470 470 (vvPair 471 471 variable "date" 472 value " 09.06.2011"472 value "10.06.2011" 473 473 ) 474 474 (vvPair 475 475 variable "day" 476 value " Do"476 value "Fr" 477 477 ) 478 478 (vvPair 479 479 variable "day_long" 480 value " Donnerstag"480 value "Freitag" 481 481 ) 482 482 (vvPair 483 483 variable "dd" 484 value " 09"484 value "10" 485 485 ) 486 486 (vvPair … … 622 622 (vvPair 623 623 variable "time" 624 value "1 6:33:39"624 value "10:56:40" 625 625 ) 626 626 (vvPair … … 713 713 font "Courier New,8,0" 714 714 ) 715 xt "-172000,12 8400,-125500,129200"715 xt "-172000,127600,-125500,128400" 716 716 st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\"" 717 717 ) … … 731 731 font "Courier New,8,0" 732 732 ) 733 xt "-172000,6 1200,-129000,62000"733 xt "-172000,60400,-129000,61200" 734 734 st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)" 735 735 ) … … 749 749 font "Courier New,8,0" 750 750 ) 751 xt "-172000,6 6000,-136500,66800"751 xt "-172000,65200,-136500,66000" 752 752 st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0)" 753 753 ) … … 767 767 font "Courier New,8,0" 768 768 ) 769 xt "-172000,9 6400,-129000,97200"769 xt "-172000,95600,-129000,96400" 770 770 st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)" 771 771 ) … … 785 785 font "Courier New,8,0" 786 786 ) 787 xt "-172000,9 7200,-136500,98000"787 xt "-172000,96400,-136500,97200" 788 788 st "SIGNAL ram_data : std_logic_vector(15 downto 0)" 789 789 ) … … 803 803 font "Courier New,8,0" 804 804 ) 805 xt "-172000,4 4000,-129000,44800"805 xt "-172000,43200,-129000,44000" 806 806 st "wiz_reset : std_logic := '1'" 807 807 ) … … 821 821 font "Courier New,8,0" 822 822 ) 823 xt "-172000,4 1600,-140500,42400"823 xt "-172000,40800,-140500,41600" 824 824 st "wiz_addr : std_logic_vector(9 DOWNTO 0)" 825 825 ) … … 839 839 font "Courier New,8,0" 840 840 ) 841 xt "-172000,4 6400,-140000,47200"841 xt "-172000,45600,-140000,46400" 842 842 st "wiz_data : std_logic_vector(15 DOWNTO 0)" 843 843 ) … … 857 857 font "Courier New,8,0" 858 858 ) 859 xt "-172000,4 2400,-129000,43200"859 xt "-172000,41600,-129000,42400" 860 860 st "wiz_cs : std_logic := '1'" 861 861 ) … … 875 875 font "Courier New,8,0" 876 876 ) 877 xt "-172000,44 800,-129000,45600"877 xt "-172000,44000,-129000,44800" 878 878 st "wiz_wr : std_logic := '1'" 879 879 ) … … 893 893 font "Courier New,8,0" 894 894 ) 895 xt "-172000,4 3200,-129000,44000"895 xt "-172000,42400,-129000,43200" 896 896 st "wiz_rd : std_logic := '1'" 897 897 ) … … 5707 5707 font "Courier New,8,0" 5708 5708 ) 5709 xt "-172000,9 8000,-129000,98800"5709 xt "-172000,97200,-129000,98000" 5710 5710 st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)" 5711 5711 ) … … 5726 5726 font "Courier New,8,0" 5727 5727 ) 5728 xt "-172000,12 5200,-125500,126000"5728 xt "-172000,124400,-125500,125200" 5729 5729 st "SIGNAL wiz_write_ea : std_logic := '0'" 5730 5730 ) … … 5746 5746 font "Courier New,8,0" 5747 5747 ) 5748 xt "-172000,12 7600,-119500,128400"5748 xt "-172000,126800,-119500,127600" 5749 5749 st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0')" 5750 5750 ) … … 5767 5767 font "Courier New,8,0" 5768 5768 ) 5769 xt "-172000,12 4400,-119500,125200"5769 xt "-172000,123600,-119500,124400" 5770 5770 st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')" 5771 5771 ) … … 5787 5787 font "Courier New,8,0" 5788 5788 ) 5789 xt "-172000,12 3600,-119500,124400"5789 xt "-172000,122800,-119500,123600" 5790 5790 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0')" 5791 5791 ) … … 5806 5806 font "Courier New,8,0" 5807 5807 ) 5808 xt "-172000,12 6000,-125500,126800"5808 xt "-172000,125200,-125500,126000" 5809 5809 st "SIGNAL wiz_write_end : std_logic := '0'" 5810 5810 ) … … 5825 5825 font "Courier New,8,0" 5826 5826 ) 5827 xt "-172000,126 800,-125500,127600"5827 xt "-172000,126000,-125500,126800" 5828 5828 st "SIGNAL wiz_write_header : std_logic := '0'" 5829 5829 ) … … 5842 5842 font "Courier New,8,0" 5843 5843 ) 5844 xt "-172000,98 800,-146500,99600"5844 xt "-172000,98000,-146500,98800" 5845 5845 st "SIGNAL ram_write_ea : std_logic" 5846 5846 ) … … 5860 5860 font "Courier New,8,0" 5861 5861 ) 5862 xt "-172000,9 9600,-125500,100400"5862 xt "-172000,98800,-125500,99600" 5863 5863 st "SIGNAL ram_write_ready : std_logic := '0'" 5864 5864 ) … … 5877 5877 font "Courier New,8,0" 5878 5878 ) 5879 xt "-172000,10 3600,-145000,104400"5879 xt "-172000,102800,-145000,103600" 5880 5880 st "SIGNAL roi_max : roi_max_type" 5881 5881 ) … … 5895 5895 font "Courier New,8,0" 5896 5896 ) 5897 xt "-172000,9 3200,-136500,94000"5897 xt "-172000,92400,-136500,93200" 5898 5898 st "SIGNAL package_length : std_logic_vector(15 downto 0)" 5899 5899 ) … … 6118 6118 bg "0,0,32768" 6119 6119 ) 6120 xt "85200,178000,9 6000,179000"6120 xt "85200,178000,95900,179000" 6121 6121 st " 6122 6122 by %user on %dd %month %year … … 6518 6518 font "Courier New,8,0" 6519 6519 ) 6520 xt "-172000,4 9200,-146500,50000"6520 xt "-172000,48400,-146500,49200" 6521 6521 st "SIGNAL CLK_25 : std_logic" 6522 6522 ) … … 6677 6677 font "Courier New,8,0" 6678 6678 ) 6679 xt "-172000,78 800,-125500,79600"6679 xt "-172000,78000,-125500,78800" 6680 6680 st "SIGNAL drs_clk_en : std_logic := '0'" 6681 6681 ) … … 6694 6694 font "Courier New,8,0" 6695 6695 ) 6696 xt "-172000,8 5200,-140500,86000"6696 xt "-172000,84400,-140500,85200" 6697 6697 st "SIGNAL drs_s_cell_array : drs_s_cell_array_type" 6698 6698 ) … … 6712 6712 font "Courier New,8,0" 6713 6713 ) 6714 xt "-172000,7 9600,-125500,80400"6714 xt "-172000,78800,-125500,79600" 6715 6715 st "SIGNAL drs_read_s_cell : std_logic := '0'" 6716 6716 ) … … 7104 7104 font "Courier New,8,0" 7105 7105 ) 7106 xt "-172000, 80400,-146500,81200"7106 xt "-172000,79600,-146500,80400" 7107 7107 st "SIGNAL drs_read_s_cell_ready : std_logic" 7108 7108 ) … … 7904 7904 font "Courier New,8,0" 7905 7905 ) 7906 xt "-172000,110 800,-146500,111600"7906 xt "-172000,110000,-146500,110800" 7907 7907 st "SIGNAL sensor_ready : std_logic" 7908 7908 ) … … 7921 7921 font "Courier New,8,0" 7922 7922 ) 7923 xt "-172000,1 10000,-142500,110800"7923 xt "-172000,109200,-142500,110000" 7924 7924 st "SIGNAL sensor_array : sensor_array_type" 7925 7925 ) … … 7940 7940 font "Courier New,8,0" 7941 7941 ) 7942 xt "-172000, 60400,-137000,61200"7942 xt "-172000,59600,-137000,60400" 7943 7943 st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0)" 7944 7944 ) … … 7957 7957 font "Courier New,8,0" 7958 7958 ) 7959 xt "-172000,5 9600,-141500,60400"7959 xt "-172000,58800,-141500,59600" 7960 7960 st "SIGNAL adc_data_array_int : adc_data_array_type" 7961 7961 ) … … 8266 8266 n "sclk" 8267 8267 t "std_logic" 8268 o 108268 o 9 8269 8269 suid 1,0 8270 8270 ) … … 8408 8408 n "sensor_array" 8409 8409 t "sensor_array_type" 8410 o 1 18410 o 10 8411 8411 suid 13,0 8412 8412 ) … … 8444 8444 n "sensor_ready" 8445 8445 t "std_logic" 8446 o 1 38446 o 12 8447 8447 suid 14,0 8448 8448 ) … … 8515 8515 t "std_logic_vector" 8516 8516 b "(3 DOWNTO 0)" 8517 o 1 28517 o 11 8518 8518 suid 16,0 8519 8519 ) … … 8586 8586 n "mosi" 8587 8587 t "std_logic" 8588 o 98588 o 8 8589 8589 suid 19,0 8590 8590 i "'0'" … … 8624 8624 preAdd 0 8625 8625 posAdd 0 8626 o 1 48626 o 13 8627 8627 suid 20,0 8628 8628 ) … … 8701 8701 ) 8702 8702 ) 8703 *252 (CptPort8704 uid 29557,08705 ps "OnEdgeStrategy"8706 shape (Triangle8707 uid 29558,08708 ro 908709 va (VaSet8710 vasetType 18711 fg "0,65535,0"8712 )8713 xt "-3000,127625,-2250,128375"8714 )8715 tg (CPTG8716 uid 29559,08717 ps "CptPortTextPlaceStrategy"8718 stg "RightVerticalLayoutStrategy"8719 f (Text8720 uid 29560,08721 va (VaSet8722 )8723 xt "-12900,127500,-4000,128500"8724 st "debug_16bit : (15:0)"8725 ju 28726 blo "-4000,128300"8727 )8728 )8729 thePort (LogicalPort8730 m 18731 decl (Decl8732 n "debug_16bit"8733 t "std_logic_vector"8734 b "( 15 DOWNTO 0 )"8735 o 88736 suid 24,08737 )8738 )8739 )8740 8703 ] 8741 8704 shape (Rectangle … … 8755 8718 stg "VerticalLayoutStrategy" 8756 8719 textVec [ 8757 *25 3(Text8720 *252 (Text 8758 8721 uid 5796,0 8759 8722 va (VaSet … … 8765 8728 tm "BdLibraryNameMgr" 8766 8729 ) 8767 *25 4(Text8730 *253 (Text 8768 8731 uid 5797,0 8769 8732 va (VaSet … … 8775 8738 tm "CptNameMgr" 8776 8739 ) 8777 *25 5(Text8740 *254 (Text 8778 8741 uid 5798,0 8779 8742 va (VaSet … … 8821 8784 archFileType "UNKNOWN" 8822 8785 ) 8823 *25 6(Net8786 *255 (Net 8824 8787 uid 5811,0 8825 8788 decl (Decl … … 8838 8801 ) 8839 8802 ) 8840 *25 7(Net8803 *256 (Net 8841 8804 uid 5819,0 8842 8805 decl (Decl … … 8853 8816 font "Courier New,8,0" 8854 8817 ) 8855 xt "-172000,4 5600,-150000,46400"8818 xt "-172000,44800,-150000,45600" 8856 8819 st "sio : std_logic" 8857 8820 ) 8858 8821 ) 8859 *25 8(Net8822 *257 (Net 8860 8823 uid 5827,0 8861 8824 decl (Decl … … 8874 8837 ) 8875 8838 ) 8876 *25 9(Net8839 *258 (Net 8877 8840 uid 5835,0 8878 8841 decl (Decl … … 8892 8855 ) 8893 8856 ) 8894 *2 60(PortIoOut8857 *259 (PortIoOut 8895 8858 uid 5843,0 8896 8859 shape (CompositeShape … … 8937 8900 ) 8938 8901 ) 8939 *26 1(PortIoInOut8902 *260 (PortIoInOut 8940 8903 uid 5849,0 8941 8904 shape (CompositeShape … … 8982 8945 ) 8983 8946 ) 8984 *26 2(PortIoOut8947 *261 (PortIoOut 8985 8948 uid 5855,0 8986 8949 shape (CompositeShape … … 9027 8990 ) 9028 8991 ) 9029 *26 3(PortIoOut8992 *262 (PortIoOut 9030 8993 uid 5861,0 9031 8994 shape (CompositeShape … … 9072 9035 ) 9073 9036 ) 9074 *26 4(Net9037 *263 (Net 9075 9038 uid 6158,0 9076 9039 decl (Decl … … 9090 9053 ) 9091 9054 ) 9092 *26 5(PortIoOut9055 *264 (PortIoOut 9093 9056 uid 6166,0 9094 9057 shape (CompositeShape … … 9135 9098 ) 9136 9099 ) 9137 *26 6(Net9100 *265 (Net 9138 9101 uid 6360,0 9139 9102 decl (Decl … … 9155 9118 ) 9156 9119 ) 9157 *26 7(PortIoOut9120 *266 (PortIoOut 9158 9121 uid 6368,0 9159 9122 shape (CompositeShape … … 9199 9162 ) 9200 9163 ) 9201 *26 8(MWC9164 *267 (MWC 9202 9165 uid 6529,0 9203 9166 optionalChildren [ 9204 *26 9(CptPort9167 *268 (CptPort 9205 9168 uid 6501,0 9206 9169 optionalChildren [ 9207 *2 70(Line9170 *269 (Line 9208 9171 uid 6505,0 9209 9172 layer 5 … … 9218 9181 ] 9219 9182 ) 9220 *27 1(Property9183 *270 (Property 9221 9184 uid 6506,0 9222 9185 pclass "_MW_GEOM_" … … 9263 9226 ) 9264 9227 ) 9265 *27 2(CptPort9228 *271 (CptPort 9266 9229 uid 6507,0 9267 9230 optionalChildren [ 9268 *27 3(Line9231 *272 (Line 9269 9232 uid 6511,0 9270 9233 layer 5 … … 9318 9281 ) 9319 9282 ) 9320 *27 4(CptPort9283 *273 (CptPort 9321 9284 uid 6512,0 9322 9285 optionalChildren [ 9323 *27 5(Line9286 *274 (Line 9324 9287 uid 6516,0 9325 9288 layer 5 … … 9373 9336 ) 9374 9337 ) 9375 *27 6(CommentGraphic9338 *275 (CommentGraphic 9376 9339 uid 6517,0 9377 9340 optionalChildren [ 9378 *27 7(Property9341 *276 (Property 9379 9342 uid 6519,0 9380 9343 pclass "_MW_GEOM_" … … 9400 9363 oxt "11000,10000,11000,10000" 9401 9364 ) 9402 *27 8(CommentGraphic9365 *277 (CommentGraphic 9403 9366 uid 6520,0 9404 9367 optionalChildren [ 9405 *27 9(Property9368 *278 (Property 9406 9369 uid 6522,0 9407 9370 pclass "_MW_GEOM_" … … 9427 9390 oxt "11000,6000,11000,6000" 9428 9391 ) 9429 *2 80(Grouping9392 *279 (Grouping 9430 9393 uid 6523,0 9431 9394 optionalChildren [ 9432 *28 1(CommentGraphic9395 *280 (CommentGraphic 9433 9396 uid 6525,0 9434 9397 shape (PolyLine2D … … 9451 9414 oxt "9000,6000,11000,10000" 9452 9415 ) 9453 *28 2(CommentGraphic9416 *281 (CommentGraphic 9454 9417 uid 6527,0 9455 9418 shape (Arc2D … … 9504 9467 stg "VerticalLayoutStrategy" 9505 9468 textVec [ 9506 *28 3(Text9469 *282 (Text 9507 9470 uid 6532,0 9508 9471 va (VaSet … … 9514 9477 blo "-91500,76300" 9515 9478 ) 9516 *28 4(Text9479 *283 (Text 9517 9480 uid 6533,0 9518 9481 va (VaSet … … 9523 9486 blo "-91500,77300" 9524 9487 ) 9525 *28 5(Text9488 *284 (Text 9526 9489 uid 6534,0 9527 9490 va (VaSet … … 9568 9531 ) 9569 9532 ) 9570 *28 6(SaComponent9533 *285 (SaComponent 9571 9534 uid 8277,0 9572 9535 optionalChildren [ 9573 *28 7(CptPort9536 *286 (CptPort 9574 9537 uid 8246,0 9575 9538 ps "OnEdgeStrategy" … … 9608 9571 ) 9609 9572 ) 9610 *28 8(CptPort9573 *287 (CptPort 9611 9574 uid 8250,0 9612 9575 ps "OnEdgeStrategy" … … 9646 9609 ) 9647 9610 ) 9648 *28 9(CptPort9611 *288 (CptPort 9649 9612 uid 8254,0 9650 9613 ps "OnEdgeStrategy" … … 9684 9647 ) 9685 9648 ) 9686 *2 90(CptPort9649 *289 (CptPort 9687 9650 uid 8258,0 9688 9651 ps "OnEdgeStrategy" … … 9722 9685 ) 9723 9686 ) 9724 *29 1(CptPort9687 *290 (CptPort 9725 9688 uid 8262,0 9726 9689 ps "OnEdgeStrategy" … … 9760 9723 ) 9761 9724 ) 9762 *29 2(CptPort9725 *291 (CptPort 9763 9726 uid 8266,0 9764 9727 ps "OnEdgeStrategy" … … 9799 9762 ) 9800 9763 ) 9801 *29 3(CptPort9764 *292 (CptPort 9802 9765 uid 8270,0 9803 9766 ps "OnEdgeStrategy" … … 9856 9819 stg "VerticalLayoutStrategy" 9857 9820 textVec [ 9858 *29 4(Text9821 *293 (Text 9859 9822 uid 8280,0 9860 9823 va (VaSet … … 9866 9829 tm "BdLibraryNameMgr" 9867 9830 ) 9868 *29 5(Text9831 *294 (Text 9869 9832 uid 8281,0 9870 9833 va (VaSet … … 9876 9839 tm "CptNameMgr" 9877 9840 ) 9878 *29 6(Text9841 *295 (Text 9879 9842 uid 8282,0 9880 9843 va (VaSet … … 9924 9887 archFileType "UNKNOWN" 9925 9888 ) 9926 *29 7(Net9889 *296 (Net 9927 9890 uid 8746,0 9928 9891 decl (Decl … … 9937 9900 font "Courier New,8,0" 9938 9901 ) 9939 xt "-172000,10 9200,-146500,110000"9902 xt "-172000,108400,-146500,109200" 9940 9903 st "SIGNAL sclk_enable : std_logic" 9941 9904 ) 9942 9905 ) 9943 *29 8(Net9906 *297 (Net 9944 9907 uid 9004,0 9945 9908 lang 2 … … 9955 9918 font "Courier New,8,0" 9956 9919 ) 9957 xt "-172000,58 800,-146500,59600"9920 xt "-172000,58000,-146500,58800" 9958 9921 st "SIGNAL adc_clk_en : std_logic" 9959 9922 ) 9960 9923 ) 9961 *29 9(SaComponent9924 *298 (SaComponent 9962 9925 uid 9175,0 9963 9926 optionalChildren [ 9964 * 300(CptPort9927 *299 (CptPort 9965 9928 uid 9120,0 9966 9929 ps "OnEdgeStrategy" … … 9999 9962 ) 10000 9963 ) 10001 *30 1(CptPort9964 *300 (CptPort 10002 9965 uid 9124,0 10003 9966 ps "OnEdgeStrategy" … … 10036 9999 ) 10037 10000 ) 10038 *30 2(CptPort10001 *301 (CptPort 10039 10002 uid 9128,0 10040 10003 ps "OnEdgeStrategy" … … 10071 10034 ) 10072 10035 ) 10073 *30 3(CptPort10036 *302 (CptPort 10074 10037 uid 9211,0 10075 10038 ps "OnEdgeStrategy" … … 10108 10071 ) 10109 10072 ) 10110 *30 4(CptPort10073 *303 (CptPort 10111 10074 uid 9215,0 10112 10075 ps "OnEdgeStrategy" … … 10143 10106 ) 10144 10107 ) 10145 *30 5(CptPort10108 *304 (CptPort 10146 10109 uid 9219,0 10147 10110 ps "OnEdgeStrategy" … … 10178 10141 ) 10179 10142 ) 10180 *30 6(CptPort10143 *305 (CptPort 10181 10144 uid 10030,0 10182 10145 ps "OnEdgeStrategy" … … 10213 10176 ) 10214 10177 ) 10215 *30 7(CptPort10178 *306 (CptPort 10216 10179 uid 15170,0 10217 10180 ps "OnEdgeStrategy" … … 10254 10217 ) 10255 10218 ) 10256 *30 8(CptPort10219 *307 (CptPort 10257 10220 uid 23071,0 10258 10221 ps "OnEdgeStrategy" … … 10291 10254 ) 10292 10255 ) 10293 *30 9(CptPort10256 *308 (CptPort 10294 10257 uid 23075,0 10295 10258 ps "OnEdgeStrategy" … … 10345 10308 stg "VerticalLayoutStrategy" 10346 10309 textVec [ 10347 *3 10(Text10310 *309 (Text 10348 10311 uid 9178,0 10349 10312 va (VaSet … … 10355 10318 tm "BdLibraryNameMgr" 10356 10319 ) 10357 *31 1(Text10320 *310 (Text 10358 10321 uid 9179,0 10359 10322 va (VaSet … … 10365 10328 tm "CptNameMgr" 10366 10329 ) 10367 *31 2(Text10330 *311 (Text 10368 10331 uid 9180,0 10369 10332 va (VaSet … … 10411 10374 archFileType "UNKNOWN" 10412 10375 ) 10413 *31 3(Net10376 *312 (Net 10414 10377 uid 9231,0 10415 10378 decl (Decl … … 10427 10390 font "Courier New,8,0" 10428 10391 ) 10429 xt "-172000,9 4000,-110000,94800"10392 xt "-172000,93200,-110000,94000" 10430 10393 st "SIGNAL ps_direction : std_logic := '1' -- default phase shift upwards" 10431 10394 ) 10432 10395 ) 10433 *31 4(Net10396 *313 (Net 10434 10397 uid 9239,0 10435 10398 decl (Decl … … 10448 10411 font "Courier New,8,0" 10449 10412 ) 10450 xt "-172000,94 800,-109000,95600"10413 xt "-172000,94000,-109000,94800" 10451 10414 st "SIGNAL ps_do_phase_shift : std_logic := '0' --pulse this to phase shift once" 10452 10415 ) 10453 10416 ) 10454 *31 5(Net10417 *314 (Net 10455 10418 uid 9941,0 10456 10419 decl (Decl … … 10468 10431 font "Courier New,8,0" 10469 10432 ) 10470 xt "-172000,9 5600,-101500,96400"10433 xt "-172000,94800,-101500,95600" 10471 10434 st "SIGNAL ps_reset : std_logic := '0' -- pulse this to reset the variable phase shift" 10472 10435 ) 10473 10436 ) 10474 *31 6(Net10437 *315 (Net 10475 10438 uid 9949,0 10476 10439 decl (Decl … … 10486 10449 font "Courier New,8,0" 10487 10450 ) 10488 xt "-172000,11 5600,-125500,116400"10451 xt "-172000,114800,-125500,115600" 10489 10452 st "SIGNAL srclk_enable : std_logic := '0'" 10490 10453 ) 10491 10454 ) 10492 *31 7(MWC10455 *316 (MWC 10493 10456 uid 9957,0 10494 10457 optionalChildren [ 10495 *31 8(CptPort10458 *317 (CptPort 10496 10459 uid 9966,0 10497 10460 optionalChildren [ 10498 *31 9(Line10461 *318 (Line 10499 10462 uid 9970,0 10500 10463 layer 5 … … 10509 10472 ] 10510 10473 ) 10511 *3 20(Property10474 *319 (Property 10512 10475 uid 9971,0 10513 10476 pclass "_MW_GEOM_" … … 10553 10516 ) 10554 10517 ) 10555 *32 1(CptPort10518 *320 (CptPort 10556 10519 uid 9972,0 10557 10520 optionalChildren [ 10558 *32 2(Line10521 *321 (Line 10559 10522 uid 9976,0 10560 10523 layer 5 … … 10607 10570 ) 10608 10571 ) 10609 *32 3(CptPort10572 *322 (CptPort 10610 10573 uid 9977,0 10611 10574 optionalChildren [ 10612 *32 4(Line10575 *323 (Line 10613 10576 uid 9981,0 10614 10577 layer 5 … … 10661 10624 ) 10662 10625 ) 10663 *32 5(CommentGraphic10626 *324 (CommentGraphic 10664 10627 uid 9982,0 10665 10628 optionalChildren [ 10666 *32 6(Property10629 *325 (Property 10667 10630 uid 9984,0 10668 10631 pclass "_MW_GEOM_" … … 10688 10651 oxt "11000,10000,11000,10000" 10689 10652 ) 10690 *32 7(CommentGraphic10653 *326 (CommentGraphic 10691 10654 uid 9985,0 10692 10655 optionalChildren [ 10693 *32 8(Property10656 *327 (Property 10694 10657 uid 9987,0 10695 10658 pclass "_MW_GEOM_" … … 10715 10678 oxt "11000,6000,11000,6000" 10716 10679 ) 10717 *32 9(Grouping10680 *328 (Grouping 10718 10681 uid 9988,0 10719 10682 optionalChildren [ 10720 *3 30(CommentGraphic10683 *329 (CommentGraphic 10721 10684 uid 9990,0 10722 10685 shape (PolyLine2D … … 10739 10702 oxt "9000,6000,11000,10000" 10740 10703 ) 10741 *33 1(CommentGraphic10704 *330 (CommentGraphic 10742 10705 uid 9992,0 10743 10706 shape (Arc2D … … 10792 10755 stg "VerticalLayoutStrategy" 10793 10756 textVec [ 10794 *33 2(Text10757 *331 (Text 10795 10758 uid 9960,0 10796 10759 va (VaSet … … 10802 10765 blo "-69500,56300" 10803 10766 ) 10804 *33 3(Text10767 *332 (Text 10805 10768 uid 9961,0 10806 10769 va (VaSet … … 10811 10774 blo "-69500,57300" 10812 10775 ) 10813 *33 4(Text10776 *333 (Text 10814 10777 uid 9962,0 10815 10778 va (VaSet … … 10856 10819 ) 10857 10820 ) 10858 *33 5(Net10821 *334 (Net 10859 10822 uid 10008,0 10860 10823 decl (Decl … … 10870 10833 font "Courier New,8,0" 10871 10834 ) 10872 xt "-172000,5 8000,-125500,58800"10835 xt "-172000,57200,-125500,58000" 10873 10836 st "SIGNAL SRCLK1 : std_logic := '0'" 10874 10837 ) 10875 10838 ) 10876 *33 6(Net10839 *335 (Net 10877 10840 uid 10264,0 10878 10841 decl (Decl … … 10887 10850 font "Courier New,8,0" 10888 10851 ) 10889 xt "-172000,10 7600,-146500,108400"10852 xt "-172000,106800,-146500,107600" 10890 10853 st "SIGNAL s_trigger : std_logic" 10891 10854 ) 10892 10855 ) 10893 *33 7(Net10856 *336 (Net 10894 10857 uid 10296,0 10895 10858 decl (Decl … … 10904 10867 font "Courier New,8,0" 10905 10868 ) 10906 xt "-172000,11 8000,-146500,118800"10869 xt "-172000,117200,-146500,118000" 10907 10870 st "SIGNAL start_srin_write_8b : std_logic" 10908 10871 ) 10909 10872 ) 10910 *33 8(Net10873 *337 (Net 10911 10874 uid 10302,0 10912 10875 decl (Decl … … 10922 10885 font "Courier New,8,0" 10923 10886 ) 10924 xt "-172000,11 6400,-125500,117200"10887 xt "-172000,115600,-125500,116400" 10925 10888 st "SIGNAL srin_write_ack : std_logic := '0'" 10926 10889 ) 10927 10890 ) 10928 *33 9(Net10891 *338 (Net 10929 10892 uid 10308,0 10930 10893 decl (Decl … … 10940 10903 font "Courier New,8,0" 10941 10904 ) 10942 xt "-172000,11 7200,-125500,118000"10905 xt "-172000,116400,-125500,117200" 10943 10906 st "SIGNAL srin_write_ready : std_logic := '0'" 10944 10907 ) 10945 10908 ) 10946 *3 40(Net10909 *339 (Net 10947 10910 uid 10314,0 10948 10911 decl (Decl … … 10959 10922 font "Courier New,8,0" 10960 10923 ) 10961 xt "-172000,8 6000,-119500,86800"10924 xt "-172000,85200,-119500,86000" 10962 10925 st "SIGNAL drs_srin_data : std_logic_vector(7 downto 0) := (others => '0')" 10963 10926 ) 10964 10927 ) 10965 *34 1(Net10928 *340 (Net 10966 10929 uid 10320,0 10967 10930 decl (Decl … … 10981 10944 ) 10982 10945 ) 10983 *34 2(PortIoOut10946 *341 (PortIoOut 10984 10947 uid 10328,0 10985 10948 shape (CompositeShape … … 11026 10989 ) 11027 10990 ) 11028 *34 3(MWC10991 *342 (MWC 11029 10992 uid 10380,0 11030 10993 optionalChildren [ 11031 *34 4(CptPort10994 *343 (CptPort 11032 10995 uid 10344,0 11033 10996 optionalChildren [ 11034 *34 5(Line10997 *344 (Line 11035 10998 uid 10348,0 11036 10999 layer 5 … … 11084 11047 ) 11085 11048 ) 11086 *34 6(CptPort11049 *345 (CptPort 11087 11050 uid 10349,0 11088 11051 optionalChildren [ 11089 *34 7(Property11052 *346 (Property 11090 11053 uid 10353,0 11091 11054 pclass "_MW_GEOM_" … … 11093 11056 ptn "String" 11094 11057 ) 11095 *34 8(Line11058 *347 (Line 11096 11059 uid 10354,0 11097 11060 layer 5 … … 11147 11110 ) 11148 11111 ) 11149 *34 9(CptPort11112 *348 (CptPort 11150 11113 uid 10355,0 11151 11114 optionalChildren [ 11152 *3 50(Line11115 *349 (Line 11153 11116 uid 10359,0 11154 11117 layer 5 … … 11200 11163 ) 11201 11164 ) 11202 *35 1(CommentGraphic11165 *350 (CommentGraphic 11203 11166 uid 10360,0 11204 11167 shape (Arc2D … … 11221 11184 oxt "7000,6003,11000,8000" 11222 11185 ) 11223 *35 2(CommentGraphic11186 *351 (CommentGraphic 11224 11187 uid 10362,0 11225 11188 shape (Arc2D … … 11242 11205 oxt "6996,8005,11000,10000" 11243 11206 ) 11244 *35 3(Grouping11207 *352 (Grouping 11245 11208 uid 10364,0 11246 11209 optionalChildren [ 11247 *35 4(CommentGraphic11210 *353 (CommentGraphic 11248 11211 uid 10366,0 11249 11212 optionalChildren [ 11250 *35 5(Property11213 *354 (Property 11251 11214 uid 10368,0 11252 11215 pclass "_MW_GEOM_" … … 11279 11242 oxt "7000,6000,11000,9998" 11280 11243 ) 11281 *35 6(CommentGraphic11244 *355 (CommentGraphic 11282 11245 uid 10369,0 11283 11246 optionalChildren [ 11284 *35 7(Property11247 *356 (Property 11285 11248 uid 10371,0 11286 11249 pclass "_MW_GEOM_" … … 11324 11287 oxt "7000,6000,11000,10000" 11325 11288 ) 11326 *35 8(CommentGraphic11289 *357 (CommentGraphic 11327 11290 uid 10372,0 11328 11291 shape (PolyLine2D … … 11343 11306 oxt "11000,8000,11000,8000" 11344 11307 ) 11345 *35 9(CommentGraphic11308 *358 (CommentGraphic 11346 11309 uid 10374,0 11347 11310 optionalChildren [ 11348 *3 60(Property11311 *359 (Property 11349 11312 uid 10376,0 11350 11313 pclass "_MW_GEOM_" … … 11370 11333 oxt "7000,6000,7000,6000" 11371 11334 ) 11372 *36 1(CommentGraphic11335 *360 (CommentGraphic 11373 11336 uid 10377,0 11374 11337 optionalChildren [ 11375 *36 2(Property11338 *361 (Property 11376 11339 uid 10379,0 11377 11340 pclass "_MW_GEOM_" … … 11416 11379 stg "VerticalLayoutStrategy" 11417 11380 textVec [ 11418 *36 3(Text11381 *362 (Text 11419 11382 uid 10383,0 11420 11383 va (VaSet … … 11426 11389 blo "-98500,70300" 11427 11390 ) 11428 *36 4(Text11391 *363 (Text 11429 11392 uid 10384,0 11430 11393 va (VaSet … … 11435 11398 blo "-98500,71300" 11436 11399 ) 11437 *36 5(Text11400 *364 (Text 11438 11401 uid 10385,0 11439 11402 va (VaSet … … 11480 11443 ) 11481 11444 ) 11482 *36 6(Net11445 *365 (Net 11483 11446 uid 10627,0 11484 11447 decl (Decl … … 11493 11456 font "Courier New,8,0" 11494 11457 ) 11495 xt "-172000,11 1600,-146500,112400"11458 xt "-172000,110800,-146500,111600" 11496 11459 st "SIGNAL socks_connected : std_logic" 11497 11460 ) 11498 11461 ) 11499 *36 7(Net11462 *366 (Net 11500 11463 uid 10635,0 11501 11464 decl (Decl … … 11510 11473 font "Courier New,8,0" 11511 11474 ) 11512 xt "-172000,11 2400,-146500,113200"11475 xt "-172000,111600,-146500,112400" 11513 11476 st "SIGNAL socks_waiting : std_logic" 11514 11477 ) 11515 11478 ) 11516 *36 8(Net11479 *367 (Net 11517 11480 uid 10721,0 11518 11481 decl (Decl … … 11531 11494 ) 11532 11495 ) 11533 *36 9(PortIoOut11496 *368 (PortIoOut 11534 11497 uid 10729,0 11535 11498 shape (CompositeShape … … 11575 11538 ) 11576 11539 ) 11577 *3 70(Net11540 *369 (Net 11578 11541 uid 10735,0 11579 11542 decl (Decl … … 11592 11555 ) 11593 11556 ) 11594 *37 1(PortIoOut11557 *370 (PortIoOut 11595 11558 uid 10743,0 11596 11559 shape (CompositeShape … … 11636 11599 ) 11637 11600 ) 11638 *37 2(Net11601 *371 (Net 11639 11602 uid 10749,0 11640 11603 decl (Decl … … 11653 11616 ) 11654 11617 ) 11655 *37 3(PortIoOut11618 *372 (PortIoOut 11656 11619 uid 10757,0 11657 11620 shape (CompositeShape … … 11697 11660 ) 11698 11661 ) 11699 *37 4(SaComponent11662 *373 (SaComponent 11700 11663 uid 11209,0 11701 11664 optionalChildren [ 11702 *37 5(CptPort11665 *374 (CptPort 11703 11666 uid 11181,0 11704 11667 ps "OnEdgeStrategy" … … 11733 11696 ) 11734 11697 ) 11735 *37 6(CptPort11698 *375 (CptPort 11736 11699 uid 11185,0 11737 11700 ps "OnEdgeStrategy" … … 11768 11731 ) 11769 11732 ) 11770 *37 7(CptPort11733 *376 (CptPort 11771 11734 uid 11189,0 11772 11735 ps "OnEdgeStrategy" … … 11803 11766 ) 11804 11767 ) 11805 *37 8(CptPort11768 *377 (CptPort 11806 11769 uid 11193,0 11807 11770 ps "OnEdgeStrategy" … … 11838 11801 ) 11839 11802 ) 11840 *37 9(CptPort11803 *378 (CptPort 11841 11804 uid 11197,0 11842 11805 ps "OnEdgeStrategy" … … 11871 11834 ) 11872 11835 ) 11873 *3 80(CptPort11836 *379 (CptPort 11874 11837 uid 11201,0 11875 11838 ps "OnEdgeStrategy" … … 11904 11867 ) 11905 11868 ) 11906 *38 1(CptPort11869 *380 (CptPort 11907 11870 uid 11205,0 11908 11871 ps "OnEdgeStrategy" … … 11937 11900 ) 11938 11901 ) 11939 *38 2(CptPort11902 *381 (CptPort 11940 11903 uid 12693,0 11941 11904 ps "OnEdgeStrategy" … … 11972 11935 ) 11973 11936 ) 11974 *38 3(CptPort11937 *382 (CptPort 11975 11938 uid 22344,0 11976 11939 ps "OnEdgeStrategy" … … 12005 11968 ) 12006 11969 ) 12007 *38 4(CptPort11970 *383 (CptPort 12008 11971 uid 22348,0 12009 11972 ps "OnEdgeStrategy" … … 12055 12018 stg "VerticalLayoutStrategy" 12056 12019 textVec [ 12057 *38 5(Text12020 *384 (Text 12058 12021 uid 11212,0 12059 12022 va (VaSet … … 12065 12028 tm "BdLibraryNameMgr" 12066 12029 ) 12067 *38 6(Text12030 *385 (Text 12068 12031 uid 11213,0 12069 12032 va (VaSet … … 12075 12038 tm "CptNameMgr" 12076 12039 ) 12077 *38 7(Text12040 *386 (Text 12078 12041 uid 11214,0 12079 12042 va (VaSet … … 12134 12097 archFileType "UNKNOWN" 12135 12098 ) 12136 *38 8(Net12099 *387 (Net 12137 12100 uid 11403,0 12138 12101 decl (Decl … … 12147 12110 font "Courier New,8,0" 12148 12111 ) 12149 xt "-172000,8 4400,-146500,85200"12112 xt "-172000,83600,-146500,84400" 12150 12113 st "SIGNAL drs_readout_started : std_logic" 12151 12114 ) 12152 12115 ) 12153 *38 9(Net12116 *388 (Net 12154 12117 uid 11856,0 12155 12118 decl (Decl … … 12164 12127 font "Courier New,8,0" 12165 12128 ) 12166 xt "-172000,11 9600,-146500,120400"12129 xt "-172000,118800,-146500,119600" 12167 12130 st "SIGNAL trigger_enable : std_logic" 12168 12131 ) 12169 12132 ) 12170 *3 90(MWC12133 *389 (MWC 12171 12134 uid 12295,0 12172 12135 optionalChildren [ 12173 *39 1(CptPort12136 *390 (CptPort 12174 12137 uid 12267,0 12175 12138 optionalChildren [ 12176 *39 2(Line12139 *391 (Line 12177 12140 uid 12271,0 12178 12141 layer 5 … … 12187 12150 ] 12188 12151 ) 12189 *39 3(Property12152 *392 (Property 12190 12153 uid 12272,0 12191 12154 pclass "_MW_GEOM_" … … 12234 12197 ) 12235 12198 ) 12236 *39 4(CptPort12199 *393 (CptPort 12237 12200 uid 12273,0 12238 12201 optionalChildren [ 12239 *39 5(Line12202 *394 (Line 12240 12203 uid 12277,0 12241 12204 layer 5 … … 12289 12252 ) 12290 12253 ) 12291 *39 6(CptPort12254 *395 (CptPort 12292 12255 uid 12278,0 12293 12256 optionalChildren [ 12294 *39 7(Line12257 *396 (Line 12295 12258 uid 12282,0 12296 12259 layer 5 … … 12342 12305 ) 12343 12306 ) 12344 *39 8(CommentGraphic12307 *397 (CommentGraphic 12345 12308 uid 12283,0 12346 12309 optionalChildren [ 12347 *39 9(Property12310 *398 (Property 12348 12311 uid 12285,0 12349 12312 pclass "_MW_GEOM_" … … 12369 12332 oxt "7000,10000,7000,10000" 12370 12333 ) 12371 * 400(CommentGraphic12334 *399 (CommentGraphic 12372 12335 uid 12286,0 12373 12336 optionalChildren [ 12374 *40 1(Property12337 *400 (Property 12375 12338 uid 12288,0 12376 12339 pclass "_MW_GEOM_" … … 12396 12359 oxt "7000,6000,7000,6000" 12397 12360 ) 12398 *40 2(Grouping12361 *401 (Grouping 12399 12362 uid 12289,0 12400 12363 optionalChildren [ 12401 *40 3(CommentGraphic12364 *402 (CommentGraphic 12402 12365 uid 12291,0 12403 12366 shape (PolyLine2D … … 12420 12383 oxt "7000,6000,9000,10000" 12421 12384 ) 12422 *40 4(CommentGraphic12385 *403 (CommentGraphic 12423 12386 uid 12293,0 12424 12387 shape (Arc2D … … 12473 12436 stg "VerticalLayoutStrategy" 12474 12437 textVec [ 12475 *40 5(Text12438 *404 (Text 12476 12439 uid 12298,0 12477 12440 va (VaSet … … 12483 12446 blo "-92500,71300" 12484 12447 ) 12485 *40 6(Text12448 *405 (Text 12486 12449 uid 12299,0 12487 12450 va (VaSet … … 12492 12455 blo "-92500,72300" 12493 12456 ) 12494 *40 7(Text12457 *406 (Text 12495 12458 uid 12300,0 12496 12459 va (VaSet … … 12537 12500 ) 12538 12501 ) 12539 *40 8(SaComponent12502 *407 (SaComponent 12540 12503 uid 12625,0 12541 12504 optionalChildren [ 12542 *40 9(CptPort12505 *408 (CptPort 12543 12506 uid 12605,0 12544 12507 ps "OnEdgeStrategy" … … 12573 12536 ) 12574 12537 ) 12575 *4 10(CptPort12538 *409 (CptPort 12576 12539 uid 12609,0 12577 12540 ps "OnEdgeStrategy" … … 12609 12572 ) 12610 12573 ) 12611 *41 1(CptPort12574 *410 (CptPort 12612 12575 uid 12613,0 12613 12576 ps "OnEdgeStrategy" … … 12644 12607 ) 12645 12608 ) 12646 *41 2(CptPort12609 *411 (CptPort 12647 12610 uid 12617,0 12648 12611 ps "OnEdgeStrategy" … … 12678 12641 ) 12679 12642 ) 12680 *41 3(CptPort12643 *412 (CptPort 12681 12644 uid 12621,0 12682 12645 ps "OnEdgeStrategy" … … 12714 12677 ) 12715 12678 ) 12716 *41 4(CptPort12679 *413 (CptPort 12717 12680 uid 12673,0 12718 12681 ps "OnEdgeStrategy" … … 12764 12727 stg "VerticalLayoutStrategy" 12765 12728 textVec [ 12766 *41 5(Text12729 *414 (Text 12767 12730 uid 12628,0 12768 12731 va (VaSet … … 12774 12737 tm "BdLibraryNameMgr" 12775 12738 ) 12776 *41 6(Text12739 *415 (Text 12777 12740 uid 12629,0 12778 12741 va (VaSet … … 12784 12747 tm "CptNameMgr" 12785 12748 ) 12786 *41 7(Text12749 *416 (Text 12787 12750 uid 12630,0 12788 12751 va (VaSet … … 12831 12794 archFileType "UNKNOWN" 12832 12795 ) 12833 *41 8(Net12796 *417 (Net 12834 12797 uid 12647,0 12835 12798 decl (Decl … … 12849 12812 font "Courier New,8,0" 12850 12813 ) 12851 xt "-172000,8 1200,-125500,83600"12814 xt "-172000,80400,-125500,82800" 12852 12815 st "-- -- 12853 12816 -- drs_dwrite : out std_logic := '1'; … … 12855 12818 ) 12856 12819 ) 12857 *41 9(Net12820 *418 (Net 12858 12821 uid 12653,0 12859 12822 decl (Decl … … 12868 12831 font "Courier New,8,0" 12869 12832 ) 12870 xt "-172000,8 3600,-146500,84400"12833 xt "-172000,82800,-146500,83600" 12871 12834 st "SIGNAL drs_readout_ready_ack : std_logic" 12872 12835 ) 12873 12836 ) 12874 *4 20(SaComponent12837 *419 (SaComponent 12875 12838 uid 13117,0 12876 12839 optionalChildren [ 12877 *42 1(CptPort12840 *420 (CptPort 12878 12841 uid 13101,0 12879 12842 ps "OnEdgeStrategy" … … 12909 12872 ) 12910 12873 ) 12911 *42 2(CptPort12874 *421 (CptPort 12912 12875 uid 13105,0 12913 12876 ps "OnEdgeStrategy" … … 12943 12906 ) 12944 12907 ) 12945 *42 3(CptPort12908 *422 (CptPort 12946 12909 uid 13109,0 12947 12910 ps "OnEdgeStrategy" … … 12978 12941 ) 12979 12942 ) 12980 *42 4(CptPort12943 *423 (CptPort 12981 12944 uid 13113,0 12982 12945 ps "OnEdgeStrategy" … … 13029 12992 stg "VerticalLayoutStrategy" 13030 12993 textVec [ 13031 *42 5(Text12994 *424 (Text 13032 12995 uid 13120,0 13033 12996 va (VaSet … … 13039 13002 tm "BdLibraryNameMgr" 13040 13003 ) 13041 *42 6(Text13004 *425 (Text 13042 13005 uid 13121,0 13043 13006 va (VaSet … … 13049 13012 tm "CptNameMgr" 13050 13013 ) 13051 *42 7(Text13014 *426 (Text 13052 13015 uid 13122,0 13053 13016 va (VaSet … … 13108 13071 archFileType "UNKNOWN" 13109 13072 ) 13110 *42 8(Net13073 *427 (Net 13111 13074 uid 13157,0 13112 13075 decl (Decl … … 13122 13085 font "Courier New,8,0" 13123 13086 ) 13124 xt "-172000,6 2000,-125500,62800"13087 xt "-172000,61200,-125500,62000" 13125 13088 st "SIGNAL c_trigger_enable : std_logic := '0'" 13126 13089 ) 13127 13090 ) 13128 *42 9(MWC13091 *428 (MWC 13129 13092 uid 13266,0 13130 13093 optionalChildren [ 13131 *4 30(CptPort13094 *429 (CptPort 13132 13095 uid 13230,0 13133 13096 optionalChildren [ 13134 *43 1(Line13097 *430 (Line 13135 13098 uid 13234,0 13136 13099 layer 5 … … 13183 13146 ) 13184 13147 ) 13185 *43 2(CptPort13148 *431 (CptPort 13186 13149 uid 13235,0 13187 13150 optionalChildren [ 13188 *43 3(Property13151 *432 (Property 13189 13152 uid 13239,0 13190 13153 pclass "_MW_GEOM_" … … 13192 13155 ptn "String" 13193 13156 ) 13194 *43 4(Line13157 *433 (Line 13195 13158 uid 13240,0 13196 13159 layer 5 … … 13243 13206 ) 13244 13207 ) 13245 *43 5(CptPort13208 *434 (CptPort 13246 13209 uid 13241,0 13247 13210 optionalChildren [ 13248 *43 6(Line13211 *435 (Line 13249 13212 uid 13245,0 13250 13213 layer 5 … … 13297 13260 ) 13298 13261 ) 13299 *43 7(CommentGraphic13262 *436 (CommentGraphic 13300 13263 uid 13246,0 13301 13264 shape (Arc2D … … 13318 13281 oxt "7000,6003,11000,8000" 13319 13282 ) 13320 *43 8(CommentGraphic13283 *437 (CommentGraphic 13321 13284 uid 13248,0 13322 13285 shape (Arc2D … … 13339 13302 oxt "7000,8005,11004,10000" 13340 13303 ) 13341 *43 9(Grouping13304 *438 (Grouping 13342 13305 uid 13250,0 13343 13306 optionalChildren [ 13344 *4 40(CommentGraphic13307 *439 (CommentGraphic 13345 13308 uid 13252,0 13346 13309 optionalChildren [ 13347 *44 1(Property13310 *440 (Property 13348 13311 uid 13254,0 13349 13312 pclass "_MW_GEOM_" … … 13376 13339 oxt "7000,6000,11000,9998" 13377 13340 ) 13378 *44 2(CommentGraphic13341 *441 (CommentGraphic 13379 13342 uid 13255,0 13380 13343 optionalChildren [ 13381 *44 3(Property13344 *442 (Property 13382 13345 uid 13257,0 13383 13346 pclass "_MW_GEOM_" … … 13421 13384 oxt "7000,6000,11000,10000" 13422 13385 ) 13423 *44 4(CommentGraphic13386 *443 (CommentGraphic 13424 13387 uid 13258,0 13425 13388 shape (PolyLine2D … … 13440 13403 oxt "7000,8000,7000,8000" 13441 13404 ) 13442 *44 5(CommentGraphic13405 *444 (CommentGraphic 13443 13406 uid 13260,0 13444 13407 optionalChildren [ 13445 *44 6(Property13408 *445 (Property 13446 13409 uid 13262,0 13447 13410 pclass "_MW_GEOM_" … … 13467 13430 oxt "11000,6000,11000,6000" 13468 13431 ) 13469 *44 7(CommentGraphic13432 *446 (CommentGraphic 13470 13433 uid 13263,0 13471 13434 optionalChildren [ 13472 *44 8(Property13435 *447 (Property 13473 13436 uid 13265,0 13474 13437 pclass "_MW_GEOM_" … … 13513 13476 stg "VerticalLayoutStrategy" 13514 13477 textVec [ 13515 *44 9(Text13478 *448 (Text 13516 13479 uid 13269,0 13517 13480 va (VaSet … … 13523 13486 blo "43500,62300" 13524 13487 ) 13525 *4 50(Text13488 *449 (Text 13526 13489 uid 13270,0 13527 13490 va (VaSet … … 13532 13495 blo "43500,63300" 13533 13496 ) 13534 *45 1(Text13497 *450 (Text 13535 13498 uid 13271,0 13536 13499 va (VaSet … … 13577 13540 ) 13578 13541 ) 13579 *45 2(PortIoIn13542 *451 (PortIoIn 13580 13543 uid 13689,0 13581 13544 shape (CompositeShape … … 13622 13585 ) 13623 13586 ) 13624 *45 3(Net13587 *452 (Net 13625 13588 uid 13701,0 13626 13589 decl (Decl … … 13640 13603 ) 13641 13604 ) 13642 *45 4(PortIoIn13605 *453 (PortIoIn 13643 13606 uid 14042,0 13644 13607 shape (CompositeShape … … 13685 13648 ) 13686 13649 ) 13687 *45 5(Net13650 *454 (Net 13688 13651 uid 14054,0 13689 13652 decl (Decl … … 13703 13666 ) 13704 13667 ) 13705 *45 6(PortIoIn13668 *455 (PortIoIn 13706 13669 uid 14165,0 13707 13670 shape (CompositeShape … … 13748 13711 ) 13749 13712 ) 13750 *45 7(Net13713 *456 (Net 13751 13714 uid 14177,0 13752 13715 decl (Decl … … 13767 13730 ) 13768 13731 ) 13769 *45 8(SaComponent13732 *457 (SaComponent 13770 13733 uid 14417,0 13771 13734 optionalChildren [ 13772 *45 9(CptPort13735 *458 (CptPort 13773 13736 uid 14397,0 13774 13737 ps "OnEdgeStrategy" … … 13803 13766 ) 13804 13767 ) 13805 *4 60(CptPort13768 *459 (CptPort 13806 13769 uid 14401,0 13807 13770 ps "OnEdgeStrategy" … … 13836 13799 ) 13837 13800 ) 13838 *46 1(CptPort13801 *460 (CptPort 13839 13802 uid 14405,0 13840 13803 ps "OnEdgeStrategy" … … 13873 13836 ) 13874 13837 ) 13875 *46 2(CptPort13838 *461 (CptPort 13876 13839 uid 14409,0 13877 13840 ps "OnEdgeStrategy" … … 13909 13872 ) 13910 13873 ) 13911 *46 3(CptPort13874 *462 (CptPort 13912 13875 uid 14413,0 13913 13876 ps "OnEdgeStrategy" … … 13962 13925 stg "VerticalLayoutStrategy" 13963 13926 textVec [ 13964 *46 4(Text13927 *463 (Text 13965 13928 uid 14420,0 13966 13929 va (VaSet … … 13972 13935 tm "BdLibraryNameMgr" 13973 13936 ) 13974 *46 5(Text13937 *464 (Text 13975 13938 uid 14421,0 13976 13939 va (VaSet … … 13982 13945 tm "CptNameMgr" 13983 13946 ) 13984 *46 6(Text13947 *465 (Text 13985 13948 uid 14422,0 13986 13949 va (VaSet … … 14030 13993 archFileType "UNKNOWN" 14031 13994 ) 14032 *46 7(Net13995 *466 (Net 14033 13996 uid 14477,0 14034 13997 decl (Decl … … 14047 14010 ) 14048 14011 ) 14049 *46 8(PortIoOut14012 *467 (PortIoOut 14050 14013 uid 14485,0 14051 14014 shape (CompositeShape … … 14091 14054 ) 14092 14055 ) 14093 *46 9(Net14056 *468 (Net 14094 14057 uid 14491,0 14095 14058 decl (Decl … … 14109 14072 ) 14110 14073 ) 14111 *4 70(PortIoOut14074 *469 (PortIoOut 14112 14075 uid 14499,0 14113 14076 shape (CompositeShape … … 14153 14116 ) 14154 14117 ) 14155 *47 1(Net14118 *470 (Net 14156 14119 uid 14620,0 14157 14120 decl (Decl … … 14171 14134 ) 14172 14135 ) 14173 *47 2(PortIoOut14136 *471 (PortIoOut 14174 14137 uid 14628,0 14175 14138 shape (CompositeShape … … 14215 14178 ) 14216 14179 ) 14217 *47 3(MWC14180 *472 (MWC 14218 14181 uid 14991,0 14219 14182 optionalChildren [ 14220 *47 4(CptPort14183 *473 (CptPort 14221 14184 uid 14963,0 14222 14185 optionalChildren [ 14223 *47 5(Line14186 *474 (Line 14224 14187 uid 14967,0 14225 14188 layer 5 … … 14234 14197 ] 14235 14198 ) 14236 *47 6(Property14199 *475 (Property 14237 14200 uid 14968,0 14238 14201 pclass "_MW_GEOM_" … … 14282 14245 ) 14283 14246 ) 14284 *47 7(CptPort14247 *476 (CptPort 14285 14248 uid 14969,0 14286 14249 optionalChildren [ 14287 *47 8(Line14250 *477 (Line 14288 14251 uid 14973,0 14289 14252 layer 5 … … 14338 14301 ) 14339 14302 ) 14340 *47 9(CptPort14303 *478 (CptPort 14341 14304 uid 14974,0 14342 14305 optionalChildren [ 14343 *4 80(Line14306 *479 (Line 14344 14307 uid 14978,0 14345 14308 layer 5 … … 14394 14357 ) 14395 14358 ) 14396 *48 1(CommentGraphic14359 *480 (CommentGraphic 14397 14360 uid 14979,0 14398 14361 optionalChildren [ 14399 *48 2(Property14362 *481 (Property 14400 14363 uid 14981,0 14401 14364 pclass "_MW_GEOM_" … … 14421 14384 oxt "7000,10000,7000,10000" 14422 14385 ) 14423 *48 3(CommentGraphic14386 *482 (CommentGraphic 14424 14387 uid 14982,0 14425 14388 optionalChildren [ 14426 *48 4(Property14389 *483 (Property 14427 14390 uid 14984,0 14428 14391 pclass "_MW_GEOM_" … … 14448 14411 oxt "7000,6000,7000,6000" 14449 14412 ) 14450 *48 5(Grouping14413 *484 (Grouping 14451 14414 uid 14985,0 14452 14415 optionalChildren [ 14453 *48 6(CommentGraphic14416 *485 (CommentGraphic 14454 14417 uid 14987,0 14455 14418 shape (PolyLine2D … … 14472 14435 oxt "7000,6000,9000,10000" 14473 14436 ) 14474 *48 7(CommentGraphic14437 *486 (CommentGraphic 14475 14438 uid 14989,0 14476 14439 shape (Arc2D … … 14525 14488 stg "VerticalLayoutStrategy" 14526 14489 textVec [ 14527 *48 8(Text14490 *487 (Text 14528 14491 uid 14994,0 14529 14492 va (VaSet … … 14535 14498 blo "162500,76300" 14536 14499 ) 14537 *48 9(Text14500 *488 (Text 14538 14501 uid 14995,0 14539 14502 va (VaSet … … 14544 14507 blo "162500,77300" 14545 14508 ) 14546 *4 90(Text14509 *489 (Text 14547 14510 uid 14996,0 14548 14511 va (VaSet … … 14589 14552 ) 14590 14553 ) 14591 *49 1(MWC14554 *490 (MWC 14592 14555 uid 15058,0 14593 14556 optionalChildren [ 14594 *49 2(CptPort14557 *491 (CptPort 14595 14558 uid 15045,0 14596 14559 optionalChildren [ 14597 *49 3(Line14560 *492 (Line 14598 14561 uid 15049,0 14599 14562 layer 5 … … 14655 14618 ) 14656 14619 ) 14657 *49 4(CptPort14620 *493 (CptPort 14658 14621 uid 15050,0 14659 14622 optionalChildren [ 14660 *49 5(Line14623 *494 (Line 14661 14624 uid 15054,0 14662 14625 layer 5 … … 14671 14634 ] 14672 14635 ) 14673 *49 6(Circle14636 *495 (Circle 14674 14637 uid 15055,0 14675 14638 va (VaSet … … 14733 14696 ) 14734 14697 ) 14735 *49 7(CommentGraphic14698 *496 (CommentGraphic 14736 14699 uid 15056,0 14737 14700 shape (CustomPolygon … … 14775 14738 stg "VerticalLayoutStrategy" 14776 14739 textVec [ 14777 *49 8(Text14740 *497 (Text 14778 14741 uid 15061,0 14779 14742 va (VaSet … … 14785 14748 blo "155350,77900" 14786 14749 ) 14787 *49 9(Text14750 *498 (Text 14788 14751 uid 15062,0 14789 14752 va (VaSet … … 14794 14757 blo "155350,78900" 14795 14758 ) 14796 * 500(Text14759 *499 (Text 14797 14760 uid 15063,0 14798 14761 va (VaSet … … 14839 14802 ) 14840 14803 ) 14841 *50 1(Net14804 *500 (Net 14842 14805 uid 15077,0 14843 14806 decl (Decl … … 14855 14818 font "Courier New,8,0" 14856 14819 ) 14857 xt "-172000,6 8400,-112000,69200"14820 xt "-172000,67600,-112000,68400" 14858 14821 st "SIGNAL denable_prim : std_logic := '0' -- default domino wave off" 14859 14822 ) 14860 14823 ) 14861 *50 2(Net14824 *501 (Net 14862 14825 uid 15079,0 14863 14826 decl (Decl … … 14875 14838 font "Courier New,8,0" 14876 14839 ) 14877 xt "-172000,7 1600,-112000,72400"14840 xt "-172000,70800,-112000,71600" 14878 14841 st "SIGNAL din1 : std_logic := '0' -- default domino wave off" 14879 14842 ) 14880 14843 ) 14881 *50 3(Net14844 *502 (Net 14882 14845 uid 15492,0 14883 14846 decl (Decl … … 14892 14855 font "Courier New,8,0" 14893 14856 ) 14894 xt "-172000,12 2000,-146500,122800"14857 xt "-172000,121200,-146500,122000" 14895 14858 st "SIGNAL trigger_out : std_logic" 14896 14859 ) 14897 14860 ) 14898 *50 4(Net14861 *503 (Net 14899 14862 uid 15748,0 14900 14863 lang 2 … … 14913 14876 font "Courier New,8,0" 14914 14877 ) 14915 xt "-172000,1 20400,-136500,121200"14878 xt "-172000,119600,-136500,120400" 14916 14879 st "SIGNAL trigger_id : std_logic_vector(31 downto 0)" 14917 14880 ) 14918 14881 ) 14919 *50 5(Net14882 *504 (Net 14920 14883 uid 16369,0 14921 14884 decl (Decl … … 14934 14897 font "Courier New,8,0" 14935 14898 ) 14936 xt "-172000, 50000,-119500,50800"14899 xt "-172000,49200,-119500,50000" 14937 14900 st "SIGNAL DCM_PS_status : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 14938 14901 ) 14939 14902 ) 14940 *50 6(SaComponent14903 *505 (SaComponent 14941 14904 uid 16404,0 14942 14905 optionalChildren [ 14943 *50 7(CptPort14906 *506 (CptPort 14944 14907 uid 16388,0 14945 14908 ps "OnEdgeStrategy" … … 14977 14940 ) 14978 14941 ) 14979 *50 8(CptPort14942 *507 (CptPort 14980 14943 uid 16396,0 14981 14944 ps "OnEdgeStrategy" … … 15017 14980 ) 15018 14981 ) 15019 *50 9(CptPort14982 *508 (CptPort 15020 14983 uid 16400,0 15021 14984 ps "OnEdgeStrategy" … … 15073 15036 stg "VerticalLayoutStrategy" 15074 15037 textVec [ 15075 *5 10(Text15038 *509 (Text 15076 15039 uid 16407,0 15077 15040 va (VaSet … … 15083 15046 tm "BdLibraryNameMgr" 15084 15047 ) 15085 *51 1(Text15048 *510 (Text 15086 15049 uid 16408,0 15087 15050 va (VaSet … … 15093 15056 tm "CptNameMgr" 15094 15057 ) 15095 *51 2(Text15058 *511 (Text 15096 15059 uid 16409,0 15097 15060 va (VaSet … … 15140 15103 archFileType "UNKNOWN" 15141 15104 ) 15142 *51 3(Net15105 *512 (Net 15143 15106 uid 16545,0 15144 15107 decl (Decl … … 15157 15120 font "Courier New,8,0" 15158 15121 ) 15159 xt "-172000,7 2400,-119500,73200"15122 xt "-172000,71600,-119500,72400" 15160 15123 st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0) := (others => '0')" 15161 15124 ) 15162 15125 ) 15163 *51 4(Net15126 *513 (Net 15164 15127 uid 16562,0 15165 15128 decl (Decl … … 15177 15140 font "Courier New,8,0" 15178 15141 ) 15179 xt "-172000, 100400,-125500,101200"15142 xt "-172000,99600,-125500,100400" 15180 15143 st "SIGNAL ready : STD_LOGIC := '0'" 15181 15144 ) 15182 15145 ) 15183 *51 5(SaComponent15146 *514 (SaComponent 15184 15147 uid 16865,0 15185 15148 optionalChildren [ 15186 *51 6(CptPort15149 *515 (CptPort 15187 15150 uid 16841,0 15188 15151 ps "OnEdgeStrategy" … … 15217 15180 ) 15218 15181 ) 15219 *51 7(CptPort15182 *516 (CptPort 15220 15183 uid 16845,0 15221 15184 ps "OnEdgeStrategy" … … 15253 15216 ) 15254 15217 ) 15255 *51 8(CptPort15218 *517 (CptPort 15256 15219 uid 16849,0 15257 15220 ps "OnEdgeStrategy" … … 15286 15249 ) 15287 15250 ) 15288 *51 9(CptPort15251 *518 (CptPort 15289 15252 uid 16853,0 15290 15253 ps "OnEdgeStrategy" … … 15322 15285 ) 15323 15286 ) 15324 *5 20(CptPort15287 *519 (CptPort 15325 15288 uid 16857,0 15326 15289 ps "OnEdgeStrategy" … … 15355 15318 ) 15356 15319 ) 15357 *52 1(CptPort15320 *520 (CptPort 15358 15321 uid 16861,0 15359 15322 ps "OnEdgeStrategy" … … 15405 15368 stg "VerticalLayoutStrategy" 15406 15369 textVec [ 15407 *52 2(Text15370 *521 (Text 15408 15371 uid 16868,0 15409 15372 va (VaSet … … 15415 15378 tm "BdLibraryNameMgr" 15416 15379 ) 15417 *52 3(Text15380 *522 (Text 15418 15381 uid 16869,0 15419 15382 va (VaSet … … 15425 15388 tm "CptNameMgr" 15426 15389 ) 15427 *52 4(Text15390 *523 (Text 15428 15391 uid 16870,0 15429 15392 va (VaSet … … 15484 15447 archFileType "UNKNOWN" 15485 15448 ) 15486 *52 5(MWC15449 *524 (MWC 15487 15450 uid 16902,0 15488 15451 optionalChildren [ 15489 *52 6(CptPort15452 *525 (CptPort 15490 15453 uid 16891,0 15491 15454 optionalChildren [ 15492 *52 7(Line15455 *526 (Line 15493 15456 uid 16895,0 15494 15457 layer 5 … … 15552 15515 ) 15553 15516 ) 15554 *52 8(Grouping15517 *527 (Grouping 15555 15518 uid 16896,0 15556 15519 optionalChildren [ 15557 *52 9(CommentGraphic15520 *528 (CommentGraphic 15558 15521 uid 16898,0 15559 15522 shape (PolyLine2D … … 15577 15540 oxt "7000,6000,7000,8000" 15578 15541 ) 15579 *5 30(CommentGraphic15542 *529 (CommentGraphic 15580 15543 uid 16900,0 15581 15544 shape (PolyLine2D … … 15633 15596 stg "VerticalLayoutStrategy" 15634 15597 textVec [ 15635 *53 1(Text15598 *530 (Text 15636 15599 uid 16905,0 15637 15600 va (VaSet … … 15643 15606 blo "-84650,142900" 15644 15607 ) 15645 *53 2(Text15608 *531 (Text 15646 15609 uid 16906,0 15647 15610 va (VaSet … … 15652 15615 blo "-84650,143900" 15653 15616 ) 15654 *53 3(Text15617 *532 (Text 15655 15618 uid 16907,0 15656 15619 va (VaSet … … 15697 15660 ) 15698 15661 ) 15699 *53 4(Net15662 *533 (Net 15700 15663 uid 16912,0 15701 15664 decl (Decl … … 15710 15673 font "Courier New,8,0" 15711 15674 ) 15712 xt "-172000,8 9200,-146500,90000"15675 xt "-172000,88400,-146500,89200" 15713 15676 st "SIGNAL enable_i : std_logic" 15714 15677 ) 15715 15678 ) 15716 *53 5(MWC15679 *534 (MWC 15717 15680 uid 16927,0 15718 15681 optionalChildren [ 15719 *53 6(CptPort15682 *535 (CptPort 15720 15683 uid 16918,0 15721 15684 optionalChildren [ 15722 *53 7(Line15685 *536 (Line 15723 15686 uid 16922,0 15724 15687 layer 5 … … 15782 15745 ) 15783 15746 ) 15784 *53 8(CommentGraphic15747 *537 (CommentGraphic 15785 15748 uid 16923,0 15786 15749 shape (PolyLine2D … … 15801 15764 oxt "7000,7000,7000,8000" 15802 15765 ) 15803 *53 9(CommentGraphic15766 *538 (CommentGraphic 15804 15767 uid 16925,0 15805 15768 shape (CustomPolygon … … 15843 15806 stg "VerticalLayoutStrategy" 15844 15807 textVec [ 15845 *5 40(Text15808 *539 (Text 15846 15809 uid 16930,0 15847 15810 va (VaSet … … 15853 15816 blo "-81550,150495" 15854 15817 ) 15855 *54 1(Text15818 *540 (Text 15856 15819 uid 16931,0 15857 15820 va (VaSet … … 15862 15825 blo "-81550,151495" 15863 15826 ) 15864 *54 2(Text15827 *541 (Text 15865 15828 uid 16932,0 15866 15829 va (VaSet … … 15907 15870 ) 15908 15871 ) 15909 *54 3(Net15872 *542 (Net 15910 15873 uid 16937,0 15911 15874 decl (Decl … … 15920 15883 font "Courier New,8,0" 15921 15884 ) 15922 xt "-172000,10 2000,-146500,102800"15885 xt "-172000,101200,-146500,102000" 15923 15886 st "SIGNAL reset_synch_i : std_logic" 15924 15887 ) 15925 15888 ) 15926 *54 4(Net15889 *543 (Net 15927 15890 uid 16951,0 15928 15891 decl (Decl … … 15938 15901 font "Courier New,8,0" 15939 15902 ) 15940 xt "-172000,118 800,-136500,119600"15903 xt "-172000,118000,-136500,118800" 15941 15904 st "SIGNAL time : std_logic_vector(31 DOWNTO 0)" 15942 15905 ) 15943 15906 ) 15944 *54 5(Net15907 *544 (Net 15945 15908 uid 17001,0 15946 15909 decl (Decl … … 15958 15921 font "Courier New,8,0" 15959 15922 ) 15960 xt "-172000,10 5200,-132000,106000"15923 xt "-172000,104400,-132000,105200" 15961 15924 st "SIGNAL rs465_data : std_logic_vector(55 DOWNTO 0) --7 byte" 15962 15925 ) 15963 15926 ) 15964 *54 6(Net15927 *545 (Net 15965 15928 uid 17025,0 15966 15929 decl (Decl … … 15981 15944 font "Courier New,8,0" 15982 15945 ) 15983 xt "-172000,5 2400,-123500,57200"15946 xt "-172000,51600,-123500,56400" 15984 15947 st "-- 15985 15948 … … 15990 15953 ) 15991 15954 ) 15992 *54 7(Net15955 *546 (Net 15993 15956 uid 17391,0 15994 15957 decl (Decl … … 16005 15968 font "Courier New,8,0" 16006 15969 ) 16007 xt "-172000,62 800,-136500,63600"15970 xt "-172000,62000,-136500,62800" 16008 15971 st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0)" 16009 15972 ) 16010 15973 ) 16011 *54 8(Net15974 *547 (Net 16012 15975 uid 18457,0 16013 15976 lang 2 … … 16023 15986 font "Courier New,8,0" 16024 15987 ) 16025 xt "-172000,66 800,-146500,67600"15988 xt "-172000,66000,-146500,66800" 16026 15989 st "SIGNAL data_ram_empty : std_logic" 16027 15990 ) 16028 15991 ) 16029 *54 9(PortIoOut15992 *548 (PortIoOut 16030 15993 uid 18968,0 16031 15994 shape (CompositeShape … … 16072 16035 ) 16073 16036 ) 16074 *5 50(Net16037 *549 (Net 16075 16038 uid 18980,0 16076 16039 lang 2 … … 16090 16053 ) 16091 16054 ) 16092 *55 1(MWC16055 *550 (MWC 16093 16056 uid 19265,0 16094 16057 optionalChildren [ 16095 *55 2(CptPort16058 *551 (CptPort 16096 16059 uid 19237,0 16097 16060 optionalChildren [ 16098 *55 3(Line16061 *552 (Line 16099 16062 uid 19241,0 16100 16063 layer 5 … … 16109 16072 ] 16110 16073 ) 16111 *55 4(Property16074 *553 (Property 16112 16075 uid 19242,0 16113 16076 pclass "_MW_GEOM_" … … 16154 16117 ) 16155 16118 ) 16156 *55 5(CptPort16119 *554 (CptPort 16157 16120 uid 19243,0 16158 16121 optionalChildren [ 16159 *55 6(Line16122 *555 (Line 16160 16123 uid 19247,0 16161 16124 layer 5 … … 16209 16172 ) 16210 16173 ) 16211 *55 7(CptPort16174 *556 (CptPort 16212 16175 uid 19248,0 16213 16176 optionalChildren [ 16214 *55 8(Line16177 *557 (Line 16215 16178 uid 19252,0 16216 16179 layer 5 … … 16263 16226 ) 16264 16227 ) 16265 *55 9(CommentGraphic16228 *558 (CommentGraphic 16266 16229 uid 19253,0 16267 16230 optionalChildren [ 16268 *5 60(Property16231 *559 (Property 16269 16232 uid 19255,0 16270 16233 pclass "_MW_GEOM_" … … 16291 16254 oxt "-125000,62000,-125000,62000" 16292 16255 ) 16293 *56 1(CommentGraphic16256 *560 (CommentGraphic 16294 16257 uid 19256,0 16295 16258 optionalChildren [ 16296 *56 2(Property16259 *561 (Property 16297 16260 uid 19258,0 16298 16261 pclass "_MW_GEOM_" … … 16319 16282 oxt "-125000,66000,-125000,66000" 16320 16283 ) 16321 *56 3(Grouping16284 *562 (Grouping 16322 16285 uid 19259,0 16323 16286 optionalChildren [ 16324 *56 4(CommentGraphic16287 *563 (CommentGraphic 16325 16288 uid 19261,0 16326 16289 shape (PolyLine2D … … 16344 16307 oxt "-127000,62000,-125000,66000" 16345 16308 ) 16346 *56 5(CommentGraphic16309 *564 (CommentGraphic 16347 16310 uid 19263,0 16348 16311 shape (Arc2D … … 16400 16363 stg "VerticalLayoutStrategy" 16401 16364 textVec [ 16402 *56 6(Text16365 *565 (Text 16403 16366 uid 19268,0 16404 16367 va (VaSet … … 16410 16373 blo "-49500,37300" 16411 16374 ) 16412 *56 7(Text16375 *566 (Text 16413 16376 uid 19269,0 16414 16377 va (VaSet … … 16419 16382 blo "-49500,38300" 16420 16383 ) 16421 *56 8(Text16384 *567 (Text 16422 16385 uid 19270,0 16423 16386 va (VaSet … … 16464 16427 ) 16465 16428 ) 16466 *56 9(Net16429 *568 (Net 16467 16430 uid 20151,0 16468 16431 lang 10 … … 16479 16442 font "Courier New,8,0" 16480 16443 ) 16481 xt "-172000,6 4400,-120000,65200"16444 xt "-172000,63600,-120000,64400" 16482 16445 st "SIGNAL current_dac_array : dac_array_type := ( others => 0)" 16483 16446 ) 16484 16447 ) 16485 *5 70(Net16448 *569 (Net 16486 16449 uid 20511,0 16487 16450 decl (Decl … … 16498 16461 font "Courier New,8,0" 16499 16462 ) 16500 xt "-172000,12 1200,-146500,122000"16463 xt "-172000,120400,-146500,121200" 16501 16464 st "SIGNAL trigger_or_s_trigger : std_logic" 16502 16465 ) 16503 16466 ) 16504 *57 1(Net16467 *570 (Net 16505 16468 uid 20513,0 16506 16469 decl (Decl … … 16517 16480 font "Courier New,8,0" 16518 16481 ) 16519 xt "-172000, 90000,-146500,90800"16482 xt "-172000,89200,-146500,90000" 16520 16483 st "SIGNAL enabled_trigger_or_s_trigger : std_logic" 16521 16484 ) 16522 16485 ) 16523 *57 2(Net16486 *571 (Net 16524 16487 uid 20519,0 16525 16488 decl (Decl … … 16534 16497 font "Courier New,8,0" 16535 16498 ) 16536 xt "-172000,6 3600,-146500,64400"16499 xt "-172000,62800,-146500,63600" 16537 16500 st "SIGNAL cont_trigger : std_logic" 16538 16501 ) 16539 16502 ) 16540 *57 3(Net16503 *572 (Net 16541 16504 uid 20521,0 16542 16505 decl (Decl … … 16551 16514 font "Courier New,8,0" 16552 16515 ) 16553 xt "-172000,10 8400,-146500,109200"16516 xt "-172000,107600,-146500,108400" 16554 16517 st "SIGNAL s_trigger_or_cont_trigger : std_logic" 16555 16518 ) 16556 16519 ) 16557 *57 4(Net16520 *573 (Net 16558 16521 uid 20921,0 16559 16522 decl (Decl … … 16571 16534 font "Courier New,8,0" 16572 16535 ) 16573 xt "-172000,6 5200,-98500,66000"16536 xt "-172000,64400,-98500,65200" 16574 16537 st "SIGNAL dac_setting : dac_array_type := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd" 16575 16538 ) 16576 16539 ) 16577 *57 5(Net16540 *574 (Net 16578 16541 uid 20937,0 16579 16542 decl (Decl … … 16588 16551 font "Courier New,8,0" 16589 16552 ) 16590 xt "-172000,10 4400,-144000,105200"16553 xt "-172000,103600,-144000,104400" 16591 16554 st "SIGNAL roi_setting : roi_array_type" 16592 16555 ) 16593 16556 ) 16594 *57 6(Net16557 *575 (Net 16595 16558 uid 21033,0 16596 16559 decl (Decl … … 16606 16569 font "Courier New,8,0" 16607 16570 ) 16608 xt "-172000,9 1600,-125500,92400"16571 xt "-172000,90800,-125500,91600" 16609 16572 st "SIGNAL memory_manager_config_start : std_logic := '0'" 16610 16573 ) 16611 16574 ) 16612 *57 7(Net16575 *576 (Net 16613 16576 uid 21037,0 16614 16577 decl (Decl … … 16623 16586 font "Courier New,8,0" 16624 16587 ) 16625 xt "-172000,9 2400,-146500,93200"16588 xt "-172000,91600,-146500,92400" 16626 16589 st "SIGNAL memory_manager_config_valid : std_logic" 16627 16590 ) 16628 16591 ) 16629 *57 8(Net16592 *577 (Net 16630 16593 uid 21039,0 16631 16594 decl (Decl … … 16641 16604 font "Courier New,8,0" 16642 16605 ) 16643 xt "-172000,11 4000,-125500,114800"16606 xt "-172000,113200,-125500,114000" 16644 16607 st "SIGNAL spi_interface_config_start : std_logic := '0'" 16645 16608 ) 16646 16609 ) 16647 *57 9(Net16610 *578 (Net 16648 16611 uid 21043,0 16649 16612 decl (Decl … … 16658 16621 font "Courier New,8,0" 16659 16622 ) 16660 xt "-172000,114 800,-146500,115600"16623 xt "-172000,114000,-146500,114800" 16661 16624 st "SIGNAL spi_interface_config_valid : std_logic" 16662 16625 ) 16663 16626 ) 16664 *5 80(MWC16627 *579 (MWC 16665 16628 uid 21806,0 16666 16629 optionalChildren [ 16667 *58 1(CptPort16630 *580 (CptPort 16668 16631 uid 21784,0 16669 16632 optionalChildren [ 16670 *58 2(Property16633 *581 (Property 16671 16634 uid 21788,0 16672 16635 pclass "_MW_GEOM_" … … 16674 16637 ptn "String" 16675 16638 ) 16676 *58 3(Property16639 *582 (Property 16677 16640 uid 21789,0 16678 16641 pclass "_MW_GEOM_" … … 16719 16682 ) 16720 16683 ) 16721 *58 4(CommentText16684 *583 (CommentText 16722 16685 uid 21790,0 16723 16686 shape (Rectangle … … 16753 16716 position 1 16754 16717 ) 16755 *58 5(CommentText16718 *584 (CommentText 16756 16719 uid 21793,0 16757 16720 shape (Rectangle … … 16787 16750 position 1 16788 16751 ) 16789 *58 6(CommentGraphic16752 *585 (CommentGraphic 16790 16753 uid 21796,0 16791 16754 shape (PolyLine2D … … 16808 16771 oxt "6000,8000,8000,8000" 16809 16772 ) 16810 *58 7(CommentGraphic16773 *586 (CommentGraphic 16811 16774 uid 21798,0 16812 16775 optionalChildren [ 16813 *58 8(Property16776 *587 (Property 16814 16777 uid 21800,0 16815 16778 pclass "_MW_GEOM_" … … 16837 16800 oxt "10000,9000,10000,9000" 16838 16801 ) 16839 *58 9(CommentGraphic16802 *588 (CommentGraphic 16840 16803 uid 21801,0 16841 16804 optionalChildren [ 16842 *5 90(Property16805 *589 (Property 16843 16806 uid 21803,0 16844 16807 pclass "_MW_GEOM_" … … 16866 16829 oxt "10000,7000,10000,7000" 16867 16830 ) 16868 *59 1(CommentGraphic16831 *590 (CommentGraphic 16869 16832 uid 21804,0 16870 16833 shape (CustomPolygon … … 16888 16851 oxt "8000,7000,10000,9000" 16889 16852 ) 16890 *59 2(CptPort16853 *591 (CptPort 16891 16854 uid 21827,0 16892 16855 ps "OnEdgeStrategy" … … 16928 16891 ) 16929 16892 ) 16930 *59 3(CptPort16893 *592 (CptPort 16931 16894 uid 21831,0 16932 16895 ps "OnEdgeStrategy" … … 16968 16931 ) 16969 16932 ) 16970 *59 4(CptPort16933 *593 (CptPort 16971 16934 uid 21835,0 16972 16935 ps "OnEdgeStrategy" … … 17007 16970 ) 17008 16971 ) 17009 *59 5(CptPort16972 *594 (CptPort 17010 16973 uid 21839,0 17011 16974 ps "OnEdgeStrategy" … … 17065 17028 stg "VerticalLayoutStrategy" 17066 17029 textVec [ 17067 *59 6(Text17030 *595 (Text 17068 17031 uid 21809,0 17069 17032 va (VaSet … … 17075 17038 blo "-86100,123800" 17076 17039 ) 17077 *59 7(Text17040 *596 (Text 17078 17041 uid 21810,0 17079 17042 va (VaSet … … 17084 17047 blo "-86100,124800" 17085 17048 ) 17086 *59 8(Text17049 *597 (Text 17087 17050 uid 21811,0 17088 17051 va (VaSet … … 17128 17091 ) 17129 17092 ) 17130 *59 9(MWC17093 *598 (MWC 17131 17094 uid 21871,0 17132 17095 optionalChildren [ 17133 * 600(CptPort17096 *599 (CptPort 17134 17097 uid 21843,0 17135 17098 optionalChildren [ 17136 *60 1(Line17099 *600 (Line 17137 17100 uid 21847,0 17138 17101 layer 5 … … 17147 17110 ] 17148 17111 ) 17149 *60 2(Property17112 *601 (Property 17150 17113 uid 21848,0 17151 17114 pclass "_MW_GEOM_" … … 17192 17155 ) 17193 17156 ) 17194 *60 3(CommentGraphic17157 *602 (CommentGraphic 17195 17158 uid 21859,0 17196 17159 optionalChildren [ 17197 *60 4(Property17160 *603 (Property 17198 17161 uid 21861,0 17199 17162 pclass "_MW_GEOM_" … … 17219 17182 oxt "7000,10000,7000,10000" 17220 17183 ) 17221 *60 5(CommentGraphic17184 *604 (CommentGraphic 17222 17185 uid 21862,0 17223 17186 optionalChildren [ 17224 *60 6(Property17187 *605 (Property 17225 17188 uid 21864,0 17226 17189 pclass "_MW_GEOM_" … … 17246 17209 oxt "7000,6000,7000,6000" 17247 17210 ) 17248 *60 7(Grouping17211 *606 (Grouping 17249 17212 uid 21865,0 17250 17213 optionalChildren [ 17251 *60 8(CommentGraphic17214 *607 (CommentGraphic 17252 17215 uid 21867,0 17253 17216 shape (PolyLine2D … … 17270 17233 oxt "7000,6000,9000,10000" 17271 17234 ) 17272 *60 9(CommentGraphic17235 *608 (CommentGraphic 17273 17236 uid 21869,0 17274 17237 shape (Arc2D … … 17304 17267 oxt "7000,6000,11000,10000" 17305 17268 ) 17306 *6 10(CptPort17269 *609 (CptPort 17307 17270 uid 21895,0 17308 17271 optionalChildren [ 17309 *61 1(Line17272 *610 (Line 17310 17273 uid 21899,0 17311 17274 sl 0 … … 17356 17319 ) 17357 17320 ) 17358 *61 2(CptPort17321 *611 (CptPort 17359 17322 uid 21900,0 17360 17323 optionalChildren [ 17361 *61 3(Line17324 *612 (Line 17362 17325 uid 21904,0 17363 17326 sl 0 … … 17408 17371 ) 17409 17372 ) 17410 *61 4(CptPort17373 *613 (CptPort 17411 17374 uid 21905,0 17412 17375 optionalChildren [ 17413 *61 5(Line17376 *614 (Line 17414 17377 uid 21913,0 17415 17378 layer 5 … … 17460 17423 ) 17461 17424 ) 17462 *61 6(CptPort17425 *615 (CptPort 17463 17426 uid 21909,0 17464 17427 optionalChildren [ 17465 *61 7(Line17428 *616 (Line 17466 17429 uid 21914,0 17467 17430 layer 5 … … 17531 17494 stg "VerticalLayoutStrategy" 17532 17495 textVec [ 17533 *61 8(Text17496 *617 (Text 17534 17497 uid 21874,0 17535 17498 va (VaSet … … 17541 17504 blo "-79500,122300" 17542 17505 ) 17543 *61 9(Text17506 *618 (Text 17544 17507 uid 21875,0 17545 17508 va (VaSet … … 17550 17513 blo "-79500,123300" 17551 17514 ) 17552 *6 20(Text17515 *619 (Text 17553 17516 uid 21876,0 17554 17517 va (VaSet … … 17595 17558 ) 17596 17559 ) 17597 *62 1(Net17560 *620 (Net 17598 17561 uid 21915,0 17599 17562 decl (Decl … … 17608 17571 font "Courier New,8,0" 17609 17572 ) 17610 xt "-172000,7 4000,-146500,74800"17573 xt "-172000,73200,-146500,74000" 17611 17574 st "SIGNAL dout0 : STD_LOGIC" 17612 17575 ) 17613 17576 ) 17614 *62 2(Net17577 *621 (Net 17615 17578 uid 21921,0 17616 17579 decl (Decl … … 17625 17588 font "Courier New,8,0" 17626 17589 ) 17627 xt "-172000,74 800,-146500,75600"17590 xt "-172000,74000,-146500,74800" 17628 17591 st "SIGNAL dout1 : STD_LOGIC" 17629 17592 ) 17630 17593 ) 17631 *62 3(Net17594 *622 (Net 17632 17595 uid 21927,0 17633 17596 decl (Decl … … 17642 17605 font "Courier New,8,0" 17643 17606 ) 17644 xt "-172000,7 5600,-146500,76400"17607 xt "-172000,74800,-146500,75600" 17645 17608 st "SIGNAL dout2 : STD_LOGIC" 17646 17609 ) 17647 17610 ) 17648 *62 4(Net17611 *623 (Net 17649 17612 uid 21933,0 17650 17613 decl (Decl … … 17659 17622 font "Courier New,8,0" 17660 17623 ) 17661 xt "-172000,7 6400,-146500,77200"17624 xt "-172000,75600,-146500,76400" 17662 17625 st "SIGNAL dout3 : STD_LOGIC" 17663 17626 ) 17664 17627 ) 17665 *62 5(MWC17628 *624 (MWC 17666 17629 uid 21975,0 17667 17630 optionalChildren [ 17668 *62 6(CptPort17631 *625 (CptPort 17669 17632 uid 21944,0 17670 17633 optionalChildren [ 17671 *62 7(Property17634 *626 (Property 17672 17635 uid 21948,0 17673 17636 pclass "_MW_GEOM_" … … 17675 17638 ptn "String" 17676 17639 ) 17677 *62 8(Line17640 *627 (Line 17678 17641 uid 21949,0 17679 17642 layer 5 … … 17727 17690 ) 17728 17691 ) 17729 *62 9(CommentGraphic17692 *628 (CommentGraphic 17730 17693 uid 21955,0 17731 17694 shape (Arc2D … … 17748 17711 oxt "7000,6003,11000,8000" 17749 17712 ) 17750 *6 30(CommentGraphic17713 *629 (CommentGraphic 17751 17714 uid 21957,0 17752 17715 shape (Arc2D … … 17769 17732 oxt "6996,8005,11000,10000" 17770 17733 ) 17771 *63 1(Grouping17734 *630 (Grouping 17772 17735 uid 21959,0 17773 17736 optionalChildren [ 17774 *63 2(CommentGraphic17737 *631 (CommentGraphic 17775 17738 uid 21961,0 17776 17739 optionalChildren [ 17777 *63 3(Property17740 *632 (Property 17778 17741 uid 21963,0 17779 17742 pclass "_MW_GEOM_" … … 17806 17769 oxt "7000,6000,11000,9998" 17807 17770 ) 17808 *63 4(CommentGraphic17771 *633 (CommentGraphic 17809 17772 uid 21964,0 17810 17773 optionalChildren [ 17811 *63 5(Property17774 *634 (Property 17812 17775 uid 21966,0 17813 17776 pclass "_MW_GEOM_" … … 17851 17814 oxt "7000,6000,11000,10000" 17852 17815 ) 17853 *63 6(CommentGraphic17816 *635 (CommentGraphic 17854 17817 uid 21967,0 17855 17818 shape (PolyLine2D … … 17870 17833 oxt "11000,8000,11000,8000" 17871 17834 ) 17872 *63 7(CommentGraphic17835 *636 (CommentGraphic 17873 17836 uid 21969,0 17874 17837 optionalChildren [ 17875 *63 8(Property17838 *637 (Property 17876 17839 uid 21971,0 17877 17840 pclass "_MW_GEOM_" … … 17897 17860 oxt "7000,6000,7000,6000" 17898 17861 ) 17899 *63 9(CommentGraphic17862 *638 (CommentGraphic 17900 17863 uid 21972,0 17901 17864 optionalChildren [ 17902 *6 40(Property17865 *639 (Property 17903 17866 uid 21974,0 17904 17867 pclass "_MW_GEOM_" … … 17924 17887 oxt "7000,10000,7000,10000" 17925 17888 ) 17926 *64 1(CptPort17889 *640 (CptPort 17927 17890 uid 22078,0 17928 17891 optionalChildren [ 17929 *64 2(Line17892 *641 (Line 17930 17893 uid 22082,0 17931 17894 sl 0 … … 17976 17939 ) 17977 17940 ) 17978 *64 3(CptPort17941 *642 (CptPort 17979 17942 uid 22083,0 17980 17943 optionalChildren [ 17981 *64 4(Line17944 *643 (Line 17982 17945 uid 22087,0 17983 17946 sl 0 … … 18047 18010 stg "VerticalLayoutStrategy" 18048 18011 textVec [ 18049 *64 5(Text18012 *644 (Text 18050 18013 uid 21978,0 18051 18014 va (VaSet … … 18057 18020 blo "-64500,125300" 18058 18021 ) 18059 *64 6(Text18022 *645 (Text 18060 18023 uid 21979,0 18061 18024 va (VaSet … … 18066 18029 blo "-64500,126300" 18067 18030 ) 18068 *64 7(Text18031 *646 (Text 18069 18032 uid 21980,0 18070 18033 va (VaSet … … 18111 18074 ) 18112 18075 ) 18113 *64 8(Net18076 *647 (Net 18114 18077 uid 21984,0 18115 18078 decl (Decl … … 18124 18087 font "Courier New,8,0" 18125 18088 ) 18126 xt "-172000,7 3200,-146500,74000"18089 xt "-172000,72400,-146500,73200" 18127 18090 st "SIGNAL dout : STD_LOGIC" 18128 18091 ) 18129 18092 ) 18130 *64 9(Net18093 *648 (Net 18131 18094 uid 21998,0 18132 18095 decl (Decl … … 18141 18104 font "Courier New,8,0" 18142 18105 ) 18143 xt "-172000,5 7200,-146500,58000"18106 xt "-172000,56400,-146500,57200" 18144 18107 st "SIGNAL I_really_want_dwrite : STD_LOGIC" 18145 18108 ) 18146 18109 ) 18147 *6 50(Net18110 *649 (Net 18148 18111 uid 22043,0 18149 18112 decl (Decl … … 18159 18122 font "Courier New,8,0" 18160 18123 ) 18161 xt "-172000,86 800,-125500,87600"18124 xt "-172000,86000,-125500,86800" 18162 18125 st "SIGNAL dwrite_enable_w5300 : std_logic := '1'" 18163 18126 ) 18164 18127 ) 18165 *65 1(Net18128 *650 (Net 18166 18129 uid 22076,0 18167 18130 decl (Decl … … 18177 18140 font "Courier New,8,0" 18178 18141 ) 18179 xt "-172000,8 7600,-125500,88400"18142 xt "-172000,86800,-125500,87600" 18180 18143 st "SIGNAL dwrite_global_enable : std_logic := '1'" 18181 18144 ) 18182 18145 ) 18183 *65 2(MWC18146 *651 (MWC 18184 18147 uid 22116,0 18185 18148 optionalChildren [ 18186 *65 3(CptPort18149 *652 (CptPort 18187 18150 uid 22088,0 18188 18151 optionalChildren [ 18189 *65 4(Line18152 *653 (Line 18190 18153 uid 22092,0 18191 18154 layer 5 … … 18200 18163 ] 18201 18164 ) 18202 *65 5(Property18165 *654 (Property 18203 18166 uid 22093,0 18204 18167 pclass "_MW_GEOM_" … … 18246 18209 ) 18247 18210 ) 18248 *65 6(CptPort18211 *655 (CptPort 18249 18212 uid 22094,0 18250 18213 optionalChildren [ 18251 *65 7(Line18214 *656 (Line 18252 18215 uid 22098,0 18253 18216 layer 5 … … 18300 18263 ) 18301 18264 ) 18302 *65 8(CptPort18265 *657 (CptPort 18303 18266 uid 22099,0 18304 18267 optionalChildren [ 18305 *65 9(Line18268 *658 (Line 18306 18269 uid 22103,0 18307 18270 layer 5 … … 18353 18316 ) 18354 18317 ) 18355 *6 60(CommentGraphic18318 *659 (CommentGraphic 18356 18319 uid 22104,0 18357 18320 optionalChildren [ 18358 *66 1(Property18321 *660 (Property 18359 18322 uid 22106,0 18360 18323 pclass "_MW_GEOM_" … … 18380 18343 oxt "7000,10000,7000,10000" 18381 18344 ) 18382 *66 2(CommentGraphic18345 *661 (CommentGraphic 18383 18346 uid 22107,0 18384 18347 optionalChildren [ 18385 *66 3(Property18348 *662 (Property 18386 18349 uid 22109,0 18387 18350 pclass "_MW_GEOM_" … … 18407 18370 oxt "7000,6000,7000,6000" 18408 18371 ) 18409 *66 4(Grouping18372 *663 (Grouping 18410 18373 uid 22110,0 18411 18374 optionalChildren [ 18412 *66 5(CommentGraphic18375 *664 (CommentGraphic 18413 18376 uid 22112,0 18414 18377 shape (PolyLine2D … … 18431 18394 oxt "7000,6000,9000,10000" 18432 18395 ) 18433 *66 6(CommentGraphic18396 *665 (CommentGraphic 18434 18397 uid 22114,0 18435 18398 shape (Arc2D … … 18484 18447 stg "VerticalLayoutStrategy" 18485 18448 textVec [ 18486 *66 7(Text18449 *666 (Text 18487 18450 uid 22119,0 18488 18451 va (VaSet … … 18494 18457 blo "-55500,124300" 18495 18458 ) 18496 *66 8(Text18459 *667 (Text 18497 18460 uid 22120,0 18498 18461 va (VaSet … … 18503 18466 blo "-55500,125300" 18504 18467 ) 18505 *66 9(Text18468 *668 (Text 18506 18469 uid 22121,0 18507 18470 va (VaSet … … 18548 18511 ) 18549 18512 ) 18550 *6 70(Net18513 *669 (Net 18551 18514 uid 22125,0 18552 18515 decl (Decl … … 18561 18524 font "Courier New,8,0" 18562 18525 ) 18563 xt "-172000,7 7200,-146500,78000"18526 xt "-172000,76400,-146500,77200" 18564 18527 st "SIGNAL dout4 : STD_LOGIC" 18565 18528 ) 18566 18529 ) 18567 *67 1(Net18530 *670 (Net 18568 18531 uid 22131,0 18569 18532 decl (Decl … … 18579 18542 font "Courier New,8,0" 18580 18543 ) 18581 xt "-172000,8 8400,-125500,89200"18544 xt "-172000,87600,-125500,88400" 18582 18545 st "SIGNAL dwrite_trigger_manager : std_logic := '1'" 18583 18546 ) 18584 18547 ) 18585 *67 2(MWC18548 *671 (MWC 18586 18549 uid 23004,0 18587 18550 optionalChildren [ 18588 *67 3(CptPort18551 *672 (CptPort 18589 18552 uid 22993,0 18590 18553 optionalChildren [ 18591 *67 4(Line18554 *673 (Line 18592 18555 uid 22997,0 18593 18556 layer 5 … … 18651 18614 ) 18652 18615 ) 18653 *67 5(Grouping18616 *674 (Grouping 18654 18617 uid 22998,0 18655 18618 optionalChildren [ 18656 *67 6(CommentGraphic18619 *675 (CommentGraphic 18657 18620 uid 23000,0 18658 18621 shape (PolyLine2D … … 18676 18639 oxt "7000,6000,7000,8000" 18677 18640 ) 18678 *67 7(CommentGraphic18641 *676 (CommentGraphic 18679 18642 uid 23002,0 18680 18643 shape (PolyLine2D … … 18732 18695 stg "VerticalLayoutStrategy" 18733 18696 textVec [ 18734 *67 8(Text18697 *677 (Text 18735 18698 uid 23007,0 18736 18699 va (VaSet … … 18742 18705 blo "-93650,125900" 18743 18706 ) 18744 *67 9(Text18707 *678 (Text 18745 18708 uid 23008,0 18746 18709 va (VaSet … … 18751 18714 blo "-93650,126900" 18752 18715 ) 18753 *6 80(Text18716 *679 (Text 18754 18717 uid 23009,0 18755 18718 va (VaSet … … 18796 18759 ) 18797 18760 ) 18798 *68 1(MWC18761 *680 (MWC 18799 18762 uid 23034,0 18800 18763 optionalChildren [ 18801 *68 2(CptPort18764 *681 (CptPort 18802 18765 uid 23014,0 18803 18766 optionalChildren [ 18804 *68 3(Line18767 *682 (Line 18805 18768 uid 23018,0 18806 18769 layer 5 … … 18868 18831 ) 18869 18832 ) 18870 *68 4(CptPort18833 *683 (CptPort 18871 18834 uid 23019,0 18872 18835 optionalChildren [ 18873 *68 5(Line18836 *684 (Line 18874 18837 uid 23023,0 18875 18838 layer 5 … … 18936 18899 ) 18937 18900 ) 18938 *68 6(CommentGraphic18901 *685 (CommentGraphic 18939 18902 uid 23024,0 18940 18903 shape (PolyLine2D … … 18957 18920 oxt "6000,6000,7000,7000" 18958 18921 ) 18959 *68 7(CommentGraphic18922 *686 (CommentGraphic 18960 18923 uid 23026,0 18961 18924 shape (PolyLine2D … … 18978 18941 oxt "6000,7000,7000,8000" 18979 18942 ) 18980 *68 8(CommentGraphic18943 *687 (CommentGraphic 18981 18944 uid 23028,0 18982 18945 shape (PolyLine2D … … 18999 18962 oxt "6988,7329,7988,7329" 19000 18963 ) 19001 *68 9(CommentGraphic18964 *688 (CommentGraphic 19002 18965 uid 23030,0 19003 18966 shape (PolyLine2D … … 19018 18981 oxt "8000,7000,9000,7000" 19019 18982 ) 19020 *6 90(CommentGraphic18983 *689 (CommentGraphic 19021 18984 uid 23032,0 19022 18985 shape (PolyLine2D … … 19059 19022 stg "VerticalLayoutStrategy" 19060 19023 textVec [ 19061 *69 1(Text19024 *690 (Text 19062 19025 uid 23037,0 19063 19026 va (VaSet … … 19069 19032 blo "173350,80900" 19070 19033 ) 19071 *69 2(Text19034 *691 (Text 19072 19035 uid 23038,0 19073 19036 va (VaSet … … 19078 19041 blo "173350,81900" 19079 19042 ) 19080 *69 3(Text19043 *692 (Text 19081 19044 uid 23039,0 19082 19045 va (VaSet … … 19123 19086 ) 19124 19087 ) 19125 *69 4(Net19088 *693 (Net 19126 19089 uid 23051,0 19127 19090 decl (Decl … … 19139 19102 font "Courier New,8,0" 19140 19103 ) 19141 xt "-172000,6 9200,-112000,70000"19104 xt "-172000,68400,-112000,69200" 19142 19105 st "SIGNAL denable_sig : std_logic := '0' -- default domino wave off" 19143 19106 ) 19144 19107 ) 19145 *69 5(Net19108 *694 (Net 19146 19109 uid 23341,0 19147 19110 decl (Decl … … 19156 19119 font "Courier New,8,0" 19157 19120 ) 19158 xt "-172000,50 800,-146500,51600"19121 xt "-172000,50000,-146500,50800" 19159 19122 st "SIGNAL DCM_locked_status : std_logic" 19160 19123 ) 19161 19124 ) 19162 *69 6(Net19125 *695 (Net 19163 19126 uid 23349,0 19164 19127 decl (Decl … … 19173 19136 font "Courier New,8,0" 19174 19137 ) 19175 xt "-172000,5 1600,-146500,52400"19138 xt "-172000,50800,-146500,51600" 19176 19139 st "SIGNAL DCM_ready_status : std_logic" 19177 19140 ) 19178 19141 ) 19179 *69 7(Net19142 *696 (Net 19180 19143 uid 24076,0 19181 19144 decl (Decl … … 19191 19154 font "Courier New,8,0" 19192 19155 ) 19193 xt "-172000, 40000,-129000,40800"19156 xt "-172000,39200,-129000,40000" 19194 19157 st "trigger_veto : std_logic := '1'" 19195 19158 ) 19196 19159 ) 19197 *69 8(PortIoOut19160 *697 (PortIoOut 19198 19161 uid 24084,0 19199 19162 shape (CompositeShape … … 19239 19202 ) 19240 19203 ) 19241 *69 9(SaComponent19204 *698 (SaComponent 19242 19205 uid 24570,0 19243 19206 optionalChildren [ 19244 * 700(CptPort19207 *699 (CptPort 19245 19208 uid 24538,0 19246 19209 ps "OnEdgeStrategy" … … 19275 19238 ) 19276 19239 ) 19277 *70 1(CptPort19240 *700 (CptPort 19278 19241 uid 24542,0 19279 19242 ps "OnEdgeStrategy" … … 19309 19272 ) 19310 19273 ) 19311 *70 2(CptPort19274 *701 (CptPort 19312 19275 uid 24546,0 19313 19276 ps "OnEdgeStrategy" … … 19344 19307 ) 19345 19308 ) 19346 *70 3(CptPort19309 *702 (CptPort 19347 19310 uid 24550,0 19348 19311 ps "OnEdgeStrategy" … … 19379 19342 ) 19380 19343 ) 19381 *70 4(CptPort19344 *703 (CptPort 19382 19345 uid 24554,0 19383 19346 ps "OnEdgeStrategy" … … 19414 19377 ) 19415 19378 ) 19416 *70 5(CptPort19379 *704 (CptPort 19417 19380 uid 24558,0 19418 19381 ps "OnEdgeStrategy" … … 19448 19411 ) 19449 19412 ) 19450 *70 6(CptPort19413 *705 (CptPort 19451 19414 uid 24562,0 19452 19415 ps "OnEdgeStrategy" … … 19485 19448 ) 19486 19449 ) 19487 *70 7(CptPort19450 *706 (CptPort 19488 19451 uid 24566,0 19489 19452 ps "OnEdgeStrategy" … … 19521 19484 ) 19522 19485 ) 19523 *70 8(CptPort19486 *707 (CptPort 19524 19487 uid 24732,0 19525 19488 ps "OnEdgeStrategy" … … 19574 19537 stg "VerticalLayoutStrategy" 19575 19538 textVec [ 19576 *70 9(Text19539 *708 (Text 19577 19540 uid 24573,0 19578 19541 va (VaSet … … 19584 19547 tm "BdLibraryNameMgr" 19585 19548 ) 19586 *7 10(Text19549 *709 (Text 19587 19550 uid 24574,0 19588 19551 va (VaSet … … 19594 19557 tm "CptNameMgr" 19595 19558 ) 19596 *71 1(Text19559 *710 (Text 19597 19560 uid 24575,0 19598 19561 va (VaSet 19599 19562 font "Arial,8,1" 19600 19563 ) 19601 xt "-15000,159000,- 13200,160000"19602 st " U_7"19564 xt "-15000,159000,-7200,160000" 19565 st "Inst_rs485_receiver" 19603 19566 blo "-15000,159800" 19604 19567 tm "InstanceNameMgr" … … 19655 19618 archFileType "UNKNOWN" 19656 19619 ) 19657 *71 2(PortIoOut19620 *711 (PortIoOut 19658 19621 uid 24652,0 19659 19622 shape (CompositeShape … … 19699 19662 ) 19700 19663 ) 19701 *71 3(PortIoIn19664 *712 (PortIoIn 19702 19665 uid 24666,0 19703 19666 shape (CompositeShape … … 19743 19706 ) 19744 19707 ) 19745 *71 4(PortIoOut19708 *713 (PortIoOut 19746 19709 uid 24680,0 19747 19710 shape (CompositeShape … … 19787 19750 ) 19788 19751 ) 19789 *71 5(PortIoOut19752 *714 (PortIoOut 19790 19753 uid 24694,0 19791 19754 shape (CompositeShape … … 19831 19794 ) 19832 19795 ) 19833 *71 6(Net19796 *715 (Net 19834 19797 uid 24700,0 19835 19798 decl (Decl … … 19848 19811 ) 19849 19812 ) 19850 *71 7(Net19813 *716 (Net 19851 19814 uid 24702,0 19852 19815 decl (Decl … … 19865 19828 ) 19866 19829 ) 19867 *71 8(Net19830 *717 (Net 19868 19831 uid 24704,0 19869 19832 decl (Decl … … 19882 19845 ) 19883 19846 ) 19884 *71 9(Net19847 *718 (Net 19885 19848 uid 24706,0 19886 19849 decl (Decl … … 19899 19862 ) 19900 19863 ) 19901 *7 20(Net19864 *719 (Net 19902 19865 uid 24736,0 19903 19866 decl (Decl … … 19913 19876 font "Courier New,8,0" 19914 19877 ) 19915 xt "-172000,10 1200,-125500,102000"19878 xt "-172000,100400,-125500,101200" 19916 19879 st "SIGNAL rec_timeout_occured : std_logic := '0'" 19917 19880 ) 19918 19881 ) 19919 *72 1(Net19882 *720 (Net 19920 19883 uid 25027,0 19921 19884 decl (Decl … … 19931 19894 font "Courier New,8,0" 19932 19895 ) 19933 xt "-172000,102 800,-125500,103600"19896 xt "-172000,102000,-125500,102800" 19934 19897 st "SIGNAL reset_trigger_id : std_logic := '0'" 19935 19898 ) 19936 19899 ) 19937 *72 2(PortIoOut19900 *721 (PortIoOut 19938 19901 uid 25304,0 19939 19902 shape (CompositeShape … … 19979 19942 ) 19980 19943 ) 19981 *72 3(Net19944 *722 (Net 19982 19945 uid 25310,0 19983 19946 decl (Decl … … 19995 19958 font "Courier New,8,0" 19996 19959 ) 19997 xt "-172000,40 800,-115000,41600"19960 xt "-172000,40000,-115000,40800" 19998 19961 st "w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging." 19999 19962 ) 20000 19963 ) 20001 *72 4(Net19964 *723 (Net 20002 19965 uid 25541,0 20003 19966 decl (Decl … … 20016 19979 ) 20017 19980 ) 20018 *72 5(PortIoOut19981 *724 (PortIoOut 20019 19982 uid 25549,0 20020 19983 shape (CompositeShape … … 20060 20023 ) 20061 20024 ) 20062 *72 6(Net20025 *725 (Net 20063 20026 uid 25555,0 20064 20027 decl (Decl … … 20077 20040 ) 20078 20041 ) 20079 *72 7(PortIoOut20042 *726 (PortIoOut 20080 20043 uid 25563,0 20081 20044 shape (CompositeShape … … 20121 20084 ) 20122 20085 ) 20123 *72 8(MWC20086 *727 (MWC 20124 20087 uid 25830,0 20125 20088 optionalChildren [ 20126 *72 9(CptPort20089 *728 (CptPort 20127 20090 uid 25821,0 20128 20091 optionalChildren [ 20129 *7 30(Line20092 *729 (Line 20130 20093 uid 25825,0 20131 20094 layer 5 … … 20189 20152 ) 20190 20153 ) 20191 *73 1(CommentGraphic20154 *730 (CommentGraphic 20192 20155 uid 25826,0 20193 20156 shape (PolyLine2D … … 20208 20171 oxt "7000,7000,7000,8000" 20209 20172 ) 20210 *73 2(CommentGraphic20173 *731 (CommentGraphic 20211 20174 uid 25828,0 20212 20175 shape (CustomPolygon … … 20250 20213 stg "VerticalLayoutStrategy" 20251 20214 textVec [ 20252 *73 3(Text20215 *732 (Text 20253 20216 uid 25833,0 20254 20217 va (VaSet … … 20260 20223 blo "-40550,78495" 20261 20224 ) 20262 *73 4(Text20225 *733 (Text 20263 20226 uid 25834,0 20264 20227 va (VaSet … … 20269 20232 blo "-40550,79495" 20270 20233 ) 20271 *73 5(Text20234 *734 (Text 20272 20235 uid 25835,0 20273 20236 va (VaSet … … 20314 20277 ) 20315 20278 ) 20316 *73 6(Net20279 *735 (Net 20317 20280 uid 25840,0 20318 20281 decl (Decl … … 20327 20290 font "Courier New,8,0" 20328 20291 ) 20329 xt "-172000,11 3200,-146500,114000"20292 xt "-172000,112400,-146500,113200" 20330 20293 st "SIGNAL software_trigger_in : std_logic" 20331 20294 ) 20332 20295 ) 20333 *73 7(PortIoOut20296 *736 (PortIoOut 20334 20297 uid 26079,0 20335 20298 shape (CompositeShape … … 20375 20338 ) 20376 20339 ) 20377 *73 8(Net20340 *737 (Net 20378 20341 uid 26085,0 20379 20342 lang 2 … … 20396 20359 ) 20397 20360 ) 20398 *73 9(Net20361 *738 (Net 20399 20362 uid 26334,0 20400 20363 decl (Decl … … 20409 20372 font "Courier New,8,0" 20410 20373 ) 20411 xt "-172000,90 800,-146500,91600"20374 xt "-172000,90000,-146500,90800" 20412 20375 st "SIGNAL is_idle : std_logic" 20413 20376 ) 20414 20377 ) 20415 *7 40(PortIoOut20378 *739 (PortIoOut 20416 20379 uid 26350,0 20417 20380 shape (CompositeShape … … 20457 20420 ) 20458 20421 ) 20459 *74 1(Net20422 *740 (Net 20460 20423 uid 26591,0 20461 20424 decl (Decl … … 20478 20441 ) 20479 20442 ) 20480 *74 2(SaComponent20443 *741 (SaComponent 20481 20444 uid 27117,0 20482 20445 optionalChildren [ 20483 *74 3(CptPort20446 *742 (CptPort 20484 20447 uid 27041,0 20485 20448 ps "OnEdgeStrategy" … … 20517 20480 ) 20518 20481 ) 20519 *74 4(CptPort20482 *743 (CptPort 20520 20483 uid 27045,0 20521 20484 ps "OnEdgeStrategy" … … 20552 20515 ) 20553 20516 ) 20554 *74 5(CptPort20517 *744 (CptPort 20555 20518 uid 27049,0 20556 20519 ps "OnEdgeStrategy" … … 20585 20548 ) 20586 20549 ) 20587 *74 6(CptPort20550 *745 (CptPort 20588 20551 uid 27053,0 20589 20552 ps "OnEdgeStrategy" … … 20618 20581 ) 20619 20582 ) 20620 *74 7(CptPort20583 *746 (CptPort 20621 20584 uid 27057,0 20622 20585 ps "OnEdgeStrategy" … … 20651 20614 ) 20652 20615 ) 20653 *74 8(CptPort20616 *747 (CptPort 20654 20617 uid 27061,0 20655 20618 ps "OnEdgeStrategy" … … 20686 20649 ) 20687 20650 ) 20688 *74 9(CptPort20651 *748 (CptPort 20689 20652 uid 27065,0 20690 20653 ps "OnEdgeStrategy" … … 20721 20684 ) 20722 20685 ) 20723 *7 50(CptPort20686 *749 (CptPort 20724 20687 uid 27069,0 20725 20688 ps "OnEdgeStrategy" … … 20756 20719 ) 20757 20720 ) 20758 *75 1(CptPort20721 *750 (CptPort 20759 20722 uid 27073,0 20760 20723 ps "OnEdgeStrategy" … … 20792 20755 ) 20793 20756 ) 20794 *75 2(CptPort20757 *751 (CptPort 20795 20758 uid 27077,0 20796 20759 ps "OnEdgeStrategy" … … 20829 20792 ) 20830 20793 ) 20831 *75 3(CptPort20794 *752 (CptPort 20832 20795 uid 27081,0 20833 20796 ps "OnEdgeStrategy" … … 20866 20829 ) 20867 20830 ) 20868 *75 4(CptPort20831 *753 (CptPort 20869 20832 uid 27085,0 20870 20833 ps "OnEdgeStrategy" … … 20903 20866 ) 20904 20867 ) 20905 *75 5(CptPort20868 *754 (CptPort 20906 20869 uid 27089,0 20907 20870 ps "OnEdgeStrategy" … … 20939 20902 ) 20940 20903 ) 20941 *75 6(CptPort20904 *755 (CptPort 20942 20905 uid 27093,0 20943 20906 ps "OnEdgeStrategy" … … 20975 20938 ) 20976 20939 ) 20977 *75 7(CptPort20940 *756 (CptPort 20978 20941 uid 27097,0 20979 20942 ps "OnEdgeStrategy" … … 21011 20974 ) 21012 20975 ) 21013 *75 8(CptPort20976 *757 (CptPort 21014 20977 uid 27109,0 21015 20978 ps "OnEdgeStrategy" … … 21047 21010 ) 21048 21011 ) 21049 *75 9(CptPort21012 *758 (CptPort 21050 21013 uid 27113,0 21051 21014 ps "OnEdgeStrategy" … … 21082 21045 ) 21083 21046 ) 21084 *7 60(CptPort21047 *759 (CptPort 21085 21048 uid 27127,0 21086 21049 ps "OnEdgeStrategy" … … 21116 21079 ) 21117 21080 ) 21118 *76 1(CptPort21081 *760 (CptPort 21119 21082 uid 27139,0 21120 21083 ps "OnEdgeStrategy" … … 21149 21112 ) 21150 21113 ) 21151 *76 2(CptPort21114 *761 (CptPort 21152 21115 uid 27143,0 21153 21116 ps "OnEdgeStrategy" … … 21201 21164 stg "VerticalLayoutStrategy" 21202 21165 textVec [ 21203 *76 3(Text21166 *762 (Text 21204 21167 uid 27120,0 21205 21168 va (VaSet … … 21211 21174 tm "BdLibraryNameMgr" 21212 21175 ) 21213 *76 4(Text21176 *763 (Text 21214 21177 uid 27121,0 21215 21178 va (VaSet … … 21221 21184 tm "CptNameMgr" 21222 21185 ) 21223 *76 5(Text21186 *764 (Text 21224 21187 uid 27122,0 21225 21188 va (VaSet … … 21280 21243 archFileType "UNKNOWN" 21281 21244 ) 21282 *76 6(Net21245 *765 (Net 21283 21246 uid 27131,0 21284 21247 decl (Decl … … 21294 21257 font "Courier New,8,0" 21295 21258 ) 21296 xt "-172000,6 7600,-125500,68400"21259 xt "-172000,66800,-125500,67600" 21297 21260 st "SIGNAL data_valid_ack : std_logic := '0'" 21298 21261 ) 21299 21262 ) 21300 *76 7(Net21263 *766 (Net 21301 21264 uid 27147,0 21302 21265 decl (Decl … … 21312 21275 font "Courier New,8,0" 21313 21276 ) 21314 xt "-172000,70 800,-125500,71600"21277 xt "-172000,70000,-125500,70800" 21315 21278 st "SIGNAL dg_start_config : std_logic := '0'" 21316 21279 ) 21317 21280 ) 21318 *76 8(Net21281 *767 (Net 21319 21282 uid 27153,0 21320 21283 decl (Decl … … 21329 21292 font "Courier New,8,0" 21330 21293 ) 21331 xt "-172000, 70000,-146500,70800"21294 xt "-172000,69200,-146500,70000" 21332 21295 st "SIGNAL dg_config_done : std_logic" 21333 21296 ) 21334 21297 ) 21335 *76 9(Net21298 *768 (Net 21336 21299 uid 27603,0 21337 21300 decl (Decl … … 21350 21313 font "Courier New,8,0" 21351 21314 ) 21352 xt "-172000,10 6000,-136500,107600"21315 xt "-172000,105200,-136500,106800" 21353 21316 st "-- EVT HEADER - part 6 21354 21317 SIGNAL runnumber : std_logic_vector(31 downto 0)" 21355 21318 ) 21356 21319 ) 21357 *7 70(Net21320 *769 (Net 21358 21321 uid 28276,0 21359 21322 decl (Decl … … 21375 21338 ) 21376 21339 ) 21377 *77 1(PortIoOut21340 *770 (PortIoOut 21378 21341 uid 28284,0 21379 21342 shape (CompositeShape … … 21419 21382 ) 21420 21383 ) 21421 *77 2(MWC21384 *771 (MWC 21422 21385 uid 29010,0 21423 21386 optionalChildren [ 21424 *77 3(CptPort21387 *772 (CptPort 21425 21388 uid 29019,0 21426 21389 optionalChildren [ 21427 *77 4(Line21390 *773 (Line 21428 21391 uid 29023,0 21429 21392 layer 5 … … 21474 21437 ) 21475 21438 ) 21476 *77 5(CptPort21439 *774 (CptPort 21477 21440 uid 29024,0 21478 21441 optionalChildren [ 21479 *77 6(Property21442 *775 (Property 21480 21443 uid 29028,0 21481 21444 pclass "_MW_GEOM_" … … 21483 21446 ptn "String" 21484 21447 ) 21485 *77 7(Line21448 *776 (Line 21486 21449 uid 29029,0 21487 21450 layer 5 … … 21535 21498 ) 21536 21499 ) 21537 *77 8(CptPort21500 *777 (CptPort 21538 21501 uid 29030,0 21539 21502 optionalChildren [ 21540 *77 9(Line21503 *778 (Line 21541 21504 uid 29034,0 21542 21505 layer 5 … … 21588 21551 ) 21589 21552 ) 21590 *7 80(CommentGraphic21553 *779 (CommentGraphic 21591 21554 uid 29035,0 21592 21555 shape (Arc2D … … 21610 21573 oxt "43000,182000,47000,183997" 21611 21574 ) 21612 *78 1(CommentGraphic21575 *780 (CommentGraphic 21613 21576 uid 29037,0 21614 21577 shape (Arc2D … … 21632 21595 oxt "42996,180000,47000,181995" 21633 21596 ) 21634 *78 2(Grouping21597 *781 (Grouping 21635 21598 uid 29039,0 21636 21599 optionalChildren [ 21637 *78 3(CommentGraphic21600 *782 (CommentGraphic 21638 21601 uid 29041,0 21639 21602 optionalChildren [ 21640 *78 4(Property21603 *783 (Property 21641 21604 uid 29043,0 21642 21605 pclass "_MW_GEOM_" … … 21670 21633 oxt "43000,180002,47000,184000" 21671 21634 ) 21672 *78 5(CommentGraphic21635 *784 (CommentGraphic 21673 21636 uid 29044,0 21674 21637 optionalChildren [ 21675 *78 6(Property21638 *785 (Property 21676 21639 uid 29046,0 21677 21640 pclass "_MW_GEOM_" … … 21717 21680 oxt "43000,180000,47000,184000" 21718 21681 ) 21719 *78 7(CommentGraphic21682 *786 (CommentGraphic 21720 21683 uid 29047,0 21721 21684 shape (PolyLine2D … … 21737 21700 oxt "47000,182000,47000,182000" 21738 21701 ) 21739 *78 8(CommentGraphic21702 *787 (CommentGraphic 21740 21703 uid 29049,0 21741 21704 optionalChildren [ 21742 *78 9(Property21705 *788 (Property 21743 21706 uid 29051,0 21744 21707 pclass "_MW_GEOM_" … … 21765 21728 oxt "43000,184000,43000,184000" 21766 21729 ) 21767 *7 90(CommentGraphic21730 *789 (CommentGraphic 21768 21731 uid 29052,0 21769 21732 optionalChildren [ 21770 *79 1(Property21733 *790 (Property 21771 21734 uid 29054,0 21772 21735 pclass "_MW_GEOM_" … … 21813 21776 stg "VerticalLayoutStrategy" 21814 21777 textVec [ 21815 *79 2(Text21778 *791 (Text 21816 21779 uid 29013,0 21817 21780 va (VaSet … … 21823 21786 blo "25500,96300" 21824 21787 ) 21825 *79 3(Text21788 *792 (Text 21826 21789 uid 29014,0 21827 21790 va (VaSet … … 21832 21795 blo "25500,97300" 21833 21796 ) 21834 *79 4(Text21797 *793 (Text 21835 21798 uid 29015,0 21836 21799 va (VaSet … … 21877 21840 ) 21878 21841 ) 21879 *79 5(MWC21842 *794 (MWC 21880 21843 uid 29055,0 21881 21844 optionalChildren [ 21882 *79 6(CptPort21845 *795 (CptPort 21883 21846 uid 29064,0 21884 21847 optionalChildren [ 21885 *79 7(Line21848 *796 (Line 21886 21849 uid 29069,0 21887 21850 layer 5 … … 21941 21904 ) 21942 21905 ) 21943 *79 8(CptPort21906 *797 (CptPort 21944 21907 uid 29070,0 21945 21908 optionalChildren [ 21946 *79 9(Line21909 *798 (Line 21947 21910 uid 29075,0 21948 21911 layer 5 … … 21957 21920 ] 21958 21921 ) 21959 * 800(Circle21922 *799 (Circle 21960 21923 uid 29076,0 21961 21924 va (VaSet … … 22015 21978 ) 22016 21979 ) 22017 *80 1(CommentGraphic21980 *800 (CommentGraphic 22018 21981 uid 29077,0 22019 21982 shape (CustomPolygon … … 22057 22020 stg "VerticalLayoutStrategy" 22058 22021 textVec [ 22059 *80 2(Text22022 *801 (Text 22060 22023 uid 29058,0 22061 22024 va (VaSet … … 22067 22030 blo "16350,90900" 22068 22031 ) 22069 *80 3(Text22032 *802 (Text 22070 22033 uid 29059,0 22071 22034 va (VaSet … … 22076 22039 blo "16350,91900" 22077 22040 ) 22078 *80 4(Text22041 *803 (Text 22079 22042 uid 29060,0 22080 22043 va (VaSet … … 22121 22084 ) 22122 22085 ) 22123 *80 5(Net22086 *804 (Net 22124 22087 uid 29085,0 22125 22088 decl (Decl … … 22134 22097 font "Courier New,8,0" 22135 22098 ) 22136 xt "-172000,7 8000,-146500,78800"22099 xt "-172000,77200,-146500,78000" 22137 22100 st "SIGNAL dout5 : std_logic" 22138 22101 ) 22139 22102 ) 22140 *80 6(Net22103 *805 (Net 22141 22104 uid 29091,0 22142 22105 decl (Decl … … 22152 22115 font "Courier New,8,0" 22153 22116 ) 22154 xt "-172000,122 800,-125500,123600"22117 xt "-172000,122000,-125500,122800" 22155 22118 st "SIGNAL trigger_veto1 : std_logic := '1'" 22156 22119 ) 22157 22120 ) 22158 *807 (PortIoOut 22159 uid 29796,0 22160 shape (CompositeShape 22161 uid 29797,0 22162 va (VaSet 22163 vasetType 1 22164 fg "0,0,32768" 22165 ) 22166 optionalChildren [ 22167 (Pentagon 22168 uid 29798,0 22169 sl 0 22170 ro 270 22171 xt "9500,127625,11000,128375" 22172 ) 22173 (Line 22174 uid 29799,0 22175 sl 0 22176 ro 270 22177 xt "9000,128000,9500,128000" 22178 pts [ 22179 "9000,128000" 22180 "9500,128000" 22181 ] 22182 ) 22183 ] 22184 ) 22185 stc 0 22186 sf 1 22187 tg (WTG 22188 uid 29800,0 22189 ps "PortIoTextPlaceStrategy" 22190 stg "STSignalDisplayStrategy" 22191 f (Text 22192 uid 29801,0 22193 va (VaSet 22194 ) 22195 xt "12000,127500,19100,128500" 22196 st "spi_debug_16bit" 22197 blo "12000,128300" 22198 tm "WireNameMgr" 22199 ) 22200 ) 22201 ) 22202 *808 (Net 22203 uid 29802,0 22204 decl (Decl 22205 n "spi_debug_16bit" 22206 t "std_logic_vector" 22207 b "( 15 DOWNTO 0 )" 22208 o 146 22209 suid 430,0 22210 ) 22211 declText (MLText 22212 uid 29803,0 22213 va (VaSet 22214 font "Courier New,8,0" 22215 ) 22216 xt "-172000,39200,-139000,40000" 22217 st "spi_debug_16bit : std_logic_vector( 15 DOWNTO 0 )" 22218 ) 22219 ) 22220 *809 (Wire 22121 *806 (Wire 22221 22122 uid 322,0 22222 22123 shape (OrthoPolyLine … … 22234 22135 ) 22235 22136 start &63 22236 end &2 9022137 end &289 22237 22138 ss 0 22238 22139 sat 32 … … 22258 22159 on &2 22259 22160 ) 22260 *8 10(Wire22161 *807 (Wire 22261 22162 uid 328,0 22262 22163 shape (OrthoPolyLine … … 22274 22175 ) 22275 22176 start &25 22276 end &28 922177 end &288 22277 22178 sat 32 22278 22179 eat 32 … … 22297 22198 on &3 22298 22199 ) 22299 *8 11(Wire22200 *808 (Wire 22300 22201 uid 334,0 22301 22202 shape (OrthoPolyLine … … 22313 22214 ) 22314 22215 start &24 22315 end &28 822216 end &287 22316 22217 sat 32 22317 22218 eat 32 … … 22336 22237 on &4 22337 22238 ) 22338 *8 12(Wire22239 *809 (Wire 22339 22240 uid 364,0 22340 22241 shape (OrthoPolyLine … … 22353 22254 ) 22354 22255 start &97 22355 end &29 222256 end &291 22356 22257 sat 32 22357 22258 eat 32 … … 22376 22277 on &5 22377 22278 ) 22378 *81 3(Wire22279 *810 (Wire 22379 22280 uid 370,0 22380 22281 shape (OrthoPolyLine … … 22393 22294 ) 22394 22295 start &96 22395 end &29 322296 end &292 22396 22297 sat 32 22397 22298 eat 32 … … 22416 22317 on &6 22417 22318 ) 22418 *81 4(Wire22319 *811 (Wire 22419 22320 uid 376,0 22420 22321 shape (OrthoPolyLine … … 22454 22355 on &7 22455 22356 ) 22456 *81 5(Wire22357 *812 (Wire 22457 22358 uid 384,0 22458 22359 shape (OrthoPolyLine … … 22494 22395 on &8 22495 22396 ) 22496 *81 6(Wire22397 *813 (Wire 22497 22398 uid 392,0 22498 22399 shape (OrthoPolyLine … … 22534 22435 on &9 22535 22436 ) 22536 *81 7(Wire22437 *814 (Wire 22537 22438 uid 400,0 22538 22439 shape (OrthoPolyLine … … 22572 22473 on &10 22573 22474 ) 22574 *81 8(Wire22475 *815 (Wire 22575 22476 uid 408,0 22576 22477 shape (OrthoPolyLine … … 22610 22511 on &11 22611 22512 ) 22612 *81 9(Wire22513 *816 (Wire 22613 22514 uid 424,0 22614 22515 shape (OrthoPolyLine … … 22648 22549 on &12 22649 22550 ) 22650 *8 20(Wire22551 *817 (Wire 22651 22552 uid 432,0 22652 22553 shape (OrthoPolyLine … … 22686 22587 on &13 22687 22588 ) 22688 *8 21(Wire22589 *818 (Wire 22689 22590 uid 1411,0 22690 22591 shape (OrthoPolyLine … … 22725 22626 on &82 22726 22627 ) 22727 *8 22(Wire22628 *819 (Wire 22728 22629 uid 1425,0 22729 22630 shape (OrthoPolyLine … … 22739 22640 ) 22740 22641 start &84 22741 end &34 422642 end &343 22742 22643 es 0 22743 22644 sat 32 … … 22764 22665 on &83 22765 22666 ) 22766 *82 3(Wire22667 *820 (Wire 22767 22668 uid 1682,0 22768 22669 shape (OrthoPolyLine … … 22803 22704 on &139 22804 22705 ) 22805 *82 4(Wire22706 *821 (Wire 22806 22707 uid 2299,0 22807 22708 shape (OrthoPolyLine … … 22817 22718 ] 22818 22719 ) 22819 start &75 822720 start &757 22820 22721 end &26 22821 22722 sat 32 … … 22841 22742 on &148 22842 22743 ) 22843 *82 5(Wire22744 *822 (Wire 22844 22745 uid 2476,0 22845 22746 shape (OrthoPolyLine … … 22854 22755 ] 22855 22756 ) 22856 start &75 522757 start &754 22857 22758 end &98 22858 22759 sat 32 … … 22877 22778 on &149 22878 22779 ) 22879 *82 6(Wire22780 *823 (Wire 22880 22781 uid 2482,0 22881 22782 shape (OrthoPolyLine … … 22891 22792 ] 22892 22793 ) 22893 start &75 322794 start &752 22894 22795 end &94 22895 22796 sat 32 … … 22915 22816 on &150 22916 22817 ) 22917 *82 7(Wire22818 *824 (Wire 22918 22819 uid 2488,0 22919 22820 shape (OrthoPolyLine … … 22929 22830 ] 22930 22831 ) 22931 start &75 222832 start &751 22932 22833 end &95 22933 22834 sat 32 … … 22953 22854 on &151 22954 22855 ) 22955 *82 8(Wire22856 *825 (Wire 22956 22857 uid 2494,0 22957 22858 shape (OrthoPolyLine … … 22967 22868 ] 22968 22869 ) 22969 start &75 422870 start &753 22970 22871 end &100 22971 22872 sat 32 … … 22991 22892 on &152 22992 22893 ) 22993 *82 9(Wire22894 *826 (Wire 22994 22895 uid 2500,0 22995 22896 shape (OrthoPolyLine … … 23004 22905 ] 23005 22906 ) 23006 start &75 722907 start &756 23007 22908 end &101 23008 22909 sat 32 … … 23027 22928 on &153 23028 22929 ) 23029 *8 30(Wire22930 *827 (Wire 23030 22931 uid 2506,0 23031 22932 shape (OrthoPolyLine … … 23040 22941 ] 23041 22942 ) 23042 start &75 622943 start &755 23043 22944 end &102 23044 22945 sat 32 … … 23063 22964 on &154 23064 22965 ) 23065 *8 31(Wire22966 *828 (Wire 23066 22967 uid 2576,0 23067 22968 optionalChildren [ 23068 *8 32(BdJunction22969 *829 (BdJunction 23069 22970 uid 29083,0 23070 22971 ps "OnConnectorStrategy" … … 23091 22992 ) 23092 22993 start &29 23093 end &74 822994 end &747 23094 22995 sat 32 23095 22996 eat 32 … … 23113 23014 on &155 23114 23015 ) 23115 *83 3(Wire23016 *830 (Wire 23116 23017 uid 2582,0 23117 23018 shape (OrthoPolyLine … … 23127 23028 ) 23128 23029 start &30 23129 end &74 623030 end &745 23130 23031 sat 32 23131 23032 eat 32 … … 23149 23050 on &156 23150 23051 ) 23151 *83 4(Wire23052 *831 (Wire 23152 23053 uid 2600,0 23153 23054 shape (OrthoPolyLine … … 23163 23064 ) 23164 23065 start &31 23165 end &7 5023066 end &749 23166 23067 sat 32 23167 23068 eat 32 … … 23185 23086 on &157 23186 23087 ) 23187 *83 5(Wire23088 *832 (Wire 23188 23089 uid 2642,0 23189 23090 shape (OrthoPolyLine … … 23200 23101 ) 23201 23102 start &33 23202 end &75 123103 end &750 23203 23104 sat 32 23204 23105 eat 32 … … 23223 23124 on &158 23224 23125 ) 23225 *83 6(Wire23126 *833 (Wire 23226 23127 uid 2778,0 23227 23128 shape (OrthoPolyLine … … 23261 23162 on &159 23262 23163 ) 23263 *83 7(Wire23164 *834 (Wire 23264 23165 uid 2786,0 23265 23166 shape (OrthoPolyLine … … 23301 23202 on &181 23302 23203 ) 23303 *83 8(Wire23204 *835 (Wire 23304 23205 uid 3984,0 23305 23206 optionalChildren [ 23306 *83 9(BdJunction23207 *836 (BdJunction 23307 23208 uid 19235,0 23308 23209 ps "OnConnectorStrategy" … … 23330 23231 ) 23331 23232 start &176 23332 end &30 323233 end &302 23333 23234 sat 32 23334 23235 eat 32 … … 23354 23255 on &175 23355 23256 ) 23356 *8 40(Wire23257 *837 (Wire 23357 23258 uid 4042,0 23358 23259 shape (OrthoPolyLine … … 23368 23269 ) 23369 23270 start &1 23370 end &30 223271 end &301 23371 23272 sat 32 23372 23273 eat 32 … … 23392 23293 on &180 23393 23294 ) 23394 *8 41(Wire23295 *838 (Wire 23395 23296 uid 4226,0 23396 23297 shape (OrthoPolyLine … … 23407 23308 ) 23408 23309 start &179 23409 end & 30023310 end &299 23410 23311 sat 32 23411 23312 eat 32 … … 23431 23332 on &177 23432 23333 ) 23433 *8 42(Wire23334 *839 (Wire 23434 23335 uid 4240,0 23435 23336 shape (OrthoPolyLine … … 23470 23371 on &177 23471 23372 ) 23472 *84 3(Wire23373 *840 (Wire 23473 23374 uid 4272,0 23474 23375 shape (OrthoPolyLine … … 23508 23409 on &182 23509 23410 ) 23510 *84 4(Wire23411 *841 (Wire 23511 23412 uid 4401,0 23512 23413 shape (OrthoPolyLine … … 23546 23447 on &184 23547 23448 ) 23548 *84 5(Wire23449 *842 (Wire 23549 23450 uid 4407,0 23550 23451 shape (OrthoPolyLine … … 23584 23485 on &185 23585 23486 ) 23586 *84 6(Wire23487 *843 (Wire 23587 23488 uid 4419,0 23588 23489 shape (OrthoPolyLine … … 23623 23524 on &186 23624 23525 ) 23625 *84 7(Wire23526 *844 (Wire 23626 23527 uid 4537,0 23627 23528 shape (OrthoPolyLine … … 23663 23564 on &187 23664 23565 ) 23665 *84 8(Wire23566 *845 (Wire 23666 23567 uid 4545,0 23667 23568 shape (OrthoPolyLine … … 23676 23577 ] 23677 23578 ) 23678 start &26 923579 start &268 23679 23580 end &190 23680 23581 sat 32 … … 23700 23601 on &188 23701 23602 ) 23702 *84 9(Wire23603 *846 (Wire 23703 23604 uid 4671,0 23704 23605 shape (OrthoPolyLine … … 23738 23639 on &191 23739 23640 ) 23740 *8 50(Wire23641 *847 (Wire 23741 23642 uid 4679,0 23742 23643 shape (OrthoPolyLine … … 23776 23677 on &192 23777 23678 ) 23778 *8 51(Wire23679 *848 (Wire 23779 23680 uid 4687,0 23780 23681 shape (OrthoPolyLine … … 23814 23715 on &193 23815 23716 ) 23816 *8 52(Wire23717 *849 (Wire 23817 23718 uid 4695,0 23818 23719 shape (OrthoPolyLine … … 23852 23753 on &194 23853 23754 ) 23854 *85 3(Wire23755 *850 (Wire 23855 23756 uid 4743,0 23856 23757 shape (OrthoPolyLine … … 23890 23791 on &199 23891 23792 ) 23892 *85 4(Wire23793 *851 (Wire 23893 23794 uid 4948,0 23894 23795 shape (OrthoPolyLine … … 23928 23829 on &220 23929 23830 ) 23930 *85 5(Wire23831 *852 (Wire 23931 23832 uid 4962,0 23932 23833 shape (OrthoPolyLine … … 23941 23842 ] 23942 23843 ) 23943 start &31 823844 start &317 23944 23845 end &223 23945 23846 sat 32 … … 23966 23867 on &222 23967 23868 ) 23968 *85 6(Wire23869 *853 (Wire 23969 23870 uid 5222,0 23970 23871 shape (OrthoPolyLine … … 24006 23907 on &224 24007 23908 ) 24008 *85 7(Wire23909 *854 (Wire 24009 23910 uid 5474,0 24010 23911 shape (OrthoPolyLine … … 24043 23944 on &225 24044 23945 ) 24045 *85 8(Wire23946 *855 (Wire 24046 23947 uid 5480,0 24047 23948 shape (OrthoPolyLine … … 24080 23981 on &226 24081 23982 ) 24082 *85 9(Wire23983 *856 (Wire 24083 23984 uid 5602,0 24084 23985 shape (OrthoPolyLine … … 24117 24018 on &178 24118 24019 ) 24119 *8 60(Wire24020 *857 (Wire 24120 24021 uid 5626,0 24121 24022 shape (OrthoPolyLine … … 24153 24054 on &228 24154 24055 ) 24155 *8 61(Wire24056 *858 (Wire 24156 24057 uid 5634,0 24157 24058 shape (OrthoPolyLine … … 24191 24092 on &227 24192 24093 ) 24193 *8 62(Wire24094 *859 (Wire 24194 24095 uid 5646,0 24195 24096 shape (OrthoPolyLine … … 24227 24128 on &175 24228 24129 ) 24229 *86 3(Wire24130 *860 (Wire 24230 24131 uid 5805,0 24231 24132 shape (OrthoPolyLine … … 24261 24162 on &177 24262 24163 ) 24263 *86 4(Wire24164 *861 (Wire 24264 24165 uid 5813,0 24265 24166 shape (OrthoPolyLine … … 24275 24176 ) 24276 24177 start &239 24277 end &2 6024178 end &259 24278 24179 ss 0 24279 24180 sat 32 … … 24298 24199 ) 24299 24200 ) 24300 on &25 624301 ) 24302 *86 5(Wire24201 on &255 24202 ) 24203 *862 (Wire 24303 24204 uid 5821,0 24304 24205 shape (OrthoPolyLine … … 24314 24215 ) 24315 24216 start &249 24316 end &26 124217 end &260 24317 24218 sat 32 24318 24219 eat 32 … … 24336 24237 ) 24337 24238 ) 24338 on &25 724339 ) 24340 *86 6(Wire24239 on &256 24240 ) 24241 *863 (Wire 24341 24242 uid 5829,0 24342 24243 shape (OrthoPolyLine … … 24352 24253 ) 24353 24254 start &245 24354 end &26 224255 end &261 24355 24256 sat 32 24356 24257 eat 32 … … 24374 24275 ) 24375 24276 ) 24376 on &25 824377 ) 24378 *86 7(Wire24277 on &257 24278 ) 24279 *864 (Wire 24379 24280 uid 5837,0 24380 24281 shape (OrthoPolyLine … … 24391 24292 ) 24392 24293 start &246 24393 end &26 324294 end &262 24394 24295 sat 32 24395 24296 eat 32 … … 24414 24315 ) 24415 24316 ) 24416 on &25 924417 ) 24418 *86 8(Wire24317 on &258 24318 ) 24319 *865 (Wire 24419 24320 uid 6064,0 24420 24321 shape (OrthoPolyLine … … 24449 24350 ) 24450 24351 ) 24451 on &56 924452 ) 24453 *86 9(Wire24352 on &568 24353 ) 24354 *866 (Wire 24454 24355 uid 6072,0 24455 24356 shape (OrthoPolyLine … … 24465 24366 ] 24466 24367 ) 24467 start &30 124368 start &300 24468 24369 sat 32 24469 24370 eat 16 … … 24488 24389 on &178 24489 24390 ) 24490 *8 70(Wire24391 *867 (Wire 24491 24392 uid 6160,0 24492 24393 shape (OrthoPolyLine … … 24502 24403 ) 24503 24404 start &248 24504 end &26 524405 end &264 24505 24406 sat 32 24506 24407 eat 32 … … 24524 24425 ) 24525 24426 ) 24526 on &26 424527 ) 24528 *8 71(Wire24427 on &263 24428 ) 24429 *868 (Wire 24529 24430 uid 6276,0 24530 24431 shape (OrthoPolyLine … … 24560 24461 on &175 24561 24462 ) 24562 *8 72(Wire24463 *869 (Wire 24563 24464 uid 6362,0 24564 24465 shape (OrthoPolyLine … … 24574 24475 ] 24575 24476 ) 24576 start &68 424577 end &26 724477 start &683 24478 end &266 24578 24479 sat 32 24579 24480 eat 32 … … 24598 24499 ) 24599 24500 ) 24600 on &26 624601 ) 24602 *87 3(Wire24501 on &265 24502 ) 24503 *870 (Wire 24603 24504 uid 6452,0 24604 24505 shape (OrthoPolyLine … … 24634 24535 ) 24635 24536 ) 24636 on &6 5024637 ) 24638 *87 4(Wire24537 on &649 24538 ) 24539 *871 (Wire 24639 24540 uid 6540,0 24640 24541 shape (OrthoPolyLine … … 24649 24550 ] 24650 24551 ) 24651 start &27 224652 end &41 124552 start &271 24553 end &410 24653 24554 sat 32 24654 24555 eat 32 … … 24671 24572 ) 24672 24573 ) 24673 on &67 124674 ) 24675 *87 5(Wire24574 on &670 24575 ) 24576 *872 (Wire 24676 24577 uid 6548,0 24677 24578 shape (OrthoPolyLine … … 24690 24591 ] 24691 24592 ) 24692 start &65 324693 end &27 424593 start &652 24594 end &273 24694 24595 ss 0 24695 24596 es 0 … … 24714 24615 ) 24715 24616 ) 24716 on &65 124717 ) 24718 *87 6(Wire24617 on &650 24618 ) 24619 *873 (Wire 24719 24620 uid 8752,0 24720 24621 shape (OrthoPolyLine … … 24749 24650 ) 24750 24651 ) 24751 on &29 724752 ) 24753 *87 7(Wire24652 on &296 24653 ) 24654 *874 (Wire 24754 24655 uid 9006,0 24755 24656 shape (OrthoPolyLine … … 24785 24686 ) 24786 24687 ) 24787 on &29 824788 ) 24789 *87 8(Wire24688 on &297 24689 ) 24690 *875 (Wire 24790 24691 uid 9233,0 24791 24692 shape (OrthoPolyLine … … 24820 24721 ) 24821 24722 ) 24822 on &31 324823 ) 24824 *87 9(Wire24723 on &312 24724 ) 24725 *876 (Wire 24825 24726 uid 9241,0 24826 24727 shape (OrthoPolyLine … … 24855 24756 ) 24856 24757 ) 24857 on &31 424858 ) 24859 *8 80(Wire24758 on &313 24759 ) 24760 *877 (Wire 24860 24761 uid 9253,0 24861 24762 shape (OrthoPolyLine … … 24870 24771 ] 24871 24772 ) 24872 end &30 424773 end &303 24873 24774 sat 16 24874 24775 eat 32 … … 24889 24790 ) 24890 24791 ) 24891 on &31 324892 ) 24893 *8 81(Wire24792 on &312 24793 ) 24794 *878 (Wire 24894 24795 uid 9261,0 24895 24796 shape (OrthoPolyLine … … 24904 24805 ] 24905 24806 ) 24906 end &30 524807 end &304 24907 24808 sat 16 24908 24809 eat 32 … … 24923 24824 ) 24924 24825 ) 24925 on &31 424926 ) 24927 *8 82(Wire24826 on &313 24827 ) 24828 *879 (Wire 24928 24829 uid 9943,0 24929 24830 shape (OrthoPolyLine … … 24958 24859 ) 24959 24860 ) 24960 on &31 524961 ) 24962 *88 3(Wire24861 on &314 24862 ) 24863 *880 (Wire 24963 24864 uid 9951,0 24964 24865 shape (OrthoPolyLine … … 24993 24894 ) 24994 24895 ) 24995 on &31 624996 ) 24997 *88 4(Wire24896 on &315 24897 ) 24898 *881 (Wire 24998 24899 uid 10010,0 24999 24900 shape (OrthoPolyLine … … 25011 24912 ) 25012 24913 start &211 25013 end &32 124914 end &320 25014 24915 sat 32 25015 24916 eat 32 … … 25031 24932 ) 25032 24933 ) 25033 on &33 525034 ) 25035 *88 5(Wire24934 on &334 24935 ) 24936 *882 (Wire 25036 24937 uid 10018,0 25037 24938 shape (OrthoPolyLine … … 25046 24947 ] 25047 24948 ) 25048 end &32 324949 end &322 25049 24950 sat 16 25050 24951 eat 32 … … 25067 24968 ) 25068 24969 ) 25069 on &31 625070 ) 25071 *88 6(Wire24970 on &315 24971 ) 24972 *883 (Wire 25072 24973 uid 10036,0 25073 24974 shape (OrthoPolyLine … … 25082 24983 ] 25083 24984 ) 25084 end &30 624985 end &305 25085 24986 sat 16 25086 24987 eat 32 … … 25101 25002 ) 25102 25003 ) 25103 on &31 525104 ) 25105 *88 7(Wire25004 on &314 25005 ) 25006 *884 (Wire 25106 25007 uid 10266,0 25107 25008 shape (OrthoPolyLine … … 25136 25037 ) 25137 25038 ) 25138 on &33 625139 ) 25140 *88 8(Wire25039 on &335 25040 ) 25041 *885 (Wire 25141 25042 uid 10298,0 25142 25043 shape (OrthoPolyLine … … 25172 25073 ) 25173 25074 ) 25174 on &33 725175 ) 25176 *88 9(Wire25075 on &336 25076 ) 25077 *886 (Wire 25177 25078 uid 10304,0 25178 25079 shape (OrthoPolyLine … … 25208 25109 ) 25209 25110 ) 25210 on &33 825211 ) 25212 *8 90(Wire25111 on &337 25112 ) 25113 *887 (Wire 25213 25114 uid 10310,0 25214 25115 shape (OrthoPolyLine … … 25244 25145 ) 25245 25146 ) 25246 on &33 925247 ) 25248 *8 91(Wire25147 on &338 25148 ) 25149 *888 (Wire 25249 25150 uid 10316,0 25250 25151 shape (OrthoPolyLine … … 25282 25183 ) 25283 25184 ) 25284 on &3 4025285 ) 25286 *8 92(Wire25185 on &339 25186 ) 25187 *889 (Wire 25287 25188 uid 10322,0 25288 25189 shape (OrthoPolyLine … … 25298 25199 ) 25299 25200 start &213 25300 end &34 225201 end &341 25301 25202 sat 32 25302 25203 eat 32 … … 25320 25221 ) 25321 25222 ) 25322 on &34 125323 ) 25324 *89 3(Wire25223 on &340 25224 ) 25225 *890 (Wire 25325 25226 uid 10431,0 25326 25227 shape (OrthoPolyLine … … 25335 25236 ] 25336 25237 ) 25337 end &34 925238 end &348 25338 25239 es 0 25339 25240 sat 16 … … 25357 25258 ) 25358 25259 ) 25359 on &57 325360 ) 25361 *89 4(Wire25260 on &572 25261 ) 25262 *891 (Wire 25362 25263 uid 10629,0 25363 25264 shape (OrthoPolyLine … … 25392 25293 ) 25393 25294 ) 25394 on &36 625395 ) 25396 *89 5(Wire25295 on &365 25296 ) 25297 *892 (Wire 25397 25298 uid 10637,0 25398 25299 shape (OrthoPolyLine … … 25427 25328 ) 25428 25329 ) 25429 on &36 725430 ) 25431 *89 6(Wire25330 on &366 25331 ) 25332 *893 (Wire 25432 25333 uid 10685,0 25433 25334 shape (OrthoPolyLine … … 25442 25343 ] 25443 25344 ) 25444 end &3 8025345 end &379 25445 25346 sat 16 25446 25347 eat 32 … … 25462 25363 ) 25463 25364 ) 25464 on &36 725465 ) 25466 *89 7(Wire25365 on &366 25366 ) 25367 *894 (Wire 25467 25368 uid 10691,0 25468 25369 shape (OrthoPolyLine … … 25477 25378 ] 25478 25379 ) 25479 end &38 125380 end &380 25480 25381 sat 16 25481 25382 eat 32 … … 25497 25398 ) 25498 25399 ) 25499 on &36 625500 ) 25501 *89 8(Wire25400 on &365 25401 ) 25402 *895 (Wire 25502 25403 uid 10699,0 25503 25404 shape (OrthoPolyLine … … 25513 25414 ] 25514 25415 ) 25515 end &37 525416 end &374 25516 25417 sat 16 25517 25418 eat 32 … … 25535 25436 on &177 25536 25437 ) 25537 *89 9(Wire25438 *896 (Wire 25538 25439 uid 10707,0 25539 25440 shape (OrthoPolyLine … … 25548 25449 ] 25549 25450 ) 25550 end &37 925451 end &378 25551 25452 sat 16 25552 25453 eat 32 … … 25568 25469 ) 25569 25470 ) 25570 on &38 825571 ) 25572 * 900(Wire25471 on &387 25472 ) 25473 *897 (Wire 25573 25474 uid 10723,0 25574 25475 shape (OrthoPolyLine … … 25583 25484 ] 25584 25485 ) 25585 start &37 625586 end &36 925486 start &375 25487 end &368 25587 25488 sat 32 25588 25489 eat 32 … … 25606 25507 ) 25607 25508 ) 25608 on &36 825609 ) 25610 * 901(Wire25509 on &367 25510 ) 25511 *898 (Wire 25611 25512 uid 10737,0 25612 25513 shape (OrthoPolyLine … … 25621 25522 ] 25622 25523 ) 25623 start &37 725624 end &37 125524 start &376 25525 end &370 25625 25526 sat 32 25626 25527 eat 32 … … 25644 25545 ) 25645 25546 ) 25646 on &3 7025647 ) 25648 * 902(Wire25547 on &369 25548 ) 25549 *899 (Wire 25649 25550 uid 10751,0 25650 25551 shape (OrthoPolyLine … … 25659 25560 ] 25660 25561 ) 25661 start &37 825662 end &37 325562 start &377 25563 end &372 25663 25564 sat 32 25664 25565 eat 32 … … 25682 25583 ) 25683 25584 ) 25684 on &37 225685 ) 25686 *90 3(Wire25585 on &371 25586 ) 25587 *900 (Wire 25687 25588 uid 11405,0 25688 25589 shape (OrthoPolyLine … … 25718 25619 ) 25719 25620 ) 25720 on &38 825721 ) 25722 *90 4(Wire25621 on &387 25622 ) 25623 *901 (Wire 25723 25624 uid 11858,0 25724 25625 shape (OrthoPolyLine … … 25753 25654 ) 25754 25655 ) 25755 on &38 925756 ) 25757 *90 5(Wire25656 on &388 25657 ) 25658 *902 (Wire 25758 25659 uid 11952,0 25759 25660 shape (OrthoPolyLine … … 25768 25669 ] 25769 25670 ) 25770 end &39 625671 end &395 25771 25672 sat 16 25772 25673 eat 32 … … 25789 25690 ) 25790 25691 ) 25791 on &38 925792 ) 25793 *90 6(Wire25692 on &388 25693 ) 25694 *903 (Wire 25794 25695 uid 12306,0 25795 25696 shape (OrthoPolyLine … … 25804 25705 ] 25805 25706 ) 25806 start &34 625807 end &39 425707 start &345 25708 end &393 25808 25709 sat 32 25809 25710 eat 32 … … 25826 25727 ) 25827 25728 ) 25828 on &5 7025829 ) 25830 *90 7(Wire25729 on &569 25730 ) 25731 *904 (Wire 25831 25732 uid 12643,0 25832 25733 shape (OrthoPolyLine … … 25843 25744 ] 25844 25745 ) 25845 start &39 125846 end &40 925746 start &390 25747 end &408 25847 25748 sat 32 25848 25749 eat 32 … … 25865 25766 ) 25866 25767 ) 25867 on &57 125868 ) 25869 *90 8(Wire25768 on &570 25769 ) 25770 *905 (Wire 25870 25771 uid 12649,0 25871 25772 shape (OrthoPolyLine … … 25881 25782 ) 25882 25783 start &49 25883 end &41 225784 end &411 25884 25785 sat 32 25885 25786 eat 32 … … 25901 25802 ) 25902 25803 ) 25903 on &41 825904 ) 25905 *90 9(Wire25804 on &417 25805 ) 25806 *906 (Wire 25906 25807 uid 12655,0 25907 25808 shape (OrthoPolyLine … … 25918 25819 ) 25919 25820 start &50 25920 end &41 325821 end &412 25921 25822 sat 32 25922 25823 eat 32 … … 25938 25839 ) 25939 25840 ) 25940 on &41 925941 ) 25942 *9 10(Wire25841 on &418 25842 ) 25843 *907 (Wire 25943 25844 uid 12687,0 25944 25845 shape (OrthoPolyLine … … 25956 25857 ] 25957 25858 ) 25958 end &41 425859 end &413 25959 25860 sat 16 25960 25861 eat 32 … … 25978 25879 on &178 25979 25880 ) 25980 *9 11(Wire25881 *908 (Wire 25981 25882 uid 13143,0 25982 25883 shape (OrthoPolyLine … … 25991 25892 ] 25992 25893 ) 25993 end &42 125894 end &420 25994 25895 sat 16 25995 25896 eat 32 … … 26013 25914 on &178 26014 25915 ) 26015 *9 12(Wire25916 *909 (Wire 26016 25917 uid 13159,0 26017 25918 shape (OrthoPolyLine … … 26029 25930 ) 26030 25931 start &116 26031 end &42 225932 end &421 26032 25933 sat 32 26033 25934 eat 32 … … 26049 25950 ) 26050 25951 ) 26051 on &42 826052 ) 26053 *91 3(Wire25952 on &427 25953 ) 25954 *910 (Wire 26054 25955 uid 13210,0 26055 25956 shape (OrthoPolyLine … … 26064 25965 ] 26065 25966 ) 26066 start &42 426067 end &4 3025967 start &423 25968 end &429 26068 25969 sat 32 26069 25970 eat 32 … … 26085 25986 ) 26086 25987 ) 26087 on &57 226088 ) 26089 *91 4(Wire25988 on &571 25989 ) 25990 *911 (Wire 26090 25991 uid 13216,0 26091 25992 shape (OrthoPolyLine … … 26100 26001 ] 26101 26002 ) 26102 end &43 526003 end &434 26103 26004 sat 16 26104 26005 eat 32 … … 26121 26022 ) 26122 26023 ) 26123 on &33 626124 ) 26125 *91 5(Wire26024 on &335 26025 ) 26026 *912 (Wire 26126 26027 uid 13224,0 26127 26028 shape (OrthoPolyLine … … 26136 26037 ] 26137 26038 ) 26138 start &43 226039 start &431 26139 26040 sat 32 26140 26041 eat 16 … … 26157 26058 ) 26158 26059 ) 26159 on &57 326160 ) 26161 *91 6(Wire26060 on &572 26061 ) 26062 *913 (Wire 26162 26063 uid 13695,0 26163 26064 shape (OrthoPolyLine … … 26173 26074 ] 26174 26075 ) 26175 start &45 226076 start &451 26176 26077 end &118 26177 26078 sat 32 … … 26196 26097 ) 26197 26098 ) 26198 on &45 326199 ) 26200 *91 7(Wire26099 on &452 26100 ) 26101 *914 (Wire 26201 26102 uid 13921,0 26202 26103 shape (OrthoPolyLine … … 26235 26136 on &82 26236 26137 ) 26237 *91 8(Wire26138 *915 (Wire 26238 26139 uid 13929,0 26239 26140 shape (OrthoPolyLine … … 26272 26173 on &139 26273 26174 ) 26274 *91 9(Wire26175 *916 (Wire 26275 26176 uid 14048,0 26276 26177 shape (OrthoPolyLine … … 26285 26186 ] 26286 26187 ) 26287 start &45 426288 end &4 6026188 start &453 26189 end &459 26289 26190 sat 32 26290 26191 eat 32 … … 26307 26208 ) 26308 26209 ) 26309 on &45 526310 ) 26311 *9 20(Wire26210 on &454 26211 ) 26212 *917 (Wire 26312 26213 uid 14171,0 26313 26214 shape (OrthoPolyLine … … 26323 26224 ] 26324 26225 ) 26325 start &45 626326 end &58 126226 start &455 26227 end &580 26327 26228 sat 32 26328 26229 eat 32 … … 26346 26247 ) 26347 26248 ) 26348 on &45 726349 ) 26350 *9 21(Wire26249 on &456 26250 ) 26251 *918 (Wire 26351 26252 uid 14427,0 26352 26253 shape (OrthoPolyLine … … 26361 26262 ] 26362 26263 ) 26363 end &45 926264 end &458 26364 26265 sat 16 26365 26266 eat 32 … … 26383 26284 on &177 26384 26285 ) 26385 *9 22(Wire26286 *919 (Wire 26386 26287 uid 14479,0 26387 26288 shape (OrthoPolyLine … … 26396 26297 ] 26397 26298 ) 26398 start &46 226399 end &46 826299 start &461 26300 end &467 26400 26301 sat 32 26401 26302 eat 32 … … 26419 26320 ) 26420 26321 ) 26421 on &46 726422 ) 26423 *92 3(Wire26322 on &466 26323 ) 26324 *920 (Wire 26424 26325 uid 14493,0 26425 26326 shape (OrthoPolyLine … … 26434 26335 ] 26435 26336 ) 26436 start &46 326437 end &4 7026337 start &462 26338 end &469 26438 26339 sat 32 26439 26340 eat 32 … … 26457 26358 ) 26458 26359 ) 26459 on &46 926460 ) 26461 *92 4(Wire26360 on &468 26361 ) 26362 *921 (Wire 26462 26363 uid 14622,0 26463 26364 shape (OrthoPolyLine … … 26473 26374 ] 26474 26375 ) 26475 start &46 126476 end &47 226376 start &460 26377 end &471 26477 26378 sat 32 26478 26379 eat 32 … … 26497 26398 ) 26498 26399 ) 26499 on &47 126500 ) 26501 *92 5(Wire26400 on &470 26401 ) 26402 *922 (Wire 26502 26403 uid 15071,0 26503 26404 shape (OrthoPolyLine … … 26513 26414 ) 26514 26415 start &105 26515 end &47 726416 end &476 26516 26417 sat 32 26517 26418 eat 32 … … 26533 26434 ) 26534 26435 ) 26535 on &50 126536 ) 26537 *92 6(Wire26436 on &500 26437 ) 26438 *923 (Wire 26538 26439 uid 15081,0 26539 26440 shape (OrthoPolyLine … … 26548 26449 ] 26549 26450 ) 26550 start &47 926551 end &49 426451 start &478 26452 end &493 26552 26453 sat 32 26553 26454 eat 32 … … 26571 26472 ) 26572 26473 ) 26573 on &50 226574 ) 26575 *92 7(Wire26474 on &501 26475 ) 26476 *924 (Wire 26576 26477 uid 15130,0 26577 26478 shape (OrthoPolyLine … … 26586 26487 ] 26587 26488 ) 26588 end &49 226489 end &491 26589 26490 es 0 26590 26491 sat 16 … … 26608 26509 ) 26609 26510 ) 26610 on &46 926611 ) 26612 *92 8(Wire26511 on &468 26512 ) 26513 *925 (Wire 26613 26514 uid 15379,0 26614 26515 shape (OrthoPolyLine … … 26623 26524 ] 26624 26525 ) 26625 end &74 426526 end &743 26626 26527 sat 16 26627 26528 eat 32 … … 26645 26546 on &178 26646 26547 ) 26647 *92 9(Wire26548 *926 (Wire 26648 26549 uid 15494,0 26649 26550 optionalChildren [ 26650 *9 30(BdJunction26551 *927 (BdJunction 26651 26552 uid 15502,0 26652 26553 ps "OnConnectorStrategy" … … 26672 26573 ] 26673 26574 ) 26674 start &4 1026575 start &409 26675 26576 end &75 26676 26577 sat 32 … … 26693 26594 ) 26694 26595 ) 26695 on &50 326696 ) 26697 *9 31(Wire26596 on &502 26597 ) 26598 *928 (Wire 26698 26599 uid 15498,0 26699 26600 shape (OrthoPolyLine … … 26710 26611 ) 26711 26612 start &142 26712 end &9 3026613 end &927 26713 26614 sat 32 26714 26615 eat 32 … … 26732 26633 ) 26733 26634 ) 26734 on &50 326735 ) 26736 *9 32(Wire26635 on &502 26636 ) 26637 *929 (Wire 26737 26638 uid 15750,0 26738 26639 shape (OrthoPolyLine … … 26771 26672 ) 26772 26673 ) 26773 on &50 426774 ) 26775 *93 3(Wire26674 on &503 26675 ) 26676 *930 (Wire 26776 26677 uid 16371,0 26777 26678 shape (OrthoPolyLine … … 26808 26709 ) 26809 26710 ) 26810 on &50 526811 ) 26812 *93 4(Wire26711 on &504 26712 ) 26713 *931 (Wire 26813 26714 uid 16379,0 26814 26715 shape (OrthoPolyLine … … 26824 26725 ] 26825 26726 ) 26826 start &30 726727 start &306 26827 26728 sat 32 26828 26729 eat 16 … … 26845 26746 ) 26846 26747 ) 26847 on &50 526848 ) 26849 *93 5(Wire26748 on &504 26749 ) 26750 *932 (Wire 26850 26751 uid 16523,0 26851 26752 shape (OrthoPolyLine … … 26882 26783 ) 26883 26784 ) 26884 on &47 126885 ) 26886 *93 6(Wire26785 on &470 26786 ) 26787 *933 (Wire 26887 26788 uid 16531,0 26888 26789 shape (OrthoPolyLine … … 26917 26818 ) 26918 26819 ) 26919 on &46 726920 ) 26921 *93 7(Wire26820 on &466 26821 ) 26822 *934 (Wire 26922 26823 uid 16539,0 26923 26824 shape (OrthoPolyLine … … 26952 26853 ) 26953 26854 ) 26954 on &46 926955 ) 26956 *93 8(Wire26855 on &468 26856 ) 26857 *935 (Wire 26957 26858 uid 16547,0 26958 26859 shape (OrthoPolyLine … … 26968 26869 ] 26969 26870 ) 26970 start &50 826871 start &507 26971 26872 end &54 26972 26873 sat 32 … … 26990 26891 ) 26991 26892 ) 26992 on &51 326993 ) 26994 *93 9(Wire26893 on &512 26894 ) 26895 *936 (Wire 26995 26896 uid 16556,0 26996 26897 shape (OrthoPolyLine … … 27005 26906 ] 27006 26907 ) 27007 end &50 726908 end &506 27008 26909 sat 16 27009 26910 eat 32 … … 27026 26927 on &178 27027 26928 ) 27028 *9 40(Wire26929 *937 (Wire 27029 26930 uid 16564,0 27030 26931 shape (OrthoPolyLine … … 27039 26940 ] 27040 26941 ) 27041 start &50 926942 start &508 27042 26943 sat 32 27043 26944 eat 16 … … 27059 26960 ) 27060 26961 ) 27061 on &51 427062 ) 27063 *9 41(Wire26962 on &513 26963 ) 26964 *938 (Wire 27064 26965 uid 16877,0 27065 26966 shape (OrthoPolyLine … … 27074 26975 ] 27075 26976 ) 27076 end &51 626977 end &515 27077 26978 sat 16 27078 26979 eat 32 … … 27096 26997 on &177 27097 26998 ) 27098 *9 42(Wire26999 *939 (Wire 27099 27000 uid 16885,0 27100 27001 shape (OrthoPolyLine … … 27109 27010 ] 27110 27011 ) 27111 end &51 827012 end &517 27112 27013 sat 16 27113 27014 eat 32 … … 27129 27030 ) 27130 27031 ) 27131 on &50 327132 ) 27133 *94 3(Wire27032 on &502 27033 ) 27034 *940 (Wire 27134 27035 uid 16914,0 27135 27036 shape (OrthoPolyLine … … 27144 27045 ] 27145 27046 ) 27146 start &52 127147 end &52 627047 start &520 27048 end &525 27148 27049 sat 32 27149 27050 eat 32 … … 27165 27066 ) 27166 27067 ) 27167 on &53 427168 ) 27169 *94 4(Wire27068 on &533 27069 ) 27070 *941 (Wire 27170 27071 uid 16939,0 27171 27072 shape (OrthoPolyLine … … 27180 27081 ] 27181 27082 ) 27182 start &5 2027183 end &53 627083 start &519 27084 end &535 27184 27085 sat 32 27185 27086 eat 32 … … 27201 27102 ) 27202 27103 ) 27203 on &54 327204 ) 27205 *94 5(Wire27104 on &542 27105 ) 27106 *942 (Wire 27206 27107 uid 16945,0 27207 27108 shape (OrthoPolyLine … … 27217 27118 ] 27218 27119 ) 27219 start &51 727120 start &516 27220 27121 sat 32 27221 27122 eat 16 … … 27238 27139 ) 27239 27140 ) 27240 on &54 427241 ) 27242 *94 6(Wire27141 on &543 27142 ) 27143 *943 (Wire 27243 27144 uid 16955,0 27244 27145 shape (OrthoPolyLine … … 27275 27176 ) 27276 27177 ) 27277 on &54 427278 ) 27279 *94 7(Wire27178 on &543 27179 ) 27180 *944 (Wire 27280 27181 uid 17003,0 27281 27182 shape (OrthoPolyLine … … 27291 27192 ] 27292 27193 ) 27293 start &70 627194 start &705 27294 27195 ss 0 27295 27196 sat 32 … … 27313 27214 ) 27314 27215 ) 27315 on &54 527316 ) 27317 *94 8(Wire27216 on &544 27217 ) 27218 *945 (Wire 27318 27219 uid 17011,0 27319 27220 shape (OrthoPolyLine … … 27350 27251 ) 27351 27252 ) 27352 on &54 527353 ) 27354 *94 9(Wire27253 on &544 27254 ) 27255 *946 (Wire 27355 27256 uid 17019,0 27356 27257 shape (OrthoPolyLine … … 27365 27266 ] 27366 27267 ) 27367 start &70 727268 start &706 27368 27269 sat 32 27369 27270 eat 16 … … 27385 27286 ) 27386 27287 ) 27387 on &54 627388 ) 27389 *9 50(Wire27288 on &545 27289 ) 27290 *947 (Wire 27390 27291 uid 17027,0 27391 27292 shape (OrthoPolyLine … … 27420 27321 ) 27421 27322 ) 27422 on &54 627423 ) 27424 *9 51(Wire27323 on &545 27324 ) 27325 *948 (Wire 27425 27326 uid 17393,0 27426 27327 shape (OrthoPolyLine … … 27439 27340 ) 27440 27341 start &117 27441 end &42 327342 end &422 27442 27343 sat 32 27443 27344 eat 32 … … 27460 27361 ) 27461 27362 ) 27462 on &54 727463 ) 27464 *9 52(Wire27363 on &546 27364 ) 27365 *949 (Wire 27465 27366 uid 17401,0 27466 27367 shape (OrthoPolyLine … … 27497 27398 ) 27498 27399 ) 27499 on &54 727500 ) 27501 *95 3(Wire27400 on &546 27401 ) 27402 *950 (Wire 27502 27403 uid 18081,0 27503 27404 shape (OrthoPolyLine … … 27534 27435 on &178 27535 27436 ) 27536 *95 4(Wire27437 *951 (Wire 27537 27438 uid 18093,0 27538 27439 shape (OrthoPolyLine … … 27547 27448 ] 27548 27449 ) 27549 end &29 127450 end &290 27550 27451 sat 16 27551 27452 eat 32 … … 27568 27469 on &177 27569 27470 ) 27570 *95 5(Wire27471 *952 (Wire 27571 27472 uid 18101,0 27572 27473 shape (OrthoPolyLine … … 27581 27482 ] 27582 27483 ) 27583 end &28 727484 end &286 27584 27485 sat 16 27585 27486 eat 32 … … 27602 27503 on &178 27603 27504 ) 27604 *95 6(Wire27505 *953 (Wire 27605 27506 uid 18459,0 27606 27507 shape (OrthoPolyLine … … 27615 27516 ] 27616 27517 ) 27617 start &75 927518 start &758 27618 27519 end &127 27619 27520 es 0 … … 27637 27538 ) 27638 27539 ) 27639 on &54 827640 ) 27641 *95 7(Wire27540 on &547 27541 ) 27542 *954 (Wire 27642 27543 uid 18974,0 27643 27544 shape (OrthoPolyLine … … 27652 27553 ] 27653 27554 ) 27654 start &55 227655 end &54 927555 start &551 27556 end &548 27656 27557 ss 0 27657 27558 sat 32 … … 27675 27576 ) 27676 27577 ) 27677 on &5 5027678 ) 27679 *95 8(Wire27578 on &549 27579 ) 27580 *955 (Wire 27680 27581 uid 19231,0 27681 27582 shape (OrthoPolyLine … … 27691 27592 ] 27692 27593 ) 27693 start &55 727694 end &83 927594 start &556 27595 end &836 27695 27596 ss 0 27696 27597 sat 32 … … 27716 27617 on &175 27717 27618 ) 27718 *95 9(Wire27619 *956 (Wire 27719 27620 uid 19276,0 27720 27621 shape (OrthoPolyLine … … 27729 27630 ] 27730 27631 ) 27731 end &55 527632 end &554 27732 27633 sat 16 27733 27634 eat 32 … … 27750 27651 ) 27751 27652 ) 27752 on &29 827753 ) 27754 *9 60(Wire27653 on &297 27654 ) 27655 *957 (Wire 27755 27656 uid 20153,0 27756 27657 shape (OrthoPolyLine … … 27785 27686 ) 27786 27687 ) 27787 on &56 927788 ) 27789 *9 61(Wire27688 on &568 27689 ) 27690 *958 (Wire 27790 27691 uid 20923,0 27791 27692 shape (OrthoPolyLine … … 27820 27721 ) 27821 27722 ) 27822 on &57 427823 ) 27824 *9 62(Wire27723 on &573 27724 ) 27725 *959 (Wire 27825 27726 uid 20931,0 27826 27727 shape (OrthoPolyLine … … 27855 27756 ) 27856 27757 ) 27857 on &57 427858 ) 27859 *96 3(Wire27758 on &573 27759 ) 27760 *960 (Wire 27860 27761 uid 20939,0 27861 27762 shape (OrthoPolyLine … … 27890 27791 ) 27891 27792 ) 27892 on &57 527893 ) 27894 *96 4(Wire27793 on &574 27794 ) 27795 *961 (Wire 27895 27796 uid 20945,0 27896 27797 shape (OrthoPolyLine … … 27905 27806 ] 27906 27807 ) 27907 end &74 727808 end &746 27908 27809 sat 16 27909 27810 eat 32 … … 27925 27826 ) 27926 27827 ) 27927 on &57 527928 ) 27929 *96 5(Wire27828 on &574 27829 ) 27830 *962 (Wire 27930 27831 uid 20953,0 27931 27832 shape (OrthoPolyLine … … 27960 27861 ) 27961 27862 ) 27962 on &57 527963 ) 27964 *96 6(Wire27863 on &574 27864 ) 27865 *963 (Wire 27965 27866 uid 20987,0 27966 27867 shape (OrthoPolyLine … … 27995 27896 ) 27996 27897 ) 27997 on &57 627998 ) 27999 *96 7(Wire27898 on &575 27899 ) 27900 *964 (Wire 28000 27901 uid 21003,0 28001 27902 shape (OrthoPolyLine … … 28030 27931 ) 28031 27932 ) 28032 on &57 728033 ) 28034 *96 8(Wire27933 on &576 27934 ) 27935 *965 (Wire 28035 27936 uid 21011,0 28036 27937 shape (OrthoPolyLine … … 28065 27966 ) 28066 27967 ) 28067 on &57 828068 ) 28069 *96 9(Wire27968 on &577 27969 ) 27970 *966 (Wire 28070 27971 uid 21027,0 28071 27972 shape (OrthoPolyLine … … 28100 28001 ) 28101 28002 ) 28102 on &57 928103 ) 28104 *9 70(Wire28003 on &578 28004 ) 28005 *967 (Wire 28105 28006 uid 21049,0 28106 28007 shape (OrthoPolyLine … … 28135 28036 ) 28136 28037 ) 28137 on &57 828138 ) 28139 *9 71(Wire28038 on &577 28039 ) 28040 *968 (Wire 28140 28041 uid 21061,0 28141 28042 shape (OrthoPolyLine … … 28170 28071 ) 28171 28072 ) 28172 on &57 928173 ) 28174 *9 72(Wire28073 on &578 28074 ) 28075 *969 (Wire 28175 28076 uid 21067,0 28176 28077 shape (OrthoPolyLine … … 28185 28086 ] 28186 28087 ) 28187 start &74 528088 start &744 28188 28089 sat 32 28189 28090 eat 16 … … 28205 28106 ) 28206 28107 ) 28207 on &57 628208 ) 28209 *97 3(Wire28108 on &575 28109 ) 28110 *970 (Wire 28210 28111 uid 21083,0 28211 28112 shape (OrthoPolyLine … … 28220 28121 ] 28221 28122 ) 28222 end &74 928123 end &748 28223 28124 sat 16 28224 28125 eat 32 … … 28240 28141 ) 28241 28142 ) 28242 on &57 728243 ) 28244 *97 4(Wire28143 on &576 28144 ) 28145 *971 (Wire 28245 28146 uid 21559,0 28246 28147 shape (OrthoPolyLine … … 28276 28177 ) 28277 28178 ) 28278 on &29 728279 ) 28280 *97 5(Wire28179 on &296 28180 ) 28181 *972 (Wire 28281 28182 uid 21768,0 28282 28183 shape (OrthoPolyLine … … 28313 28214 ) 28314 28215 ) 28315 on &45 728316 ) 28317 *97 6(Wire28216 on &456 28217 ) 28218 *973 (Wire 28318 28219 uid 21917,0 28319 28220 shape (OrthoPolyLine … … 28328 28229 ] 28329 28230 ) 28330 start &59 228331 end &6 1028231 start &591 28232 end &609 28332 28233 sat 32 28333 28234 eat 32 … … 28351 28252 ) 28352 28253 ) 28353 on &62 128354 ) 28355 *97 7(Wire28254 on &620 28255 ) 28256 *974 (Wire 28356 28257 uid 21923,0 28357 28258 shape (OrthoPolyLine … … 28366 28267 ] 28367 28268 ) 28368 start &59 328369 end &61 228269 start &592 28270 end &611 28370 28271 sat 32 28371 28272 eat 32 … … 28389 28290 ) 28390 28291 ) 28391 on &62 228392 ) 28393 *97 8(Wire28292 on &621 28293 ) 28294 *975 (Wire 28394 28295 uid 21929,0 28395 28296 shape (OrthoPolyLine … … 28404 28305 ] 28405 28306 ) 28406 start &59 428407 end &61 428307 start &593 28308 end &613 28408 28309 sat 32 28409 28310 eat 32 … … 28427 28328 ) 28428 28329 ) 28429 on &62 328430 ) 28431 *97 9(Wire28330 on &622 28331 ) 28332 *976 (Wire 28432 28333 uid 21935,0 28433 28334 shape (OrthoPolyLine … … 28442 28343 ] 28443 28344 ) 28444 start &59 528445 end &61 628345 start &594 28346 end &615 28446 28347 sat 32 28447 28348 eat 32 … … 28465 28366 ) 28466 28367 ) 28467 on &62 428468 ) 28469 *9 80(Wire28368 on &623 28369 ) 28370 *977 (Wire 28470 28371 uid 21986,0 28471 28372 shape (OrthoPolyLine … … 28480 28381 ] 28481 28382 ) 28482 start & 60028483 end &64 128383 start &599 28384 end &640 28484 28385 es 0 28485 28386 sat 32 … … 28504 28405 ) 28505 28406 ) 28506 on &64 828507 ) 28508 *9 81(Wire28407 on &647 28408 ) 28409 *978 (Wire 28509 28410 uid 21992,0 28510 28411 shape (OrthoPolyLine … … 28523 28424 ] 28524 28425 ) 28525 start &67 328526 end &64 328426 start &672 28427 end &642 28527 28428 ss 0 28528 28429 sat 32 … … 28546 28447 ) 28547 28448 ) 28548 on &64 928549 ) 28550 *9 82(Wire28449 on &648 28450 ) 28451 *979 (Wire 28551 28452 uid 22068,0 28552 28453 shape (OrthoPolyLine … … 28563 28464 ] 28564 28465 ) 28565 end &65 628466 end &655 28566 28467 sat 16 28567 28468 eat 32 … … 28584 28485 ) 28585 28486 ) 28586 on &6 5028587 ) 28588 *98 3(Wire28487 on &649 28488 ) 28489 *980 (Wire 28589 28490 uid 22127,0 28590 28491 shape (OrthoPolyLine … … 28599 28500 ] 28600 28501 ) 28601 start &62 628602 end &65 828502 start &625 28503 end &657 28603 28504 sat 32 28604 28505 eat 32 … … 28622 28523 ) 28623 28524 ) 28624 on &6 7028625 ) 28626 *98 4(Wire28525 on &669 28526 ) 28527 *981 (Wire 28627 28528 uid 22352,0 28628 28529 shape (OrthoPolyLine … … 28637 28538 ] 28638 28539 ) 28639 end &38 428540 end &383 28640 28541 es 0 28641 28542 sat 16 … … 28659 28560 ) 28660 28561 ) 28661 on &46 928662 ) 28663 *98 5(Wire28562 on &468 28563 ) 28564 *982 (Wire 28664 28565 uid 22360,0 28665 28566 shape (OrthoPolyLine … … 28674 28575 ] 28675 28576 ) 28676 end &38 328577 end &382 28677 28578 es 0 28678 28579 sat 16 … … 28696 28597 ) 28697 28598 ) 28698 on &46 728699 ) 28700 *98 6(Wire28599 on &466 28600 ) 28601 *983 (Wire 28701 28602 uid 23047,0 28702 28603 shape (OrthoPolyLine … … 28712 28613 ] 28713 28614 ) 28714 start &47 428715 end &68 228615 start &473 28616 end &681 28716 28617 sat 32 28717 28618 eat 32 … … 28734 28635 ) 28735 28636 ) 28736 on &69 428737 ) 28738 *98 7(Wire28637 on &693 28638 ) 28639 *984 (Wire 28739 28640 uid 23055,0 28740 28641 shape (OrthoPolyLine … … 28771 28672 ) 28772 28673 ) 28773 on &69 428774 ) 28775 *98 8(Wire28674 on &693 28675 ) 28676 *985 (Wire 28776 28677 uid 23063,0 28777 28678 shape (OrthoPolyLine … … 28807 28708 ) 28808 28709 ) 28809 on &6 5028810 ) 28811 *98 9(Wire28710 on &649 28711 ) 28712 *986 (Wire 28812 28713 uid 23343,0 28813 28714 shape (OrthoPolyLine … … 28842 28743 ) 28843 28744 ) 28844 on &69 528845 ) 28846 *9 90(Wire28745 on &694 28746 ) 28747 *987 (Wire 28847 28748 uid 23351,0 28848 28749 shape (OrthoPolyLine … … 28877 28778 ) 28878 28779 ) 28879 on &69 628880 ) 28881 *9 91(Wire28780 on &695 28781 ) 28782 *988 (Wire 28882 28783 uid 23357,0 28883 28784 shape (OrthoPolyLine … … 28892 28793 ] 28893 28794 ) 28894 start &30 928795 start &308 28895 28796 sat 32 28896 28797 eat 16 … … 28912 28813 ) 28913 28814 ) 28914 on &69 628915 ) 28916 *9 92(Wire28815 on &695 28816 ) 28817 *989 (Wire 28917 28818 uid 23365,0 28918 28819 shape (OrthoPolyLine … … 28927 28828 ] 28928 28829 ) 28929 start &30 828830 start &307 28930 28831 sat 32 28931 28832 eat 16 … … 28947 28848 ) 28948 28849 ) 28949 on &69 528950 ) 28951 *99 3(Wire28850 on &694 28851 ) 28852 *990 (Wire 28952 28853 uid 23600,0 28953 28854 shape (OrthoPolyLine … … 28982 28883 ) 28983 28884 ) 28984 on &29 728985 ) 28986 *99 4(Wire28885 on &296 28886 ) 28887 *991 (Wire 28987 28888 uid 23833,0 28988 28889 shape (OrthoPolyLine … … 29017 28918 ) 29018 28919 ) 29019 on &69 629020 ) 29021 *99 5(Wire28920 on &695 28921 ) 28922 *992 (Wire 29022 28923 uid 24078,0 29023 28924 shape (OrthoPolyLine … … 29032 28933 ] 29033 28934 ) 29034 start &77 529035 end &69 828935 start &774 28936 end &697 29036 28937 sat 32 29037 28938 eat 32 … … 29055 28956 ) 29056 28957 ) 29057 on &69 729058 ) 29059 *99 6(Wire28958 on &696 28959 ) 28960 *993 (Wire 29060 28961 uid 24646,0 29061 28962 shape (OrthoPolyLine … … 29070 28971 ] 29071 28972 ) 29072 start &70 329073 end &71 228973 start &702 28974 end &711 29074 28975 sat 32 29075 28976 eat 32 … … 29093 28994 ) 29094 28995 ) 29095 on &71 729096 ) 29097 *99 7(Wire28996 on &716 28997 ) 28998 *994 (Wire 29098 28999 uid 24660,0 29099 29000 shape (OrthoPolyLine … … 29108 29009 ] 29109 29010 ) 29110 start &71 329111 end &70 129011 start &712 29012 end &700 29112 29013 sat 32 29113 29014 eat 32 … … 29131 29032 ) 29132 29033 ) 29133 on &71 629134 ) 29135 *99 8(Wire29034 on &715 29035 ) 29036 *995 (Wire 29136 29037 uid 24674,0 29137 29038 shape (OrthoPolyLine … … 29146 29047 ] 29147 29048 ) 29148 start &70 229149 end &71 429049 start &701 29050 end &713 29150 29051 sat 32 29151 29052 eat 32 … … 29169 29070 ) 29170 29071 ) 29171 on &71 829172 ) 29173 *99 9(Wire29072 on &717 29073 ) 29074 *996 (Wire 29174 29075 uid 24688,0 29175 29076 shape (OrthoPolyLine … … 29184 29085 ] 29185 29086 ) 29186 start &70 429187 end &71 529087 start &703 29088 end &714 29188 29089 sat 32 29189 29090 eat 32 … … 29207 29108 ) 29208 29109 ) 29209 on &71 929210 ) 29211 * 1000(Wire29110 on &718 29111 ) 29112 *997 (Wire 29212 29113 uid 24708,0 29213 29114 shape (OrthoPolyLine … … 29222 29123 ] 29223 29124 ) 29224 end & 70029125 end &699 29225 29126 es 0 29226 29127 sat 16 … … 29245 29146 on &177 29246 29147 ) 29247 * 1001(Wire29148 *998 (Wire 29248 29149 uid 24724,0 29249 29150 shape (OrthoPolyLine … … 29258 29159 ] 29259 29160 ) 29260 start &70 529161 start &704 29261 29162 sat 32 29262 29163 eat 16 … … 29278 29179 ) 29279 29180 ) 29280 on &38 829281 ) 29282 * 1002(Wire29181 on &387 29182 ) 29183 *999 (Wire 29283 29184 uid 24738,0 29284 29185 shape (OrthoPolyLine … … 29293 29194 ] 29294 29195 ) 29295 start &70 829196 start &707 29296 29197 sat 32 29297 29198 eat 16 … … 29313 29214 ) 29314 29215 ) 29315 on &7 2029316 ) 29317 *100 3(Wire29216 on &719 29217 ) 29218 *1000 (Wire 29318 29219 uid 24750,0 29319 29220 shape (OrthoPolyLine … … 29349 29250 ) 29350 29251 ) 29351 on &7 2029352 ) 29353 *100 4(Wire29252 on &719 29253 ) 29254 *1001 (Wire 29354 29255 uid 25029,0 29355 29256 shape (OrthoPolyLine … … 29384 29285 ) 29385 29286 ) 29386 on &72 129387 ) 29388 *100 5(Wire29287 on &720 29288 ) 29289 *1002 (Wire 29389 29290 uid 25035,0 29390 29291 shape (OrthoPolyLine … … 29420 29321 ) 29421 29322 ) 29422 on &72 129423 ) 29424 *100 6(Wire29323 on &720 29324 ) 29325 *1003 (Wire 29425 29326 uid 25298,0 29426 29327 shape (OrthoPolyLine … … 29437 29338 ) 29438 29339 start &131 29439 end &72 229340 end &721 29440 29341 sat 32 29441 29342 eat 32 … … 29460 29361 ) 29461 29362 ) 29462 on &72 329463 ) 29464 *100 7(Wire29363 on &722 29364 ) 29365 *1004 (Wire 29465 29366 uid 25543,0 29466 29367 shape (OrthoPolyLine … … 29476 29377 ) 29477 29378 start &132 29478 end &72 529379 end &724 29479 29380 sat 32 29480 29381 eat 32 … … 29498 29399 ) 29499 29400 ) 29500 on &72 429501 ) 29502 *100 8(Wire29401 on &723 29402 ) 29403 *1005 (Wire 29503 29404 uid 25557,0 29504 29405 shape (OrthoPolyLine … … 29514 29415 ) 29515 29416 start &133 29516 end &72 729417 end &726 29517 29418 sat 32 29518 29419 eat 32 … … 29536 29437 ) 29537 29438 ) 29538 on &72 629539 ) 29540 *100 9(Wire29439 on &725 29440 ) 29441 *1006 (Wire 29541 29442 uid 25842,0 29542 29443 shape (OrthoPolyLine … … 29553 29454 ) 29554 29455 start &76 29555 end &72 929456 end &728 29556 29457 sat 32 29557 29458 eat 32 … … 29573 29474 ) 29574 29475 ) 29575 on &73 629576 ) 29577 *10 10(Wire29476 on &735 29477 ) 29478 *1007 (Wire 29578 29479 uid 26073,0 29579 29480 shape (OrthoPolyLine … … 29589 29490 ] 29590 29491 ) 29591 start &74 329592 end &73 729492 start &742 29493 end &736 29593 29494 sat 32 29594 29495 eat 32 … … 29613 29514 ) 29614 29515 ) 29615 on &73 829616 ) 29617 *10 11(Wire29516 on &737 29517 ) 29518 *1008 (Wire 29618 29519 uid 26336,0 29619 29520 shape (OrthoPolyLine … … 29648 29549 ) 29649 29550 ) 29650 on &73 929651 ) 29652 *10 12(Wire29551 on &738 29552 ) 29553 *1009 (Wire 29653 29554 uid 26344,0 29654 29555 shape (OrthoPolyLine … … 29665 29566 ) 29666 29567 start &78 29667 end &7 4029568 end &739 29668 29569 sat 32 29669 29570 eat 32 … … 29688 29589 ) 29689 29590 ) 29690 on &74 129691 ) 29692 *101 3(Wire29591 on &740 29592 ) 29593 *1010 (Wire 29693 29594 uid 26356,0 29694 29595 shape (OrthoPolyLine … … 29723 29624 ) 29724 29625 ) 29725 on &73 929726 ) 29727 *101 4(Wire29626 on &738 29627 ) 29628 *1011 (Wire 29728 29629 uid 27133,0 29729 29630 shape (OrthoPolyLine … … 29739 29640 ) 29740 29641 start &107 29741 end &7 6029642 end &759 29742 29643 sat 32 29743 29644 eat 32 … … 29759 29660 ) 29760 29661 ) 29761 on &76 629762 ) 29763 *101 5(Wire29662 on &765 29663 ) 29664 *1012 (Wire 29764 29665 uid 27149,0 29765 29666 shape (OrthoPolyLine … … 29774 29675 ] 29775 29676 ) 29776 start &76 229677 start &761 29777 29678 end &66 29778 29679 sat 32 … … 29795 29696 ) 29796 29697 ) 29797 on &76 729798 ) 29799 *101 6(Wire29698 on &766 29699 ) 29700 *1013 (Wire 29800 29701 uid 27155,0 29801 29702 shape (OrthoPolyLine … … 29810 29711 ] 29811 29712 ) 29812 start &76 129713 start &760 29813 29714 end &65 29814 29715 sat 32 … … 29831 29732 ) 29832 29733 ) 29833 on &76 829834 ) 29835 *101 7(Wire29734 on &767 29735 ) 29736 *1014 (Wire 29836 29737 uid 27605,0 29837 29738 shape (OrthoPolyLine … … 29868 29769 ) 29869 29770 ) 29870 on &76 929871 ) 29872 *101 8(Wire29771 on &768 29772 ) 29773 *1015 (Wire 29873 29774 uid 27611,0 29874 29775 shape (OrthoPolyLine … … 29905 29806 ) 29906 29807 ) 29907 on &76 929908 ) 29909 *101 9(Wire29808 on &768 29809 ) 29810 *1016 (Wire 29910 29811 uid 28278,0 29911 29812 shape (OrthoPolyLine … … 29922 29823 ) 29923 29824 start &135 29924 end &77 129825 end &770 29925 29826 sat 32 29926 29827 eat 32 … … 29945 29846 ) 29946 29847 ) 29947 on &7 7029948 ) 29949 *10 20(Wire29848 on &769 29849 ) 29850 *1017 (Wire 29950 29851 uid 29079,0 29951 29852 shape (OrthoPolyLine … … 29961 29862 ] 29962 29863 ) 29963 start &8 3229964 end &79 629864 start &829 29865 end &795 29965 29866 sat 32 29966 29867 eat 32 … … 29985 29886 on &155 29986 29887 ) 29987 *10 21(Wire29888 *1018 (Wire 29988 29889 uid 29087,0 29989 29890 shape (OrthoPolyLine … … 29999 29900 ] 30000 29901 ) 30001 start &79 830002 end &77 329902 start &797 29903 end &772 30003 29904 sat 32 30004 29905 eat 32 … … 30022 29923 ) 30023 29924 ) 30024 on &80 530025 ) 30026 *10 22(Wire29925 on &804 29926 ) 29927 *1019 (Wire 30027 29928 uid 29093,0 30028 29929 shape (OrthoPolyLine … … 30039 29940 ) 30040 29941 start &72 30041 end &77 829942 end &777 30042 29943 sat 32 30043 29944 eat 32 … … 30059 29960 ) 30060 29961 ) 30061 on &806 30062 ) 30063 *1023 (Wire 30064 uid 29790,0 30065 shape (OrthoPolyLine 30066 uid 29791,0 30067 va (VaSet 30068 vasetType 3 30069 lineWidth 2 30070 ) 30071 xt "-2250,128000,9000,128000" 30072 pts [ 30073 "-2250,128000" 30074 "9000,128000" 30075 ] 30076 ) 30077 start &252 30078 end &807 30079 sat 32 30080 eat 32 30081 sty 1 30082 stc 0 30083 st 0 30084 sf 1 30085 si 0 30086 tg (WTG 30087 uid 29794,0 30088 ps "ConnStartEndStrategy" 30089 stg "STSignalDisplayStrategy" 30090 f (Text 30091 uid 29795,0 30092 va (VaSet 30093 isHidden 1 30094 ) 30095 xt "-1000,127000,6100,128000" 30096 st "spi_debug_16bit" 30097 blo "-1000,127800" 30098 tm "WireNameMgr" 30099 ) 30100 ) 30101 on &808 29962 on &805 30102 29963 ) 30103 29964 ] … … 30113 29974 color "26368,26368,26368" 30114 29975 ) 30115 packageList *102 4(PackageList29976 packageList *1020 (PackageList 30116 29977 uid 41,0 30117 29978 stg "VerticalLayoutStrategy" 30118 29979 textVec [ 30119 *102 5(Text29980 *1021 (Text 30120 29981 uid 42,0 30121 29982 va (VaSet … … 30126 29987 blo "-163000,-15200" 30127 29988 ) 30128 *102 6(MLText29989 *1022 (MLText 30129 29990 uid 43,0 30130 29991 va (VaSet … … 30154 30015 stg "VerticalLayoutStrategy" 30155 30016 textVec [ 30156 *102 7(Text30017 *1023 (Text 30157 30018 uid 45,0 30158 30019 va (VaSet … … 30164 30025 blo "20000,800" 30165 30026 ) 30166 *102 8(Text30027 *1024 (Text 30167 30028 uid 46,0 30168 30029 va (VaSet … … 30174 30035 blo "20000,1800" 30175 30036 ) 30176 *102 9(MLText30037 *1025 (MLText 30177 30038 uid 47,0 30178 30039 va (VaSet … … 30184 30045 tm "BdCompilerDirectivesTextMgr" 30185 30046 ) 30186 *10 30(Text30047 *1026 (Text 30187 30048 uid 48,0 30188 30049 va (VaSet … … 30194 30055 blo "20000,4800" 30195 30056 ) 30196 *10 31(MLText30057 *1027 (MLText 30197 30058 uid 49,0 30198 30059 va (VaSet … … 30202 30063 tm "BdCompilerDirectivesTextMgr" 30203 30064 ) 30204 *10 32(Text30065 *1028 (Text 30205 30066 uid 50,0 30206 30067 va (VaSet … … 30212 30073 blo "20000,5800" 30213 30074 ) 30214 *10 33(MLText30075 *1029 (MLText 30215 30076 uid 51,0 30216 30077 va (VaSet … … 30224 30085 ) 30225 30086 windowSize "0,22,1681,1050" 30226 viewArea "- 59900,89700,36592,148176"30087 viewArea "-40628,107718,55864,168042" 30227 30088 cachedDiagramExtent "-174000,-16000,261100,353300" 30228 30089 pageSetupInfo (PageSetupInfo … … 30250 30111 hasePageBreakOrigin 1 30251 30112 pageBreakOrigin "-73000,0" 30252 lastUid 29805,030113 lastUid 30231,0 30253 30114 defaultCommentText (CommentText 30254 30115 shape (Rectangle … … 30312 30173 stg "VerticalLayoutStrategy" 30313 30174 textVec [ 30314 *103 4(Text30175 *1030 (Text 30315 30176 va (VaSet 30316 30177 font "Arial,8,1" … … 30321 30182 tm "BdLibraryNameMgr" 30322 30183 ) 30323 *103 5(Text30184 *1031 (Text 30324 30185 va (VaSet 30325 30186 font "Arial,8,1" … … 30330 30191 tm "BlkNameMgr" 30331 30192 ) 30332 *103 6(Text30193 *1032 (Text 30333 30194 va (VaSet 30334 30195 font "Arial,8,1" … … 30381 30242 stg "VerticalLayoutStrategy" 30382 30243 textVec [ 30383 *103 7(Text30244 *1033 (Text 30384 30245 va (VaSet 30385 30246 font "Arial,8,1" … … 30389 30250 blo "550,4300" 30390 30251 ) 30391 *103 8(Text30252 *1034 (Text 30392 30253 va (VaSet 30393 30254 font "Arial,8,1" … … 30397 30258 blo "550,5300" 30398 30259 ) 30399 *103 9(Text30260 *1035 (Text 30400 30261 va (VaSet 30401 30262 font "Arial,8,1" … … 30446 30307 stg "VerticalLayoutStrategy" 30447 30308 textVec [ 30448 *10 40(Text30309 *1036 (Text 30449 30310 va (VaSet 30450 30311 font "Arial,8,1" … … 30455 30316 tm "BdLibraryNameMgr" 30456 30317 ) 30457 *10 41(Text30318 *1037 (Text 30458 30319 va (VaSet 30459 30320 font "Arial,8,1" … … 30464 30325 tm "CptNameMgr" 30465 30326 ) 30466 *10 42(Text30327 *1038 (Text 30467 30328 va (VaSet 30468 30329 font "Arial,8,1" … … 30518 30379 stg "VerticalLayoutStrategy" 30519 30380 textVec [ 30520 *10 43(Text30381 *1039 (Text 30521 30382 va (VaSet 30522 30383 font "Arial,8,1" … … 30526 30387 blo "500,4300" 30527 30388 ) 30528 *104 4(Text30389 *1040 (Text 30529 30390 va (VaSet 30530 30391 font "Arial,8,1" … … 30534 30395 blo "500,5300" 30535 30396 ) 30536 *104 5(Text30397 *1041 (Text 30537 30398 va (VaSet 30538 30399 font "Arial,8,1" … … 30579 30440 stg "VerticalLayoutStrategy" 30580 30441 textVec [ 30581 *104 6(Text30442 *1042 (Text 30582 30443 va (VaSet 30583 30444 font "Arial,8,1" … … 30587 30448 blo "50,4300" 30588 30449 ) 30589 *104 7(Text30450 *1043 (Text 30590 30451 va (VaSet 30591 30452 font "Arial,8,1" … … 30595 30456 blo "50,5300" 30596 30457 ) 30597 *104 8(Text30458 *1044 (Text 30598 30459 va (VaSet 30599 30460 font "Arial,8,1" … … 30636 30497 stg "VerticalLayoutStrategy" 30637 30498 textVec [ 30638 *104 9(Text30499 *1045 (Text 30639 30500 va (VaSet 30640 30501 font "Arial,8,1" … … 30645 30506 tm "HdlTextNameMgr" 30646 30507 ) 30647 *10 50(Text30508 *1046 (Text 30648 30509 va (VaSet 30649 30510 font "Arial,8,1" … … 31048 30909 stg "VerticalLayoutStrategy" 31049 30910 textVec [ 31050 *10 51(Text30911 *1047 (Text 31051 30912 va (VaSet 31052 30913 font "Arial,8,1" … … 31056 30917 blo "14100,20800" 31057 30918 ) 31058 *10 52(MLText30919 *1048 (MLText 31059 30920 va (VaSet 31060 30921 ) … … 31108 30969 stg "VerticalLayoutStrategy" 31109 30970 textVec [ 31110 *10 53(Text30971 *1049 (Text 31111 30972 va (VaSet 31112 30973 font "Arial,8,1" … … 31116 30977 blo "14100,20800" 31117 30978 ) 31118 *105 4(MLText30979 *1050 (MLText 31119 30980 va (VaSet 31120 30981 ) … … 31215 31076 font "Arial,8,1" 31216 31077 ) 31217 xt "-174000,4 7200,-170200,48200"31078 xt "-174000,46400,-170200,47400" 31218 31079 st "Pre User:" 31219 blo "-174000,4 8000"31080 blo "-174000,47200" 31220 31081 ) 31221 31082 preUserText (MLText … … 31232 31093 font "Arial,8,1" 31233 31094 ) 31234 xt "-174000,4 8200,-166900,49200"31095 xt "-174000,47400,-166900,48400" 31235 31096 st "Diagram Signals:" 31236 blo "-174000,4 9000"31097 blo "-174000,48200" 31237 31098 ) 31238 31099 postUserLabel (Text … … 31241 31102 font "Arial,8,1" 31242 31103 ) 31243 xt "-174000,12 9200,-169300,130200"31104 xt "-174000,128400,-169300,129400" 31244 31105 st "Post User:" 31245 blo "-174000,1 30000"31106 blo "-174000,129200" 31246 31107 ) 31247 31108 postUserText (MLText … … 31258 31119 suid 430,0 31259 31120 usingSuid 1 31260 emptyRow *105 5(LEmptyRow31121 emptyRow *1051 (LEmptyRow 31261 31122 ) 31262 31123 uid 54,0 31263 31124 optionalChildren [ 31264 *105 6(RefLabelRowHdr31265 ) 31266 *105 7(TitleRowHdr31267 ) 31268 *105 8(FilterRowHdr31269 ) 31270 *105 9(RefLabelColHdr31125 *1052 (RefLabelRowHdr 31126 ) 31127 *1053 (TitleRowHdr 31128 ) 31129 *1054 (FilterRowHdr 31130 ) 31131 *1055 (RefLabelColHdr 31271 31132 tm "RefLabelColHdrMgr" 31272 31133 ) 31273 *10 60(RowExpandColHdr31134 *1056 (RowExpandColHdr 31274 31135 tm "RowExpandColHdrMgr" 31275 31136 ) 31276 *10 61(GroupColHdr31137 *1057 (GroupColHdr 31277 31138 tm "GroupColHdrMgr" 31278 31139 ) 31279 *10 62(NameColHdr31140 *1058 (NameColHdr 31280 31141 tm "BlockDiagramNameColHdrMgr" 31281 31142 ) 31282 *10 63(ModeColHdr31143 *1059 (ModeColHdr 31283 31144 tm "BlockDiagramModeColHdrMgr" 31284 31145 ) 31285 *106 4(TypeColHdr31146 *1060 (TypeColHdr 31286 31147 tm "BlockDiagramTypeColHdrMgr" 31287 31148 ) 31288 *106 5(BoundsColHdr31149 *1061 (BoundsColHdr 31289 31150 tm "BlockDiagramBoundsColHdrMgr" 31290 31151 ) 31291 *106 6(InitColHdr31152 *1062 (InitColHdr 31292 31153 tm "BlockDiagramInitColHdrMgr" 31293 31154 ) 31294 *106 7(EolColHdr31155 *1063 (EolColHdr 31295 31156 tm "BlockDiagramEolColHdrMgr" 31296 31157 ) 31297 *106 8(LeafLogPort31158 *1064 (LeafLogPort 31298 31159 port (LogicalPort 31299 31160 m 4 … … 31309 31170 uid 516,0 31310 31171 ) 31311 *106 9(LeafLogPort31172 *1065 (LeafLogPort 31312 31173 port (LogicalPort 31313 31174 m 4 … … 31322 31183 uid 518,0 31323 31184 ) 31324 *10 70(LeafLogPort31185 *1066 (LeafLogPort 31325 31186 port (LogicalPort 31326 31187 m 4 … … 31335 31196 uid 520,0 31336 31197 ) 31337 *10 71(LeafLogPort31198 *1067 (LeafLogPort 31338 31199 port (LogicalPort 31339 31200 m 4 … … 31348 31209 uid 530,0 31349 31210 ) 31350 *10 72(LeafLogPort31211 *1068 (LeafLogPort 31351 31212 port (LogicalPort 31352 31213 m 4 … … 31361 31222 uid 532,0 31362 31223 ) 31363 *10 73(LeafLogPort31224 *1069 (LeafLogPort 31364 31225 port (LogicalPort 31365 31226 m 1 … … 31374 31235 uid 534,0 31375 31236 ) 31376 *107 4(LeafLogPort31237 *1070 (LeafLogPort 31377 31238 port (LogicalPort 31378 31239 m 1 … … 31387 31248 uid 536,0 31388 31249 ) 31389 *107 5(LeafLogPort31250 *1071 (LeafLogPort 31390 31251 port (LogicalPort 31391 31252 m 2 … … 31400 31261 uid 538,0 31401 31262 ) 31402 *107 6(LeafLogPort31263 *1072 (LeafLogPort 31403 31264 port (LogicalPort 31404 31265 m 1 … … 31413 31274 uid 540,0 31414 31275 ) 31415 *107 7(LeafLogPort31276 *1073 (LeafLogPort 31416 31277 port (LogicalPort 31417 31278 m 1 … … 31426 31287 uid 542,0 31427 31288 ) 31428 *107 8(LeafLogPort31289 *1074 (LeafLogPort 31429 31290 port (LogicalPort 31430 31291 m 1 … … 31439 31300 uid 546,0 31440 31301 ) 31441 *107 9(LeafLogPort31302 *1075 (LeafLogPort 31442 31303 port (LogicalPort 31443 31304 decl (Decl … … 31450 31311 uid 548,0 31451 31312 ) 31452 *10 80(LeafLogPort31313 *1076 (LeafLogPort 31453 31314 port (LogicalPort 31454 31315 decl (Decl … … 31462 31323 uid 1455,0 31463 31324 ) 31464 *10 81(LeafLogPort31325 *1077 (LeafLogPort 31465 31326 port (LogicalPort 31466 31327 decl (Decl … … 31475 31336 uid 1457,0 31476 31337 ) 31477 *10 82(LeafLogPort31338 *1078 (LeafLogPort 31478 31339 port (LogicalPort 31479 31340 decl (Decl … … 31487 31348 uid 1694,0 31488 31349 ) 31489 *10 83(LeafLogPort31350 *1079 (LeafLogPort 31490 31351 port (LogicalPort 31491 31352 m 4 … … 31502 31363 uid 2305,0 31503 31364 ) 31504 *108 4(LeafLogPort31365 *1080 (LeafLogPort 31505 31366 port (LogicalPort 31506 31367 lang 2 … … 31516 31377 uid 2512,0 31517 31378 ) 31518 *108 5(LeafLogPort31379 *1081 (LeafLogPort 31519 31380 port (LogicalPort 31520 31381 lang 2 … … 31531 31392 uid 2514,0 31532 31393 ) 31533 *108 6(LeafLogPort31394 *1082 (LeafLogPort 31534 31395 port (LogicalPort 31535 31396 lang 2 … … 31547 31408 uid 2516,0 31548 31409 ) 31549 *108 7(LeafLogPort31410 *1083 (LeafLogPort 31550 31411 port (LogicalPort 31551 31412 lang 2 … … 31562 31423 uid 2518,0 31563 31424 ) 31564 *108 8(LeafLogPort31425 *1084 (LeafLogPort 31565 31426 port (LogicalPort 31566 31427 lang 2 … … 31576 31437 uid 2520,0 31577 31438 ) 31578 *108 9(LeafLogPort31439 *1085 (LeafLogPort 31579 31440 port (LogicalPort 31580 31441 lang 2 … … 31590 31451 uid 2522,0 31591 31452 ) 31592 *10 90(LeafLogPort31453 *1086 (LeafLogPort 31593 31454 port (LogicalPort 31594 31455 m 4 … … 31602 31463 uid 2604,0 31603 31464 ) 31604 *10 91(LeafLogPort31465 *1087 (LeafLogPort 31605 31466 port (LogicalPort 31606 31467 m 4 … … 31615 31476 uid 2606,0 31616 31477 ) 31617 *10 92(LeafLogPort31478 *1088 (LeafLogPort 31618 31479 port (LogicalPort 31619 31480 m 4 … … 31627 31488 uid 2612,0 31628 31489 ) 31629 *10 93(LeafLogPort31490 *1089 (LeafLogPort 31630 31491 port (LogicalPort 31631 31492 m 4 … … 31640 31501 uid 2646,0 31641 31502 ) 31642 *109 4(LeafLogPort31503 *1090 (LeafLogPort 31643 31504 port (LogicalPort 31644 31505 m 1 … … 31653 31514 uid 2812,0 31654 31515 ) 31655 *109 5(LeafLogPort31516 *1091 (LeafLogPort 31656 31517 port (LogicalPort 31657 31518 m 1 … … 31665 31526 uid 3902,0 31666 31527 ) 31667 *109 6(LeafLogPort31528 *1092 (LeafLogPort 31668 31529 port (LogicalPort 31669 31530 m 1 … … 31679 31540 uid 4070,0 31680 31541 ) 31681 *109 7(LeafLogPort31542 *1093 (LeafLogPort 31682 31543 port (LogicalPort 31683 31544 m 4 … … 31693 31554 uid 4212,0 31694 31555 ) 31695 *109 8(LeafLogPort31556 *1094 (LeafLogPort 31696 31557 port (LogicalPort 31697 31558 decl (Decl … … 31704 31565 uid 4234,0 31705 31566 ) 31706 *109 9(LeafLogPort31567 *1095 (LeafLogPort 31707 31568 port (LogicalPort 31708 31569 decl (Decl … … 31716 31577 uid 4262,0 31717 31578 ) 31718 *1 100(LeafLogPort31579 *1096 (LeafLogPort 31719 31580 port (LogicalPort 31720 31581 decl (Decl … … 31727 31588 uid 4276,0 31728 31589 ) 31729 *1 101(LeafLogPort31590 *1097 (LeafLogPort 31730 31591 port (LogicalPort 31731 31592 m 4 … … 31740 31601 uid 4563,0 31741 31602 ) 31742 *1 102(LeafLogPort31603 *1098 (LeafLogPort 31743 31604 port (LogicalPort 31744 31605 m 4 … … 31752 31613 uid 4565,0 31753 31614 ) 31754 *1 103(LeafLogPort31615 *1099 (LeafLogPort 31755 31616 port (LogicalPort 31756 31617 m 4 … … 31765 31626 uid 4569,0 31766 31627 ) 31767 *110 4(LeafLogPort31628 *1100 (LeafLogPort 31768 31629 port (LogicalPort 31769 31630 m 1 … … 31779 31640 uid 4585,0 31780 31641 ) 31781 *110 5(LeafLogPort31642 *1101 (LeafLogPort 31782 31643 port (LogicalPort 31783 31644 m 1 … … 31792 31653 uid 4587,0 31793 31654 ) 31655 *1102 (LeafLogPort 31656 port (LogicalPort 31657 decl (Decl 31658 n "SROUT_in_0" 31659 t "std_logic" 31660 o 4 31661 suid 112,0 31662 ) 31663 ) 31664 uid 4733,0 31665 ) 31666 *1103 (LeafLogPort 31667 port (LogicalPort 31668 decl (Decl 31669 n "SROUT_in_1" 31670 t "std_logic" 31671 o 5 31672 suid 113,0 31673 ) 31674 ) 31675 uid 4735,0 31676 ) 31677 *1104 (LeafLogPort 31678 port (LogicalPort 31679 decl (Decl 31680 n "SROUT_in_2" 31681 t "std_logic" 31682 o 6 31683 suid 114,0 31684 ) 31685 ) 31686 uid 4737,0 31687 ) 31688 *1105 (LeafLogPort 31689 port (LogicalPort 31690 decl (Decl 31691 n "SROUT_in_3" 31692 t "std_logic" 31693 o 7 31694 suid 115,0 31695 ) 31696 ) 31697 uid 4739,0 31698 ) 31794 31699 *1106 (LeafLogPort 31795 31700 port (LogicalPort 31796 decl (Decl31797 n "SROUT_in_0"31798 t "std_logic"31799 o 431800 suid 112,031801 )31802 )31803 uid 4733,031804 )31805 *1107 (LeafLogPort31806 port (LogicalPort31807 decl (Decl31808 n "SROUT_in_1"31809 t "std_logic"31810 o 531811 suid 113,031812 )31813 )31814 uid 4735,031815 )31816 *1108 (LeafLogPort31817 port (LogicalPort31818 decl (Decl31819 n "SROUT_in_2"31820 t "std_logic"31821 o 631822 suid 114,031823 )31824 )31825 uid 4737,031826 )31827 *1109 (LeafLogPort31828 port (LogicalPort31829 decl (Decl31830 n "SROUT_in_3"31831 t "std_logic"31832 o 731833 suid 115,031834 )31835 )31836 uid 4739,031837 )31838 *1110 (LeafLogPort31839 port (LogicalPort31840 31701 m 4 31841 31702 decl (Decl … … 31848 31709 uid 4749,0 31849 31710 ) 31850 *11 11(LeafLogPort31711 *1107 (LeafLogPort 31851 31712 port (LogicalPort 31852 31713 m 1 … … 31861 31722 uid 4974,0 31862 31723 ) 31863 *11 12(LeafLogPort31724 *1108 (LeafLogPort 31864 31725 port (LogicalPort 31865 31726 m 1 … … 31874 31735 uid 4976,0 31875 31736 ) 31876 *11 13(LeafLogPort31737 *1109 (LeafLogPort 31877 31738 port (LogicalPort 31878 31739 m 1 … … 31889 31750 uid 5226,0 31890 31751 ) 31891 *111 4(LeafLogPort31752 *1110 (LeafLogPort 31892 31753 port (LogicalPort 31893 31754 m 4 … … 31901 31762 uid 5502,0 31902 31763 ) 31903 *111 5(LeafLogPort31764 *1111 (LeafLogPort 31904 31765 port (LogicalPort 31905 31766 m 4 … … 31913 31774 uid 5504,0 31914 31775 ) 31915 *111 6(LeafLogPort31776 *1112 (LeafLogPort 31916 31777 port (LogicalPort 31917 31778 lang 10 … … 31927 31788 uid 5642,0 31928 31789 ) 31929 *111 7(LeafLogPort31790 *1113 (LeafLogPort 31930 31791 port (LogicalPort 31931 31792 m 4 … … 31939 31800 uid 5644,0 31940 31801 ) 31941 *111 8(LeafLogPort31802 *1114 (LeafLogPort 31942 31803 port (LogicalPort 31943 31804 m 1 … … 31951 31812 uid 5867,0 31952 31813 ) 31953 *111 9(LeafLogPort31814 *1115 (LeafLogPort 31954 31815 port (LogicalPort 31955 31816 m 2 … … 31965 31826 uid 5869,0 31966 31827 ) 31967 *11 20(LeafLogPort31828 *1116 (LeafLogPort 31968 31829 port (LogicalPort 31969 31830 m 1 … … 31977 31838 uid 5871,0 31978 31839 ) 31979 *11 21(LeafLogPort31840 *1117 (LeafLogPort 31980 31841 port (LogicalPort 31981 31842 m 1 … … 31990 31851 uid 5873,0 31991 31852 ) 31992 *11 22(LeafLogPort31853 *1118 (LeafLogPort 31993 31854 port (LogicalPort 31994 31855 m 1 … … 32003 31864 uid 6172,0 32004 31865 ) 32005 *11 23(LeafLogPort31866 *1119 (LeafLogPort 32006 31867 port (LogicalPort 32007 31868 m 1 … … 32018 31879 uid 6374,0 32019 31880 ) 32020 *112 4(LeafLogPort31881 *1120 (LeafLogPort 32021 31882 port (LogicalPort 32022 31883 m 4 … … 32030 31891 uid 8760,0 32031 31892 ) 32032 *112 5(LeafLogPort31893 *1121 (LeafLogPort 32033 31894 port (LogicalPort 32034 31895 lang 2 … … 32043 31904 uid 9018,0 32044 31905 ) 32045 *112 6(LeafLogPort31906 *1122 (LeafLogPort 32046 31907 port (LogicalPort 32047 31908 m 4 … … 32058 31919 uid 9247,0 32059 31920 ) 32060 *112 7(LeafLogPort31921 *1123 (LeafLogPort 32061 31922 port (LogicalPort 32062 31923 m 4 … … 32074 31935 uid 9249,0 32075 31936 ) 32076 *112 8(LeafLogPort31937 *1124 (LeafLogPort 32077 31938 port (LogicalPort 32078 31939 m 4 … … 32089 31950 uid 10024,0 32090 31951 ) 31952 *1125 (LeafLogPort 31953 port (LogicalPort 31954 m 4 31955 decl (Decl 31956 n "srclk_enable" 31957 t "std_logic" 31958 o 128 31959 suid 222,0 31960 i "'0'" 31961 ) 31962 ) 31963 uid 10026,0 31964 ) 31965 *1126 (LeafLogPort 31966 port (LogicalPort 31967 m 4 31968 decl (Decl 31969 n "SRCLK1" 31970 t "std_logic" 31971 o 60 31972 suid 224,0 31973 i "'0'" 31974 ) 31975 ) 31976 uid 10028,0 31977 ) 31978 *1127 (LeafLogPort 31979 port (LogicalPort 31980 m 4 31981 decl (Decl 31982 n "s_trigger" 31983 t "std_logic" 31984 o 118 31985 suid 230,0 31986 ) 31987 ) 31988 uid 10294,0 31989 ) 31990 *1128 (LeafLogPort 31991 port (LogicalPort 31992 m 4 31993 decl (Decl 31994 n "start_srin_write_8b" 31995 t "std_logic" 31996 o 131 31997 suid 231,0 31998 ) 31999 ) 32000 uid 10334,0 32001 ) 32091 32002 *1129 (LeafLogPort 32092 32003 port (LogicalPort 32093 32004 m 4 32094 32005 decl (Decl 32095 n "sr clk_enable"32096 t "std_logic" 32097 o 12 832098 suid 2 22,032006 n "srin_write_ack" 32007 t "std_logic" 32008 o 129 32009 suid 232,0 32099 32010 i "'0'" 32100 32011 ) 32101 32012 ) 32102 uid 10 026,032013 uid 10336,0 32103 32014 ) 32104 32015 *1130 (LeafLogPort … … 32106 32017 m 4 32107 32018 decl (Decl 32108 n "SRCLK1"32109 t "std_logic"32110 o 6032111 suid 224,032112 i "'0'"32113 )32114 )32115 uid 10028,032116 )32117 *1131 (LeafLogPort32118 port (LogicalPort32119 m 432120 decl (Decl32121 n "s_trigger"32122 t "std_logic"32123 o 11832124 suid 230,032125 )32126 )32127 uid 10294,032128 )32129 *1132 (LeafLogPort32130 port (LogicalPort32131 m 432132 decl (Decl32133 n "start_srin_write_8b"32134 t "std_logic"32135 o 13132136 suid 231,032137 )32138 )32139 uid 10334,032140 )32141 *1133 (LeafLogPort32142 port (LogicalPort32143 m 432144 decl (Decl32145 n "srin_write_ack"32146 t "std_logic"32147 o 12932148 suid 232,032149 i "'0'"32150 )32151 )32152 uid 10336,032153 )32154 *1134 (LeafLogPort32155 port (LogicalPort32156 m 432157 decl (Decl32158 32019 n "srin_write_ready" 32159 32020 t "std_logic" … … 32165 32026 uid 10338,0 32166 32027 ) 32167 *113 5(LeafLogPort32028 *1131 (LeafLogPort 32168 32029 port (LogicalPort 32169 32030 m 4 … … 32179 32040 uid 10340,0 32180 32041 ) 32042 *1132 (LeafLogPort 32043 port (LogicalPort 32044 m 1 32045 decl (Decl 32046 n "SRIN_out" 32047 t "std_logic" 32048 o 25 32049 suid 235,0 32050 i "'0'" 32051 ) 32052 ) 32053 uid 10342,0 32054 ) 32055 *1133 (LeafLogPort 32056 port (LogicalPort 32057 m 4 32058 decl (Decl 32059 n "socks_connected" 32060 t "std_logic" 32061 o 123 32062 suid 243,0 32063 ) 32064 ) 32065 uid 10763,0 32066 ) 32067 *1134 (LeafLogPort 32068 port (LogicalPort 32069 m 4 32070 decl (Decl 32071 n "socks_waiting" 32072 t "std_logic" 32073 o 124 32074 suid 244,0 32075 ) 32076 ) 32077 uid 10765,0 32078 ) 32079 *1135 (LeafLogPort 32080 port (LogicalPort 32081 m 1 32082 decl (Decl 32083 n "green" 32084 t "std_logic" 32085 o 37 32086 suid 248,0 32087 ) 32088 ) 32089 uid 10767,0 32090 ) 32181 32091 *1136 (LeafLogPort 32182 32092 port (LogicalPort 32183 32093 m 1 32184 32094 decl (Decl 32185 n "SRIN_out" 32186 t "std_logic" 32187 o 25 32188 suid 235,0 32189 i "'0'" 32190 ) 32191 ) 32192 uid 10342,0 32095 n "amber" 32096 t "std_logic" 32097 o 29 32098 suid 249,0 32099 ) 32100 ) 32101 uid 10769,0 32193 32102 ) 32194 32103 *1137 (LeafLogPort 32195 32104 port (LogicalPort 32196 m 432197 decl (Decl 32198 n " socks_connected"32199 t "std_logic" 32200 o 12332201 suid 2 43,032202 ) 32203 ) 32204 uid 107 63,032105 m 1 32106 decl (Decl 32107 n "red" 32108 t "std_logic" 32109 o 41 32110 suid 250,0 32111 ) 32112 ) 32113 uid 10771,0 32205 32114 ) 32206 32115 *1138 (LeafLogPort … … 32208 32117 m 4 32209 32118 decl (Decl 32210 n " socks_waiting"32211 t "std_logic" 32212 o 12432213 suid 2 44,032214 ) 32215 ) 32216 uid 1 0765,032119 n "drs_readout_started" 32120 t "std_logic" 32121 o 90 32122 suid 252,0 32123 ) 32124 ) 32125 uid 11411,0 32217 32126 ) 32218 32127 *1139 (LeafLogPort 32219 32128 port (LogicalPort 32220 m 132221 decl (Decl32222 n "green"32223 t "std_logic"32224 o 3732225 suid 248,032226 )32227 )32228 uid 10767,032229 )32230 *1140 (LeafLogPort32231 port (LogicalPort32232 m 132233 decl (Decl32234 n "amber"32235 t "std_logic"32236 o 2932237 suid 249,032238 )32239 )32240 uid 10769,032241 )32242 *1141 (LeafLogPort32243 port (LogicalPort32244 m 132245 decl (Decl32246 n "red"32247 t "std_logic"32248 o 4132249 suid 250,032250 )32251 )32252 uid 10771,032253 )32254 *1142 (LeafLogPort32255 port (LogicalPort32256 m 432257 decl (Decl32258 n "drs_readout_started"32259 t "std_logic"32260 o 9032261 suid 252,032262 )32263 )32264 uid 11411,032265 )32266 *1143 (LeafLogPort32267 port (LogicalPort32268 32129 m 4 32269 32130 decl (Decl … … 32276 32137 uid 11966,0 32277 32138 ) 32278 *114 4(LeafLogPort32139 *1140 (LeafLogPort 32279 32140 port (LogicalPort 32280 32141 m 4 … … 32293 32154 uid 12661,0 32294 32155 ) 32295 *114 5(LeafLogPort32156 *1141 (LeafLogPort 32296 32157 port (LogicalPort 32297 32158 m 4 … … 32305 32166 uid 12663,0 32306 32167 ) 32307 *114 6(LeafLogPort32168 *1142 (LeafLogPort 32308 32169 port (LogicalPort 32309 32170 m 4 … … 32318 32179 uid 13275,0 32319 32180 ) 32320 *114 7(LeafLogPort32181 *1143 (LeafLogPort 32321 32182 port (LogicalPort 32322 32183 decl (Decl … … 32331 32192 scheme 0 32332 32193 ) 32333 *114 8(LeafLogPort32194 *1144 (LeafLogPort 32334 32195 port (LogicalPort 32335 32196 decl (Decl … … 32344 32205 scheme 0 32345 32206 ) 32346 *114 9(LeafLogPort32207 *1145 (LeafLogPort 32347 32208 port (LogicalPort 32348 32209 decl (Decl … … 32358 32219 scheme 0 32359 32220 ) 32360 *11 50(LeafLogPort32221 *1146 (LeafLogPort 32361 32222 port (LogicalPort 32362 32223 m 1 … … 32370 32231 uid 14507,0 32371 32232 ) 32372 *11 51(LeafLogPort32233 *1147 (LeafLogPort 32373 32234 port (LogicalPort 32374 32235 m 1 … … 32383 32244 uid 14509,0 32384 32245 ) 32385 *11 52(LeafLogPort32246 *1148 (LeafLogPort 32386 32247 port (LogicalPort 32387 32248 m 1 … … 32396 32257 uid 14634,0 32397 32258 ) 32398 *11 53(LeafLogPort32259 *1149 (LeafLogPort 32399 32260 port (LogicalPort 32400 32261 m 4 … … 32411 32272 uid 15144,0 32412 32273 ) 32413 *115 4(LeafLogPort32274 *1150 (LeafLogPort 32414 32275 port (LogicalPort 32415 32276 m 4 … … 32426 32287 uid 15146,0 32427 32288 ) 32428 *115 5(LeafLogPort32289 *1151 (LeafLogPort 32429 32290 port (LogicalPort 32430 32291 m 4 … … 32438 32299 uid 15504,0 32439 32300 ) 32440 *115 6(LeafLogPort32301 *1152 (LeafLogPort 32441 32302 port (LogicalPort 32442 32303 lang 2 … … 32454 32315 uid 15754,0 32455 32316 ) 32456 *115 7(LeafLogPort32317 *1153 (LeafLogPort 32457 32318 port (LogicalPort 32458 32319 m 4 … … 32470 32331 uid 16386,0 32471 32332 ) 32472 *115 8(LeafLogPort32333 *1154 (LeafLogPort 32473 32334 port (LogicalPort 32474 32335 m 4 … … 32486 32347 uid 16571,0 32487 32348 ) 32488 *115 9(LeafLogPort32349 *1155 (LeafLogPort 32489 32350 port (LogicalPort 32490 32351 m 4 … … 32501 32362 uid 16573,0 32502 32363 ) 32503 *11 60(LeafLogPort32364 *1156 (LeafLogPort 32504 32365 port (LogicalPort 32505 32366 m 4 … … 32513 32374 uid 16961,0 32514 32375 ) 32515 *11 61(LeafLogPort32376 *1157 (LeafLogPort 32516 32377 port (LogicalPort 32517 32378 m 4 … … 32525 32386 uid 16963,0 32526 32387 ) 32527 *11 62(LeafLogPort32388 *1158 (LeafLogPort 32528 32389 port (LogicalPort 32529 32390 m 4 … … 32538 32399 uid 16965,0 32539 32400 ) 32540 *11 63(LeafLogPort32401 *1159 (LeafLogPort 32541 32402 port (LogicalPort 32542 32403 m 4 … … 32553 32414 uid 17033,0 32554 32415 ) 32555 *116 4(LeafLogPort32416 *1160 (LeafLogPort 32556 32417 port (LogicalPort 32557 32418 m 4 … … 32571 32432 uid 17035,0 32572 32433 ) 32573 *116 5(LeafLogPort32434 *1161 (LeafLogPort 32574 32435 port (LogicalPort 32575 32436 m 4 … … 32585 32446 uid 17397,0 32586 32447 ) 32587 *116 6(LeafLogPort32448 *1162 (LeafLogPort 32588 32449 port (LogicalPort 32589 32450 lang 2 … … 32598 32459 uid 18463,0 32599 32460 ) 32600 *116 7(LeafLogPort32461 *1163 (LeafLogPort 32601 32462 port (LogicalPort 32602 32463 lang 2 … … 32612 32473 scheme 0 32613 32474 ) 32614 *116 8(LeafLogPort32475 *1164 (LeafLogPort 32615 32476 port (LogicalPort 32616 32477 lang 10 … … 32626 32487 uid 20159,0 32627 32488 ) 32628 *116 9(LeafLogPort32489 *1165 (LeafLogPort 32629 32490 port (LogicalPort 32630 32491 m 4 … … 32640 32501 uid 20515,0 32641 32502 ) 32642 *11 70(LeafLogPort32503 *1166 (LeafLogPort 32643 32504 port (LogicalPort 32644 32505 m 4 … … 32654 32515 uid 20517,0 32655 32516 ) 32656 *11 71(LeafLogPort32517 *1167 (LeafLogPort 32657 32518 port (LogicalPort 32658 32519 m 4 … … 32666 32527 uid 20523,0 32667 32528 ) 32668 *11 72(LeafLogPort32529 *1168 (LeafLogPort 32669 32530 port (LogicalPort 32670 32531 m 4 … … 32678 32539 uid 20525,0 32679 32540 ) 32680 *11 73(LeafLogPort32541 *1169 (LeafLogPort 32681 32542 port (LogicalPort 32682 32543 m 4 … … 32693 32554 uid 21091,0 32694 32555 ) 32695 *117 4(LeafLogPort32556 *1170 (LeafLogPort 32696 32557 port (LogicalPort 32697 32558 m 4 … … 32705 32566 uid 21093,0 32706 32567 ) 32707 *117 5(LeafLogPort32568 *1171 (LeafLogPort 32708 32569 port (LogicalPort 32709 32570 m 4 … … 32718 32579 uid 21097,0 32719 32580 ) 32720 *117 6(LeafLogPort32581 *1172 (LeafLogPort 32721 32582 port (LogicalPort 32722 32583 m 4 … … 32730 32591 uid 21101,0 32731 32592 ) 32732 *117 7(LeafLogPort32593 *1173 (LeafLogPort 32733 32594 port (LogicalPort 32734 32595 m 4 … … 32743 32604 uid 21103,0 32744 32605 ) 32745 *117 8(LeafLogPort32606 *1174 (LeafLogPort 32746 32607 port (LogicalPort 32747 32608 m 4 … … 32755 32616 uid 21107,0 32756 32617 ) 32757 *117 9(LeafLogPort32618 *1175 (LeafLogPort 32758 32619 port (LogicalPort 32759 32620 m 4 … … 32767 32628 uid 22029,0 32768 32629 ) 32769 *11 80(LeafLogPort32630 *1176 (LeafLogPort 32770 32631 port (LogicalPort 32771 32632 m 4 … … 32779 32640 uid 22031,0 32780 32641 ) 32781 *11 81(LeafLogPort32642 *1177 (LeafLogPort 32782 32643 port (LogicalPort 32783 32644 m 4 … … 32791 32652 uid 22033,0 32792 32653 ) 32793 *11 82(LeafLogPort32654 *1178 (LeafLogPort 32794 32655 port (LogicalPort 32795 32656 m 4 … … 32803 32664 uid 22035,0 32804 32665 ) 32805 *11 83(LeafLogPort32666 *1179 (LeafLogPort 32806 32667 port (LogicalPort 32807 32668 m 4 … … 32815 32676 uid 22037,0 32816 32677 ) 32817 *118 4(LeafLogPort32678 *1180 (LeafLogPort 32818 32679 port (LogicalPort 32819 32680 m 4 … … 32827 32688 uid 22039,0 32828 32689 ) 32829 *118 5(LeafLogPort32690 *1181 (LeafLogPort 32830 32691 port (LogicalPort 32831 32692 m 4 … … 32840 32701 uid 22133,0 32841 32702 ) 32842 *118 6(LeafLogPort32703 *1182 (LeafLogPort 32843 32704 port (LogicalPort 32844 32705 m 4 … … 32853 32714 uid 22135,0 32854 32715 ) 32855 *118 7(LeafLogPort32716 *1183 (LeafLogPort 32856 32717 port (LogicalPort 32857 32718 m 4 … … 32865 32726 uid 22137,0 32866 32727 ) 32867 *118 8(LeafLogPort32728 *1184 (LeafLogPort 32868 32729 port (LogicalPort 32869 32730 m 4 … … 32878 32739 uid 22139,0 32879 32740 ) 32880 *118 9(LeafLogPort32741 *1185 (LeafLogPort 32881 32742 port (LogicalPort 32882 32743 m 4 … … 32893 32754 uid 23053,0 32894 32755 ) 32756 *1186 (LeafLogPort 32757 port (LogicalPort 32758 m 4 32759 decl (Decl 32760 n "DCM_locked_status" 32761 t "std_logic" 32762 o 56 32763 suid 382,0 32764 ) 32765 ) 32766 uid 23373,0 32767 ) 32768 *1187 (LeafLogPort 32769 port (LogicalPort 32770 m 4 32771 decl (Decl 32772 n "DCM_ready_status" 32773 t "std_logic" 32774 o 57 32775 suid 383,0 32776 ) 32777 ) 32778 uid 23375,0 32779 ) 32780 *1188 (LeafLogPort 32781 port (LogicalPort 32782 m 1 32783 decl (Decl 32784 n "trigger_veto" 32785 t "std_logic" 32786 o 45 32787 suid 385,0 32788 i "'1'" 32789 ) 32790 ) 32791 uid 24090,0 32792 ) 32793 *1189 (LeafLogPort 32794 port (LogicalPort 32795 decl (Decl 32796 n "FTM_RS485_rx_d" 32797 t "std_logic" 32798 o 3 32799 suid 395,0 32800 ) 32801 ) 32802 uid 24716,0 32803 ) 32895 32804 *1190 (LeafLogPort 32896 32805 port (LogicalPort 32897 m 432898 decl (Decl 32899 n " DCM_locked_status"32900 t "std_logic" 32901 o 5632902 suid 3 82,032903 ) 32904 ) 32905 uid 2 3373,032806 m 1 32807 decl (Decl 32808 n "FTM_RS485_tx_d" 32809 t "std_logic" 32810 o 21 32811 suid 396,0 32812 ) 32813 ) 32814 uid 24718,0 32906 32815 ) 32907 32816 *1191 (LeafLogPort 32908 32817 port (LogicalPort 32909 m 432910 decl (Decl 32911 n " DCM_ready_status"32912 t "std_logic" 32913 o 5732914 suid 3 83,032915 ) 32916 ) 32917 uid 2 3375,032818 m 1 32819 decl (Decl 32820 n "FTM_RS485_rx_en" 32821 t "std_logic" 32822 o 20 32823 suid 397,0 32824 ) 32825 ) 32826 uid 24720,0 32918 32827 ) 32919 32828 *1192 (LeafLogPort … … 32921 32830 m 1 32922 32831 decl (Decl 32923 n "trigger_veto"32924 t "std_logic"32925 o 4532926 suid 385,032927 i "'1'"32928 )32929 )32930 uid 24090,032931 )32932 *1193 (LeafLogPort32933 port (LogicalPort32934 decl (Decl32935 n "FTM_RS485_rx_d"32936 t "std_logic"32937 o 332938 suid 395,032939 )32940 )32941 uid 24716,032942 )32943 *1194 (LeafLogPort32944 port (LogicalPort32945 m 132946 decl (Decl32947 n "FTM_RS485_tx_d"32948 t "std_logic"32949 o 2132950 suid 396,032951 )32952 )32953 uid 24718,032954 )32955 *1195 (LeafLogPort32956 port (LogicalPort32957 m 132958 decl (Decl32959 n "FTM_RS485_rx_en"32960 t "std_logic"32961 o 2032962 suid 397,032963 )32964 )32965 uid 24720,032966 )32967 *1196 (LeafLogPort32968 port (LogicalPort32969 m 132970 decl (Decl32971 32832 n "FTM_RS485_tx_en" 32972 32833 t "std_logic" … … 32977 32838 uid 24722,0 32978 32839 ) 32979 *119 7(LeafLogPort32840 *1193 (LeafLogPort 32980 32841 port (LogicalPort 32981 32842 m 4 … … 32990 32851 uid 24744,0 32991 32852 ) 32992 *119 8(LeafLogPort32853 *1194 (LeafLogPort 32993 32854 port (LogicalPort 32994 32855 m 4 … … 33003 32864 uid 25043,0 33004 32865 ) 33005 *119 9(LeafLogPort32866 *1195 (LeafLogPort 33006 32867 port (LogicalPort 33007 32868 m 1 … … 33018 32879 uid 25312,0 33019 32880 ) 33020 *1 200(LeafLogPort32881 *1196 (LeafLogPort 33021 32882 port (LogicalPort 33022 32883 m 1 … … 33030 32891 uid 25569,0 33031 32892 ) 33032 *1 201(LeafLogPort32893 *1197 (LeafLogPort 33033 32894 port (LogicalPort 33034 32895 m 1 … … 33042 32903 uid 25571,0 33043 32904 ) 33044 *1 202(LeafLogPort32905 *1198 (LeafLogPort 33045 32906 port (LogicalPort 33046 32907 m 4 … … 33054 32915 uid 25846,0 33055 32916 ) 33056 *1 203(LeafLogPort32917 *1199 (LeafLogPort 33057 32918 port (LogicalPort 33058 32919 lang 2 … … 33070 32931 uid 26087,0 33071 32932 ) 33072 *120 4(LeafLogPort32933 *1200 (LeafLogPort 33073 32934 port (LogicalPort 33074 32935 m 4 … … 33082 32943 uid 26364,0 33083 32944 ) 33084 *120 5(LeafLogPort32945 *1201 (LeafLogPort 33085 32946 port (LogicalPort 33086 32947 m 1 … … 33097 32958 uid 26593,0 33098 32959 ) 33099 *120 6(LeafLogPort32960 *1202 (LeafLogPort 33100 32961 port (LogicalPort 33101 32962 m 4 … … 33110 32971 uid 27137,0 33111 32972 ) 33112 *120 7(LeafLogPort32973 *1203 (LeafLogPort 33113 32974 port (LogicalPort 33114 32975 m 4 … … 33123 32984 uid 27159,0 33124 32985 ) 33125 *120 8(LeafLogPort32986 *1204 (LeafLogPort 33126 32987 port (LogicalPort 33127 32988 m 4 … … 33135 32996 uid 27161,0 33136 32997 ) 33137 *120 9(LeafLogPort32998 *1205 (LeafLogPort 33138 32999 port (LogicalPort 33139 33000 m 4 … … 33151 33012 uid 27619,0 33152 33013 ) 33153 *12 10(LeafLogPort33014 *1206 (LeafLogPort 33154 33015 port (LogicalPort 33155 33016 m 1 … … 33166 33027 uid 28290,0 33167 33028 ) 33168 *12 11(LeafLogPort33029 *1207 (LeafLogPort 33169 33030 port (LogicalPort 33170 33031 m 4 … … 33178 33039 uid 29097,0 33179 33040 ) 33180 *12 12(LeafLogPort33041 *1208 (LeafLogPort 33181 33042 port (LogicalPort 33182 33043 m 4 … … 33190 33051 ) 33191 33052 uid 29099,0 33192 )33193 *1213 (LeafLogPort33194 port (LogicalPort33195 m 133196 decl (Decl33197 n "spi_debug_16bit"33198 t "std_logic_vector"33199 b "( 15 DOWNTO 0 )"33200 o 14633201 suid 430,033202 )33203 )33204 uid 29804,033205 33053 ) 33206 33054 ] … … 33211 33059 uid 67,0 33212 33060 optionalChildren [ 33213 *12 14(Sheet33061 *1209 (Sheet 33214 33062 sheetRow (SheetRow 33215 33063 headerVa (MVa … … 33228 33076 font "Tahoma,10,0" 33229 33077 ) 33230 emptyMRCItem *121 5(MRCItem33231 litem &105 533232 pos 14 633078 emptyMRCItem *1210 (MRCItem 33079 litem &1051 33080 pos 145 33233 33081 dimension 20 33234 33082 ) 33235 33083 uid 69,0 33236 33084 optionalChildren [ 33237 *121 6(MRCItem33238 litem &105 633085 *1211 (MRCItem 33086 litem &1052 33239 33087 pos 0 33240 33088 dimension 20 33241 33089 uid 70,0 33242 33090 ) 33243 *121 7(MRCItem33244 litem &105 733091 *1212 (MRCItem 33092 litem &1053 33245 33093 pos 1 33246 33094 dimension 23 33247 33095 uid 71,0 33248 33096 ) 33249 *121 8(MRCItem33250 litem &105 833097 *1213 (MRCItem 33098 litem &1054 33251 33099 pos 2 33252 33100 hidden 1 … … 33254 33102 uid 72,0 33255 33103 ) 33256 *121 9(MRCItem33257 litem &106 833104 *1214 (MRCItem 33105 litem &1064 33258 33106 pos 54 33259 33107 dimension 20 33260 33108 uid 517,0 33261 33109 ) 33262 *12 20(MRCItem33263 litem &106 933110 *1215 (MRCItem 33111 litem &1065 33264 33112 pos 55 33265 33113 dimension 20 33266 33114 uid 519,0 33267 33115 ) 33268 *12 21(MRCItem33269 litem &10 7033116 *1216 (MRCItem 33117 litem &1066 33270 33118 pos 56 33271 33119 dimension 20 33272 33120 uid 521,0 33273 33121 ) 33274 *12 22(MRCItem33275 litem &10 7133122 *1217 (MRCItem 33123 litem &1067 33276 33124 pos 57 33277 33125 dimension 20 33278 33126 uid 531,0 33279 33127 ) 33280 *12 23(MRCItem33281 litem &10 7233128 *1218 (MRCItem 33129 litem &1068 33282 33130 pos 58 33283 33131 dimension 20 33284 33132 uid 533,0 33285 33133 ) 33286 *12 24(MRCItem33287 litem &10 7333134 *1219 (MRCItem 33135 litem &1069 33288 33136 pos 0 33289 33137 dimension 20 33290 33138 uid 535,0 33291 33139 ) 33292 *122 5(MRCItem33293 litem &107 433140 *1220 (MRCItem 33141 litem &1070 33294 33142 pos 1 33295 33143 dimension 20 33296 33144 uid 537,0 33297 33145 ) 33298 *122 6(MRCItem33299 litem &107 533146 *1221 (MRCItem 33147 litem &1071 33300 33148 pos 2 33301 33149 dimension 20 33302 33150 uid 539,0 33303 33151 ) 33304 *122 7(MRCItem33305 litem &107 633152 *1222 (MRCItem 33153 litem &1072 33306 33154 pos 3 33307 33155 dimension 20 33308 33156 uid 541,0 33309 33157 ) 33310 *122 8(MRCItem33311 litem &107 733158 *1223 (MRCItem 33159 litem &1073 33312 33160 pos 4 33313 33161 dimension 20 33314 33162 uid 543,0 33315 33163 ) 33316 *122 9(MRCItem33317 litem &107 833164 *1224 (MRCItem 33165 litem &1074 33318 33166 pos 5 33319 33167 dimension 20 33320 33168 uid 547,0 33321 33169 ) 33322 *12 30(MRCItem33323 litem &107 933170 *1225 (MRCItem 33171 litem &1075 33324 33172 pos 6 33325 33173 dimension 20 33326 33174 uid 549,0 33327 33175 ) 33328 *12 31(MRCItem33329 litem &10 8033176 *1226 (MRCItem 33177 litem &1076 33330 33178 pos 8 33331 33179 dimension 20 33332 33180 uid 1456,0 33333 33181 ) 33334 *12 32(MRCItem33335 litem &10 8133182 *1227 (MRCItem 33183 litem &1077 33336 33184 pos 7 33337 33185 dimension 20 33338 33186 uid 1458,0 33339 33187 ) 33340 *12 33(MRCItem33341 litem &10 8233188 *1228 (MRCItem 33189 litem &1078 33342 33190 pos 9 33343 33191 dimension 20 33344 33192 uid 1695,0 33345 33193 ) 33346 *12 34(MRCItem33347 litem &10 8333194 *1229 (MRCItem 33195 litem &1079 33348 33196 pos 59 33349 33197 dimension 20 33350 33198 uid 2306,0 33351 33199 ) 33352 *123 5(MRCItem33353 litem &108 433200 *1230 (MRCItem 33201 litem &1080 33354 33202 pos 60 33355 33203 dimension 20 33356 33204 uid 2513,0 33357 33205 ) 33358 *123 6(MRCItem33359 litem &108 533206 *1231 (MRCItem 33207 litem &1081 33360 33208 pos 61 33361 33209 dimension 20 33362 33210 uid 2515,0 33363 33211 ) 33364 *123 7(MRCItem33365 litem &108 633212 *1232 (MRCItem 33213 litem &1082 33366 33214 pos 62 33367 33215 dimension 20 33368 33216 uid 2517,0 33369 33217 ) 33370 *123 8(MRCItem33371 litem &108 733218 *1233 (MRCItem 33219 litem &1083 33372 33220 pos 63 33373 33221 dimension 20 33374 33222 uid 2519,0 33375 33223 ) 33376 *123 9(MRCItem33377 litem &108 833224 *1234 (MRCItem 33225 litem &1084 33378 33226 pos 64 33379 33227 dimension 20 33380 33228 uid 2521,0 33381 33229 ) 33382 *12 40(MRCItem33383 litem &108 933230 *1235 (MRCItem 33231 litem &1085 33384 33232 pos 65 33385 33233 dimension 20 33386 33234 uid 2523,0 33387 33235 ) 33388 *12 41(MRCItem33389 litem &10 9033236 *1236 (MRCItem 33237 litem &1086 33390 33238 pos 66 33391 33239 dimension 20 33392 33240 uid 2605,0 33393 33241 ) 33394 *12 42(MRCItem33395 litem &10 9133242 *1237 (MRCItem 33243 litem &1087 33396 33244 pos 67 33397 33245 dimension 20 33398 33246 uid 2607,0 33399 33247 ) 33400 *12 43(MRCItem33401 litem &10 9233248 *1238 (MRCItem 33249 litem &1088 33402 33250 pos 68 33403 33251 dimension 20 33404 33252 uid 2613,0 33405 33253 ) 33406 *12 44(MRCItem33407 litem &10 9333254 *1239 (MRCItem 33255 litem &1089 33408 33256 pos 69 33409 33257 dimension 20 33410 33258 uid 2647,0 33411 33259 ) 33412 *124 5(MRCItem33413 litem &109 433260 *1240 (MRCItem 33261 litem &1090 33414 33262 pos 10 33415 33263 dimension 20 33416 33264 uid 2813,0 33417 33265 ) 33418 *124 6(MRCItem33419 litem &109 533266 *1241 (MRCItem 33267 litem &1091 33420 33268 pos 11 33421 33269 dimension 20 33422 33270 uid 3903,0 33423 33271 ) 33424 *124 7(MRCItem33425 litem &109 633272 *1242 (MRCItem 33273 litem &1092 33426 33274 pos 12 33427 33275 dimension 20 33428 33276 uid 4071,0 33429 33277 ) 33430 *124 8(MRCItem33431 litem &109 733278 *1243 (MRCItem 33279 litem &1093 33432 33280 pos 70 33433 33281 dimension 20 33434 33282 uid 4213,0 33435 33283 ) 33436 *124 9(MRCItem33437 litem &109 833284 *1244 (MRCItem 33285 litem &1094 33438 33286 pos 13 33439 33287 dimension 20 33440 33288 uid 4235,0 33441 33289 ) 33442 *12 50(MRCItem33443 litem &109 933290 *1245 (MRCItem 33291 litem &1095 33444 33292 pos 14 33445 33293 dimension 20 33446 33294 uid 4263,0 33447 33295 ) 33448 *12 51(MRCItem33449 litem &1 10033296 *1246 (MRCItem 33297 litem &1096 33450 33298 pos 15 33451 33299 dimension 20 33452 33300 uid 4277,0 33453 33301 ) 33454 *12 52(MRCItem33455 litem &1 10133302 *1247 (MRCItem 33303 litem &1097 33456 33304 pos 71 33457 33305 dimension 20 33458 33306 uid 4564,0 33459 33307 ) 33460 *12 53(MRCItem33461 litem &1 10233308 *1248 (MRCItem 33309 litem &1098 33462 33310 pos 72 33463 33311 dimension 20 33464 33312 uid 4566,0 33465 33313 ) 33466 *12 54(MRCItem33467 litem &1 10333314 *1249 (MRCItem 33315 litem &1099 33468 33316 pos 73 33469 33317 dimension 20 33470 33318 uid 4570,0 33471 33319 ) 33472 *125 5(MRCItem33473 litem &110 433320 *1250 (MRCItem 33321 litem &1100 33474 33322 pos 16 33475 33323 dimension 20 33476 33324 uid 4586,0 33477 33325 ) 33478 *125 6(MRCItem33479 litem &110 533326 *1251 (MRCItem 33327 litem &1101 33480 33328 pos 17 33481 33329 dimension 20 33482 33330 uid 4588,0 33483 33331 ) 33484 *125 7(MRCItem33485 litem &110 633332 *1252 (MRCItem 33333 litem &1102 33486 33334 pos 18 33487 33335 dimension 20 33488 33336 uid 4734,0 33489 33337 ) 33490 *125 8(MRCItem33491 litem &110 733338 *1253 (MRCItem 33339 litem &1103 33492 33340 pos 19 33493 33341 dimension 20 33494 33342 uid 4736,0 33495 33343 ) 33496 *125 9(MRCItem33497 litem &110 833344 *1254 (MRCItem 33345 litem &1104 33498 33346 pos 20 33499 33347 dimension 20 33500 33348 uid 4738,0 33501 33349 ) 33502 *12 60(MRCItem33503 litem &110 933350 *1255 (MRCItem 33351 litem &1105 33504 33352 pos 21 33505 33353 dimension 20 33506 33354 uid 4740,0 33507 33355 ) 33508 *12 61(MRCItem33509 litem &11 1033356 *1256 (MRCItem 33357 litem &1106 33510 33358 pos 74 33511 33359 dimension 20 33512 33360 uid 4750,0 33513 33361 ) 33514 *12 62(MRCItem33515 litem &11 1133362 *1257 (MRCItem 33363 litem &1107 33516 33364 pos 22 33517 33365 dimension 20 33518 33366 uid 4975,0 33519 33367 ) 33520 *12 63(MRCItem33521 litem &11 1233368 *1258 (MRCItem 33369 litem &1108 33522 33370 pos 23 33523 33371 dimension 20 33524 33372 uid 4977,0 33525 33373 ) 33526 *12 64(MRCItem33527 litem &11 1333374 *1259 (MRCItem 33375 litem &1109 33528 33376 pos 24 33529 33377 dimension 20 33530 33378 uid 5227,0 33531 33379 ) 33532 *126 5(MRCItem33533 litem &111 433380 *1260 (MRCItem 33381 litem &1110 33534 33382 pos 75 33535 33383 dimension 20 33536 33384 uid 5503,0 33537 33385 ) 33538 *126 6(MRCItem33539 litem &111 533386 *1261 (MRCItem 33387 litem &1111 33540 33388 pos 76 33541 33389 dimension 20 33542 33390 uid 5505,0 33543 33391 ) 33544 *126 7(MRCItem33545 litem &111 633392 *1262 (MRCItem 33393 litem &1112 33546 33394 pos 77 33547 33395 dimension 20 33548 33396 uid 5643,0 33549 33397 ) 33550 *126 8(MRCItem33551 litem &111 733398 *1263 (MRCItem 33399 litem &1113 33552 33400 pos 78 33553 33401 dimension 20 33554 33402 uid 5645,0 33555 33403 ) 33556 *126 9(MRCItem33557 litem &111 833404 *1264 (MRCItem 33405 litem &1114 33558 33406 pos 25 33559 33407 dimension 20 33560 33408 uid 5868,0 33561 33409 ) 33562 *12 70(MRCItem33563 litem &111 933410 *1265 (MRCItem 33411 litem &1115 33564 33412 pos 26 33565 33413 dimension 20 33566 33414 uid 5870,0 33567 33415 ) 33568 *12 71(MRCItem33569 litem &11 2033416 *1266 (MRCItem 33417 litem &1116 33570 33418 pos 27 33571 33419 dimension 20 33572 33420 uid 5872,0 33573 33421 ) 33574 *12 72(MRCItem33575 litem &11 2133422 *1267 (MRCItem 33423 litem &1117 33576 33424 pos 28 33577 33425 dimension 20 33578 33426 uid 5874,0 33579 33427 ) 33580 *12 73(MRCItem33581 litem &11 2233428 *1268 (MRCItem 33429 litem &1118 33582 33430 pos 29 33583 33431 dimension 20 33584 33432 uid 6173,0 33585 33433 ) 33586 *12 74(MRCItem33587 litem &11 2333434 *1269 (MRCItem 33435 litem &1119 33588 33436 pos 30 33589 33437 dimension 20 33590 33438 uid 6375,0 33591 33439 ) 33592 *127 5(MRCItem33593 litem &112 433440 *1270 (MRCItem 33441 litem &1120 33594 33442 pos 79 33595 33443 dimension 20 33596 33444 uid 8761,0 33597 33445 ) 33598 *127 6(MRCItem33599 litem &112 533446 *1271 (MRCItem 33447 litem &1121 33600 33448 pos 53 33601 33449 dimension 20 33602 33450 uid 9019,0 33603 33451 ) 33604 *127 7(MRCItem33605 litem &112 633452 *1272 (MRCItem 33453 litem &1122 33606 33454 pos 80 33607 33455 dimension 20 33608 33456 uid 9248,0 33609 33457 ) 33610 *127 8(MRCItem33611 litem &112 733458 *1273 (MRCItem 33459 litem &1123 33612 33460 pos 81 33613 33461 dimension 20 33614 33462 uid 9250,0 33615 33463 ) 33616 *127 9(MRCItem33617 litem &112 833464 *1274 (MRCItem 33465 litem &1124 33618 33466 pos 82 33619 33467 dimension 20 33620 33468 uid 10025,0 33621 33469 ) 33622 *12 80(MRCItem33623 litem &112 933470 *1275 (MRCItem 33471 litem &1125 33624 33472 pos 83 33625 33473 dimension 20 33626 33474 uid 10027,0 33627 33475 ) 33628 *12 81(MRCItem33629 litem &11 3033476 *1276 (MRCItem 33477 litem &1126 33630 33478 pos 84 33631 33479 dimension 20 33632 33480 uid 10029,0 33633 33481 ) 33634 *12 82(MRCItem33635 litem &11 3133482 *1277 (MRCItem 33483 litem &1127 33636 33484 pos 85 33637 33485 dimension 20 33638 33486 uid 10295,0 33639 33487 ) 33640 *12 83(MRCItem33641 litem &11 3233488 *1278 (MRCItem 33489 litem &1128 33642 33490 pos 86 33643 33491 dimension 20 33644 33492 uid 10335,0 33645 33493 ) 33646 *12 84(MRCItem33647 litem &11 3333494 *1279 (MRCItem 33495 litem &1129 33648 33496 pos 87 33649 33497 dimension 20 33650 33498 uid 10337,0 33651 33499 ) 33652 *128 5(MRCItem33653 litem &113 433500 *1280 (MRCItem 33501 litem &1130 33654 33502 pos 88 33655 33503 dimension 20 33656 33504 uid 10339,0 33657 33505 ) 33658 *128 6(MRCItem33659 litem &113 533506 *1281 (MRCItem 33507 litem &1131 33660 33508 pos 89 33661 33509 dimension 20 33662 33510 uid 10341,0 33663 33511 ) 33664 *128 7(MRCItem33665 litem &113 633512 *1282 (MRCItem 33513 litem &1132 33666 33514 pos 31 33667 33515 dimension 20 33668 33516 uid 10343,0 33669 33517 ) 33670 *128 8(MRCItem33671 litem &113 733518 *1283 (MRCItem 33519 litem &1133 33672 33520 pos 90 33673 33521 dimension 20 33674 33522 uid 10764,0 33675 33523 ) 33676 *128 9(MRCItem33677 litem &113 833524 *1284 (MRCItem 33525 litem &1134 33678 33526 pos 91 33679 33527 dimension 20 33680 33528 uid 10766,0 33681 33529 ) 33682 *12 90(MRCItem33683 litem &113 933530 *1285 (MRCItem 33531 litem &1135 33684 33532 pos 32 33685 33533 dimension 20 33686 33534 uid 10768,0 33687 33535 ) 33688 *12 91(MRCItem33689 litem &11 4033536 *1286 (MRCItem 33537 litem &1136 33690 33538 pos 33 33691 33539 dimension 20 33692 33540 uid 10770,0 33693 33541 ) 33694 *12 92(MRCItem33695 litem &11 4133542 *1287 (MRCItem 33543 litem &1137 33696 33544 pos 34 33697 33545 dimension 20 33698 33546 uid 10772,0 33699 33547 ) 33700 *12 93(MRCItem33701 litem &11 4233548 *1288 (MRCItem 33549 litem &1138 33702 33550 pos 92 33703 33551 dimension 20 33704 33552 uid 11412,0 33705 33553 ) 33706 *12 94(MRCItem33707 litem &11 4333554 *1289 (MRCItem 33555 litem &1139 33708 33556 pos 93 33709 33557 dimension 20 33710 33558 uid 11967,0 33711 33559 ) 33712 *129 5(MRCItem33713 litem &114 433560 *1290 (MRCItem 33561 litem &1140 33714 33562 pos 94 33715 33563 dimension 20 33716 33564 uid 12662,0 33717 33565 ) 33718 *129 6(MRCItem33719 litem &114 533566 *1291 (MRCItem 33567 litem &1141 33720 33568 pos 95 33721 33569 dimension 20 33722 33570 uid 12664,0 33723 33571 ) 33724 *129 7(MRCItem33725 litem &114 633572 *1292 (MRCItem 33573 litem &1142 33726 33574 pos 96 33727 33575 dimension 20 33728 33576 uid 13276,0 33729 33577 ) 33730 *129 8(MRCItem33731 litem &114 733578 *1293 (MRCItem 33579 litem &1143 33732 33580 pos 35 33733 33581 dimension 20 33734 33582 uid 13688,0 33735 33583 ) 33736 *129 9(MRCItem33737 litem &114 833584 *1294 (MRCItem 33585 litem &1144 33738 33586 pos 36 33739 33587 dimension 20 33740 33588 uid 14041,0 33741 33589 ) 33742 *1 300(MRCItem33743 litem &114 933590 *1295 (MRCItem 33591 litem &1145 33744 33592 pos 37 33745 33593 dimension 20 33746 33594 uid 14164,0 33747 33595 ) 33748 *1 301(MRCItem33749 litem &11 5033596 *1296 (MRCItem 33597 litem &1146 33750 33598 pos 38 33751 33599 dimension 20 33752 33600 uid 14508,0 33753 33601 ) 33754 *1 302(MRCItem33755 litem &11 5133602 *1297 (MRCItem 33603 litem &1147 33756 33604 pos 39 33757 33605 dimension 20 33758 33606 uid 14510,0 33759 33607 ) 33760 *1 303(MRCItem33761 litem &11 5233608 *1298 (MRCItem 33609 litem &1148 33762 33610 pos 40 33763 33611 dimension 20 33764 33612 uid 14635,0 33765 33613 ) 33766 *1 304(MRCItem33767 litem &11 5333614 *1299 (MRCItem 33615 litem &1149 33768 33616 pos 97 33769 33617 dimension 20 33770 33618 uid 15145,0 33771 33619 ) 33772 *130 5(MRCItem33773 litem &115 433620 *1300 (MRCItem 33621 litem &1150 33774 33622 pos 98 33775 33623 dimension 20 33776 33624 uid 15147,0 33777 33625 ) 33778 *130 6(MRCItem33779 litem &115 533626 *1301 (MRCItem 33627 litem &1151 33780 33628 pos 99 33781 33629 dimension 20 33782 33630 uid 15505,0 33783 33631 ) 33784 *130 7(MRCItem33785 litem &115 633632 *1302 (MRCItem 33633 litem &1152 33786 33634 pos 100 33787 33635 dimension 20 33788 33636 uid 15755,0 33789 33637 ) 33790 *130 8(MRCItem33791 litem &115 733638 *1303 (MRCItem 33639 litem &1153 33792 33640 pos 101 33793 33641 dimension 20 33794 33642 uid 16387,0 33795 33643 ) 33796 *130 9(MRCItem33797 litem &115 833644 *1304 (MRCItem 33645 litem &1154 33798 33646 pos 102 33799 33647 dimension 20 33800 33648 uid 16572,0 33801 33649 ) 33802 *13 10(MRCItem33803 litem &115 933650 *1305 (MRCItem 33651 litem &1155 33804 33652 pos 103 33805 33653 dimension 20 33806 33654 uid 16574,0 33807 33655 ) 33808 *13 11(MRCItem33809 litem &11 6033656 *1306 (MRCItem 33657 litem &1156 33810 33658 pos 104 33811 33659 dimension 20 33812 33660 uid 16962,0 33813 33661 ) 33814 *13 12(MRCItem33815 litem &11 6133662 *1307 (MRCItem 33663 litem &1157 33816 33664 pos 105 33817 33665 dimension 20 33818 33666 uid 16964,0 33819 33667 ) 33820 *13 13(MRCItem33821 litem &11 6233668 *1308 (MRCItem 33669 litem &1158 33822 33670 pos 106 33823 33671 dimension 20 33824 33672 uid 16966,0 33825 33673 ) 33826 *13 14(MRCItem33827 litem &11 6333674 *1309 (MRCItem 33675 litem &1159 33828 33676 pos 107 33829 33677 dimension 20 33830 33678 uid 17034,0 33831 33679 ) 33832 *131 5(MRCItem33833 litem &116 433680 *1310 (MRCItem 33681 litem &1160 33834 33682 pos 108 33835 33683 dimension 20 33836 33684 uid 17036,0 33837 33685 ) 33838 *131 6(MRCItem33839 litem &116 533686 *1311 (MRCItem 33687 litem &1161 33840 33688 pos 109 33841 33689 dimension 20 33842 33690 uid 17398,0 33843 33691 ) 33844 *131 7(MRCItem33845 litem &116 633692 *1312 (MRCItem 33693 litem &1162 33846 33694 pos 110 33847 33695 dimension 20 33848 33696 uid 18464,0 33849 33697 ) 33850 *131 8(MRCItem33851 litem &116 733698 *1313 (MRCItem 33699 litem &1163 33852 33700 pos 41 33853 33701 dimension 20 33854 33702 uid 18967,0 33855 33703 ) 33856 *131 9(MRCItem33857 litem &116 833704 *1314 (MRCItem 33705 litem &1164 33858 33706 pos 111 33859 33707 dimension 20 33860 33708 uid 20160,0 33861 33709 ) 33862 *13 20(MRCItem33863 litem &116 933710 *1315 (MRCItem 33711 litem &1165 33864 33712 pos 112 33865 33713 dimension 20 33866 33714 uid 20516,0 33867 33715 ) 33868 *13 21(MRCItem33869 litem &11 7033716 *1316 (MRCItem 33717 litem &1166 33870 33718 pos 113 33871 33719 dimension 20 33872 33720 uid 20518,0 33873 33721 ) 33874 *13 22(MRCItem33875 litem &11 7133722 *1317 (MRCItem 33723 litem &1167 33876 33724 pos 114 33877 33725 dimension 20 33878 33726 uid 20524,0 33879 33727 ) 33880 *13 23(MRCItem33881 litem &11 7233728 *1318 (MRCItem 33729 litem &1168 33882 33730 pos 115 33883 33731 dimension 20 33884 33732 uid 20526,0 33885 33733 ) 33886 *13 24(MRCItem33887 litem &11 7333734 *1319 (MRCItem 33735 litem &1169 33888 33736 pos 116 33889 33737 dimension 20 33890 33738 uid 21092,0 33891 33739 ) 33892 *132 5(MRCItem33893 litem &117 433740 *1320 (MRCItem 33741 litem &1170 33894 33742 pos 117 33895 33743 dimension 20 33896 33744 uid 21094,0 33897 33745 ) 33898 *132 6(MRCItem33899 litem &117 533746 *1321 (MRCItem 33747 litem &1171 33900 33748 pos 118 33901 33749 dimension 20 33902 33750 uid 21098,0 33903 33751 ) 33904 *132 7(MRCItem33905 litem &117 633752 *1322 (MRCItem 33753 litem &1172 33906 33754 pos 119 33907 33755 dimension 20 33908 33756 uid 21102,0 33909 33757 ) 33910 *132 8(MRCItem33911 litem &117 733758 *1323 (MRCItem 33759 litem &1173 33912 33760 pos 120 33913 33761 dimension 20 33914 33762 uid 21104,0 33915 33763 ) 33916 *132 9(MRCItem33917 litem &117 833764 *1324 (MRCItem 33765 litem &1174 33918 33766 pos 121 33919 33767 dimension 20 33920 33768 uid 21108,0 33921 33769 ) 33922 *13 30(MRCItem33923 litem &117 933770 *1325 (MRCItem 33771 litem &1175 33924 33772 pos 122 33925 33773 dimension 20 33926 33774 uid 22030,0 33927 33775 ) 33928 *13 31(MRCItem33929 litem &11 8033776 *1326 (MRCItem 33777 litem &1176 33930 33778 pos 123 33931 33779 dimension 20 33932 33780 uid 22032,0 33933 33781 ) 33934 *13 32(MRCItem33935 litem &11 8133782 *1327 (MRCItem 33783 litem &1177 33936 33784 pos 124 33937 33785 dimension 20 33938 33786 uid 22034,0 33939 33787 ) 33940 *13 33(MRCItem33941 litem &11 8233788 *1328 (MRCItem 33789 litem &1178 33942 33790 pos 125 33943 33791 dimension 20 33944 33792 uid 22036,0 33945 33793 ) 33946 *13 34(MRCItem33947 litem &11 8333794 *1329 (MRCItem 33795 litem &1179 33948 33796 pos 126 33949 33797 dimension 20 33950 33798 uid 22038,0 33951 33799 ) 33952 *133 5(MRCItem33953 litem &118 433800 *1330 (MRCItem 33801 litem &1180 33954 33802 pos 127 33955 33803 dimension 20 33956 33804 uid 22040,0 33957 33805 ) 33958 *133 6(MRCItem33959 litem &118 533806 *1331 (MRCItem 33807 litem &1181 33960 33808 pos 128 33961 33809 dimension 20 33962 33810 uid 22134,0 33963 33811 ) 33964 *133 7(MRCItem33965 litem &118 633812 *1332 (MRCItem 33813 litem &1182 33966 33814 pos 129 33967 33815 dimension 20 33968 33816 uid 22136,0 33969 33817 ) 33970 *133 8(MRCItem33971 litem &118 733818 *1333 (MRCItem 33819 litem &1183 33972 33820 pos 130 33973 33821 dimension 20 33974 33822 uid 22138,0 33975 33823 ) 33976 *133 9(MRCItem33977 litem &118 833824 *1334 (MRCItem 33825 litem &1184 33978 33826 pos 131 33979 33827 dimension 20 33980 33828 uid 22140,0 33981 33829 ) 33982 *13 40(MRCItem33983 litem &118 933830 *1335 (MRCItem 33831 litem &1185 33984 33832 pos 132 33985 33833 dimension 20 33986 33834 uid 23054,0 33987 33835 ) 33988 *13 41(MRCItem33989 litem &11 9033836 *1336 (MRCItem 33837 litem &1186 33990 33838 pos 133 33991 33839 dimension 20 33992 33840 uid 23374,0 33993 33841 ) 33994 *13 42(MRCItem33995 litem &11 9133842 *1337 (MRCItem 33843 litem &1187 33996 33844 pos 134 33997 33845 dimension 20 33998 33846 uid 23376,0 33999 33847 ) 34000 *13 43(MRCItem34001 litem &11 9233848 *1338 (MRCItem 33849 litem &1188 34002 33850 pos 42 34003 33851 dimension 20 34004 33852 uid 24091,0 34005 33853 ) 34006 *13 44(MRCItem34007 litem &11 9333854 *1339 (MRCItem 33855 litem &1189 34008 33856 pos 43 34009 33857 dimension 20 34010 33858 uid 24717,0 34011 33859 ) 34012 *134 5(MRCItem34013 litem &119 433860 *1340 (MRCItem 33861 litem &1190 34014 33862 pos 44 34015 33863 dimension 20 34016 33864 uid 24719,0 34017 33865 ) 34018 *134 6(MRCItem34019 litem &119 533866 *1341 (MRCItem 33867 litem &1191 34020 33868 pos 45 34021 33869 dimension 20 34022 33870 uid 24721,0 34023 33871 ) 34024 *134 7(MRCItem34025 litem &119 633872 *1342 (MRCItem 33873 litem &1192 34026 33874 pos 46 34027 33875 dimension 20 34028 33876 uid 24723,0 34029 33877 ) 34030 *134 8(MRCItem34031 litem &119 733878 *1343 (MRCItem 33879 litem &1193 34032 33880 pos 135 34033 33881 dimension 20 34034 33882 uid 24745,0 34035 33883 ) 34036 *134 9(MRCItem34037 litem &119 833884 *1344 (MRCItem 33885 litem &1194 34038 33886 pos 136 34039 33887 dimension 20 34040 33888 uid 25044,0 34041 33889 ) 34042 *13 50(MRCItem34043 litem &119 933890 *1345 (MRCItem 33891 litem &1195 34044 33892 pos 47 34045 33893 dimension 20 34046 33894 uid 25313,0 34047 33895 ) 34048 *13 51(MRCItem34049 litem &1 20033896 *1346 (MRCItem 33897 litem &1196 34050 33898 pos 48 34051 33899 dimension 20 34052 33900 uid 25570,0 34053 33901 ) 34054 *13 52(MRCItem34055 litem &1 20133902 *1347 (MRCItem 33903 litem &1197 34056 33904 pos 49 34057 33905 dimension 20 34058 33906 uid 25572,0 34059 33907 ) 34060 *13 53(MRCItem34061 litem &1 20233908 *1348 (MRCItem 33909 litem &1198 34062 33910 pos 137 34063 33911 dimension 20 34064 33912 uid 25847,0 34065 33913 ) 34066 *13 54(MRCItem34067 litem &1 20333914 *1349 (MRCItem 33915 litem &1199 34068 33916 pos 50 34069 33917 dimension 20 34070 33918 uid 26088,0 34071 33919 ) 34072 *135 5(MRCItem34073 litem &120 433920 *1350 (MRCItem 33921 litem &1200 34074 33922 pos 138 34075 33923 dimension 20 34076 33924 uid 26365,0 34077 33925 ) 34078 *135 6(MRCItem34079 litem &120 533926 *1351 (MRCItem 33927 litem &1201 34080 33928 pos 51 34081 33929 dimension 20 34082 33930 uid 26594,0 34083 33931 ) 34084 *135 7(MRCItem34085 litem &120 633932 *1352 (MRCItem 33933 litem &1202 34086 33934 pos 139 34087 33935 dimension 20 34088 33936 uid 27138,0 34089 33937 ) 34090 *135 8(MRCItem34091 litem &120 733938 *1353 (MRCItem 33939 litem &1203 34092 33940 pos 140 34093 33941 dimension 20 34094 33942 uid 27160,0 34095 33943 ) 34096 *135 9(MRCItem34097 litem &120 833944 *1354 (MRCItem 33945 litem &1204 34098 33946 pos 141 34099 33947 dimension 20 34100 33948 uid 27162,0 34101 33949 ) 34102 *13 60(MRCItem34103 litem &120 933950 *1355 (MRCItem 33951 litem &1205 34104 33952 pos 142 34105 33953 dimension 20 34106 33954 uid 27620,0 34107 33955 ) 34108 *13 61(MRCItem34109 litem &12 1033956 *1356 (MRCItem 33957 litem &1206 34110 33958 pos 52 34111 33959 dimension 20 34112 33960 uid 28291,0 34113 33961 ) 34114 *13 62(MRCItem34115 litem &12 1133962 *1357 (MRCItem 33963 litem &1207 34116 33964 pos 143 34117 33965 dimension 20 34118 33966 uid 29098,0 34119 33967 ) 34120 *13 63(MRCItem34121 litem &12 1233968 *1358 (MRCItem 33969 litem &1208 34122 33970 pos 144 34123 33971 dimension 20 34124 33972 uid 29100,0 34125 )34126 *1364 (MRCItem34127 litem &121334128 pos 14534129 dimension 2034130 uid 29805,034131 33973 ) 34132 33974 ] … … 34141 33983 uid 73,0 34142 33984 optionalChildren [ 34143 *13 65(MRCItem34144 litem &105 933985 *1359 (MRCItem 33986 litem &1055 34145 33987 pos 0 34146 33988 dimension 20 34147 33989 uid 74,0 34148 33990 ) 34149 *136 6(MRCItem34150 litem &10 6133991 *1360 (MRCItem 33992 litem &1057 34151 33993 pos 1 34152 33994 dimension 50 34153 33995 uid 75,0 34154 33996 ) 34155 *136 7(MRCItem34156 litem &10 6233997 *1361 (MRCItem 33998 litem &1058 34157 33999 pos 2 34158 34000 dimension 100 34159 34001 uid 76,0 34160 34002 ) 34161 *136 8(MRCItem34162 litem &10 6334003 *1362 (MRCItem 34004 litem &1059 34163 34005 pos 3 34164 34006 dimension 50 34165 34007 uid 77,0 34166 34008 ) 34167 *136 9(MRCItem34168 litem &106 434009 *1363 (MRCItem 34010 litem &1060 34169 34011 pos 4 34170 34012 dimension 100 34171 34013 uid 78,0 34172 34014 ) 34173 *13 70(MRCItem34174 litem &106 534015 *1364 (MRCItem 34016 litem &1061 34175 34017 pos 5 34176 34018 dimension 100 34177 34019 uid 79,0 34178 34020 ) 34179 *13 71(MRCItem34180 litem &106 634021 *1365 (MRCItem 34022 litem &1062 34181 34023 pos 6 34182 34024 dimension 50 34183 34025 uid 80,0 34184 34026 ) 34185 *13 72(MRCItem34186 litem &106 734027 *1366 (MRCItem 34028 litem &1063 34187 34029 pos 7 34188 34030 dimension 290 … … 34204 34046 genericsCommonDM (CommonDM 34205 34047 ldm (LogicalDM 34206 emptyRow *13 73(LEmptyRow34048 emptyRow *1367 (LEmptyRow 34207 34049 ) 34208 34050 uid 83,0 34209 34051 optionalChildren [ 34210 *13 74(RefLabelRowHdr34211 ) 34212 *13 75(TitleRowHdr34213 ) 34214 *137 6(FilterRowHdr34215 ) 34216 *137 7(RefLabelColHdr34052 *1368 (RefLabelRowHdr 34053 ) 34054 *1369 (TitleRowHdr 34055 ) 34056 *1370 (FilterRowHdr 34057 ) 34058 *1371 (RefLabelColHdr 34217 34059 tm "RefLabelColHdrMgr" 34218 34060 ) 34219 *137 8(RowExpandColHdr34061 *1372 (RowExpandColHdr 34220 34062 tm "RowExpandColHdrMgr" 34221 34063 ) 34222 *137 9(GroupColHdr34064 *1373 (GroupColHdr 34223 34065 tm "GroupColHdrMgr" 34224 34066 ) 34225 *13 80(NameColHdr34067 *1374 (NameColHdr 34226 34068 tm "GenericNameColHdrMgr" 34227 34069 ) 34228 *13 81(TypeColHdr34070 *1375 (TypeColHdr 34229 34071 tm "GenericTypeColHdrMgr" 34230 34072 ) 34231 *13 82(InitColHdr34073 *1376 (InitColHdr 34232 34074 tm "GenericValueColHdrMgr" 34233 34075 ) 34234 *13 83(PragmaColHdr34076 *1377 (PragmaColHdr 34235 34077 tm "GenericPragmaColHdrMgr" 34236 34078 ) 34237 *13 84(EolColHdr34079 *1378 (EolColHdr 34238 34080 tm "GenericEolColHdrMgr" 34239 34081 ) 34240 *13 85(LogGeneric34082 *1379 (LogGeneric 34241 34083 generic (GiElement 34242 34084 name "RAMADDRWIDTH64b" … … 34253 34095 uid 95,0 34254 34096 optionalChildren [ 34255 *138 6(Sheet34097 *1380 (Sheet 34256 34098 sheetRow (SheetRow 34257 34099 headerVa (MVa … … 34270 34112 font "Tahoma,10,0" 34271 34113 ) 34272 emptyMRCItem *138 7(MRCItem34273 litem &13 7334114 emptyMRCItem *1381 (MRCItem 34115 litem &1367 34274 34116 pos 1 34275 34117 dimension 20 … … 34277 34119 uid 97,0 34278 34120 optionalChildren [ 34279 *138 8(MRCItem34280 litem &13 7434121 *1382 (MRCItem 34122 litem &1368 34281 34123 pos 0 34282 34124 dimension 20 34283 34125 uid 98,0 34284 34126 ) 34285 *138 9(MRCItem34286 litem &13 7534127 *1383 (MRCItem 34128 litem &1369 34287 34129 pos 1 34288 34130 dimension 23 34289 34131 uid 99,0 34290 34132 ) 34291 *13 90(MRCItem34292 litem &137 634133 *1384 (MRCItem 34134 litem &1370 34293 34135 pos 2 34294 34136 hidden 1 … … 34296 34138 uid 100,0 34297 34139 ) 34298 *13 91(MRCItem34299 litem &13 8534140 *1385 (MRCItem 34141 litem &1379 34300 34142 pos 0 34301 34143 dimension 20 … … 34313 34155 uid 101,0 34314 34156 optionalChildren [ 34315 *13 92(MRCItem34316 litem &137 734157 *1386 (MRCItem 34158 litem &1371 34317 34159 pos 0 34318 34160 dimension 20 34319 34161 uid 102,0 34320 34162 ) 34321 *13 93(MRCItem34322 litem &137 934163 *1387 (MRCItem 34164 litem &1373 34323 34165 pos 1 34324 34166 dimension 50 34325 34167 uid 103,0 34326 34168 ) 34327 *13 94(MRCItem34328 litem &13 8034169 *1388 (MRCItem 34170 litem &1374 34329 34171 pos 2 34330 34172 dimension 186 34331 34173 uid 104,0 34332 34174 ) 34333 *13 95(MRCItem34334 litem &13 8134175 *1389 (MRCItem 34176 litem &1375 34335 34177 pos 3 34336 34178 dimension 96 34337 34179 uid 105,0 34338 34180 ) 34339 *139 6(MRCItem34340 litem &13 8234181 *1390 (MRCItem 34182 litem &1376 34341 34183 pos 4 34342 34184 dimension 50 34343 34185 uid 106,0 34344 34186 ) 34345 *139 7(MRCItem34346 litem &13 8334187 *1391 (MRCItem 34188 litem &1377 34347 34189 pos 5 34348 34190 dimension 50 34349 34191 uid 107,0 34350 34192 ) 34351 *139 8(MRCItem34352 litem &13 8434193 *1392 (MRCItem 34194 litem &1378 34353 34195 pos 6 34354 34196 dimension 80
Note:
See TracChangeset
for help on using the changeset viewer.