Ignore:
Timestamp:
06/10/11 16:59:58 (14 years ago)
Author:
neise
Message:
 
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib
Files:
1 added
6 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib

    • Property svn:ignore
      • TabularUnified  

        old new  
        44*.sig
        55iMPACT
        6 ise
        76work
  • TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd

    r10958 r10988  
    7575uid 19500,0
    7676)
     77(Instance
     78name "I4"
     79duLibraryName "moduleware"
     80duName "assignment"
     81elements [
     82]
     83mwi 1
     84uid 20188,0
     85)
    7786]
    7887embeddedInstances [
     
    151160(vvPair
    152161variable "date"
    153 value "09.06.2011"
     162value "10.06.2011"
    154163)
    155164(vvPair
    156165variable "day"
    157 value "Do"
     166value "Fr"
    158167)
    159168(vvPair
    160169variable "day_long"
    161 value "Donnerstag"
     170value "Freitag"
    162171)
    163172(vvPair
    164173variable "dd"
    165 value "09"
     174value "10"
    166175)
    167176(vvPair
     
    299308(vvPair
    300309variable "time"
    301 value "16:56:32"
     310value "10:56:46"
    302311)
    303312(vvPair
     
    852861)
    853862xt "39000,52800,70500,53600"
    854 st "SIGNAL board_id              : std_logic_vector(3 downto 0)"
     863st "SIGNAL board_id              : std_logic_vector(3 downto 0)
     864"
    855865)
    856866)
     
    870880)
    871881xt "39000,54400,70500,55200"
    872 st "SIGNAL crate_id              : std_logic_vector(1 downto 0)"
     882st "SIGNAL crate_id              : std_logic_vector(1 downto 0)
     883"
    873884)
    874885)
     
    11931204)
    11941205xt "39000,50400,66000,51200"
    1195 st "SIGNAL adc_data_array        : adc_data_array_type"
     1206st "SIGNAL adc_data_array        : adc_data_array_type
     1207"
    11961208)
    11971209)
     
    12111223)
    12121224xt "39000,35000,71500,35800"
    1213 st "RSRLOAD               : std_logic                      := '0'"
     1225st "RSRLOAD               : std_logic                      := '0'
     1226"
    12141227)
    12151228)
     
    12731286)
    12741287xt "39000,20600,57000,21400"
    1275 st "DAC_CS                : std_logic"
     1288st "DAC_CS                : std_logic
     1289"
    12761290)
    12771291)
     
    13371351)
    13381352xt "39000,16600,57000,17400"
    1339 st "X_50M                 : STD_LOGIC"
     1353st "X_50M                 : STD_LOGIC
     1354"
    13401355)
    13411356)
     
    13541369)
    13551370xt "39000,15000,57000,15800"
    1356 st "TRG                   : STD_LOGIC"
     1371st "TRG                   : STD_LOGIC
     1372"
    13571373)
    13581374)
     
    14651481)
    14661482xt "39000,19800,67000,20600"
    1467 st "A_CLK                 : std_logic_vector(3 downto 0)"
     1483st "A_CLK                 : std_logic_vector(3 downto 0)
     1484"
    14681485)
    14691486)
     
    15291546)
    15301547xt "39000,28600,57000,29400"
    1531 st "OE_ADC                : STD_LOGIC"
     1548st "OE_ADC                : STD_LOGIC
     1549"
    15321550)
    15331551)
     
    15921610)
    15931611xt "39000,7000,67000,7800"
    1594 st "A_OTR                 : std_logic_vector(3 DOWNTO 0)"
     1612st "A_OTR                 : std_logic_vector(3 DOWNTO 0)
     1613"
    15951614)
    15961615)
     
    18781897)
    18791898xt "39000,3800,67500,4600"
    1880 st "A0_D                  : std_logic_vector(11 DOWNTO 0)"
     1899st "A0_D                  : std_logic_vector(11 DOWNTO 0)
     1900"
    18811901)
    18821902)
     
    18961916)
    18971917xt "39000,4600,67500,5400"
    1898 st "A1_D                  : std_logic_vector(11 DOWNTO 0)"
     1918st "A1_D                  : std_logic_vector(11 DOWNTO 0)
     1919"
    18991920)
    19001921)
     
    19141935)
    19151936xt "39000,5400,67500,6200"
    1916 st "A2_D                  : std_logic_vector(11 DOWNTO 0)"
     1937st "A2_D                  : std_logic_vector(11 DOWNTO 0)
     1938"
    19171939)
    19181940)
     
    19321954)
    19331955xt "39000,6200,67500,7000"
    1934 st "A3_D                  : std_logic_vector(11 DOWNTO 0)"
     1956st "A3_D                  : std_logic_vector(11 DOWNTO 0)
     1957"
    19351958)
    19361959)
     
    21292152)
    21302153xt "39000,7800,57000,8600"
    2131 st "D0_SROUT              : std_logic"
     2154st "D0_SROUT              : std_logic
     2155"
    21322156)
    21332157)
     
    21462170)
    21472171xt "39000,8600,57000,9400"
    2148 st "D1_SROUT              : std_logic"
     2172st "D1_SROUT              : std_logic
     2173"
    21492174)
    21502175)
     
    21632188)
    21642189xt "39000,9400,57000,10200"
    2165 st "D2_SROUT              : std_logic"
     2190st "D2_SROUT              : std_logic
     2191"
    21662192)
    21672193)
     
    21802206)
    21812207xt "39000,10200,57000,11000"
    2182 st "D3_SROUT              : std_logic"
     2208st "D3_SROUT              : std_logic
     2209"
    21832210)
    21842211)
     
    22442271)
    22452272xt "39000,23800,77500,24600"
    2246 st "D_A                   : std_logic_vector(3 DOWNTO 0)   := (others => '0')"
     2273st "D_A                   : std_logic_vector(3 DOWNTO 0)   := (others => '0')
     2274"
    22472275)
    22482276)
     
    23072335)
    23082336xt "39000,23000,71500,23800"
    2309 st "DWRITE                : std_logic                      := '0'"
     2337st "DWRITE                : std_logic                      := '0'
     2338"
    23102339)
    23112340)
     
    24122441)
    24132442xt "39000,36600,57000,37400"
    2414 st "S_CLK                 : std_logic"
     2443st "S_CLK                 : std_logic
     2444"
    24152445)
    24162446)
     
    24302460)
    24312461xt "39000,39000,67000,39800"
    2432 st "W_A                   : std_logic_vector(9 DOWNTO 0)"
     2462st "W_A                   : std_logic_vector(9 DOWNTO 0)
     2463"
    24332464)
    24342465)
     
    24482479)
    24492480xt "39000,44600,67500,45400"
    2450 st "W_D                   : std_logic_vector(15 DOWNTO 0)"
     2481st "W_D                   : std_logic_vector(15 DOWNTO 0)
     2482"
    24512483)
    24522484)
     
    24662498)
    24672499xt "39000,41400,71500,42200"
    2468 st "W_RES                 : std_logic                      := '1'"
     2500st "W_RES                 : std_logic                      := '1'
     2501"
    24692502)
    24702503)
     
    24842517)
    24852518xt "39000,40600,71500,41400"
    2486 st "W_RD                  : std_logic                      := '1'"
     2519st "W_RD                  : std_logic                      := '1'
     2520"
    24872521)
    24882522)
     
    25022536)
    25032537xt "39000,43000,71500,43800"
    2504 st "W_WR                  : std_logic                      := '1'"
     2538st "W_WR                  : std_logic                      := '1'
     2539"
    25052540)
    25062541)
     
    25192554)
    25202555xt "39000,15800,57000,16600"
    2521 st "W_INT                 : std_logic"
     2556st "W_INT                 : std_logic
     2557"
    25222558)
    25232559)
     
    25372573)
    25382574xt "39000,39800,71500,40600"
    2539 st "W_CS                  : std_logic                      := '1'"
     2575st "W_CS                  : std_logic                      := '1'
     2576"
    25402577)
    25412578)
     
    25972634)
    25982635xt "39000,27800,71500,28600"
    2599 st "MOSI                  : std_logic                      := '0'"
     2636st "MOSI                  : std_logic                      := '0'
     2637"
    26002638)
    26012639)
     
    26602698)
    26612699xt "39000,43800,57000,44600"
    2662 st "MISO                  : std_logic"
     2700st "MISO                  : std_logic
     2701"
    26632702)
    26642703)
     
    29412980)
    29422981xt "39000,31800,57000,32600"
    2943 st "RS485_C_RE            : std_logic"
     2982st "RS485_C_RE            : std_logic
     2983"
    29442984)
    29452985)
     
    29582998)
    29592999xt "39000,30200,57000,31000"
    2960 st "RS485_C_DE            : std_logic"
     3000st "RS485_C_DE            : std_logic
     3001"
    29613002)
    29623003)
     
    29753016)
    29763017xt "39000,34200,57000,35000"
    2977 st "RS485_E_RE            : std_logic"
     3018st "RS485_E_RE            : std_logic
     3019"
    29783020)
    29793021)
     
    29923034)
    29933035xt "39000,32600,57000,33400"
    2994 st "RS485_E_DE            : std_logic"
     3036st "RS485_E_DE            : std_logic
     3037"
    29953038)
    29963039)
     
    30103053)
    30113054xt "39000,21400,71500,22200"
    3012 st "DENABLE               : std_logic                      := '0'"
     3055st "DENABLE               : std_logic                      := '0'
     3056"
    30133057)
    30143058)
     
    30273071)
    30283072xt "39000,26200,57000,27000"
    3029 st "EE_CS                 : std_logic"
     3073st "EE_CS                 : std_logic
     3074"
    30303075)
    30313076)
     
    30903135)
    30913136xt "39000,24600,77500,25400"
    3092 st "D_T                   : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
     3137st "D_T                   : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
     3138"
    30933139)
    30943140)
     
    31533199)
    31543200xt "39000,25400,77500,26200"
    3155 st "D_T2                  : std_logic_vector(1 DOWNTO 0)   := (others => '0')"
     3201st "D_T2                  : std_logic_vector(1 DOWNTO 0)   := (others => '0')
     3202"
    31563203)
    31573204)
     
    32163263)
    32173264xt "39000,18200,77500,19000"
    3218 st "A1_T                  : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
     3265st "A1_T                  : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
     3266"
    32193267)
    32203268)
     
    32333281)
    32343282xt "39000,47200,61000,48000"
    3235 st "SIGNAL CLK_50                : std_logic"
     3283st "SIGNAL CLK_50                : std_logic
     3284"
    32363285)
    32373286)
     
    32963345)
    32973346xt "39000,17400,77500,18200"
    3298 st "A0_T                  : std_logic_vector(7 DOWNTO 0)   := (others => '0')"
     3347st "A0_T                  : std_logic_vector(7 DOWNTO 0)   := (others => '0')
     3348"
    32993349)
    33003350)
     
    34943544)
    34953545xt "39000,31000,57000,31800"
    3496 st "RS485_C_DO            : std_logic"
     3546st "RS485_C_DO            : std_logic
     3547"
    34973548)
    34983549)
     
    35553606)
    35563607xt "39000,14200,57000,15000"
    3557 st "RS485_E_DI            : std_logic"
     3608st "RS485_E_DI            : std_logic
     3609"
    35583610)
    35593611)
     
    35723624)
    35733625xt "39000,33400,57000,34200"
    3574 st "RS485_E_DO            : std_logic"
     3626st "RS485_E_DO            : std_logic
     3627"
    35753628)
    35763629)
     
    36343687)
    36353688xt "39000,35800,71500,36600"
    3636 st "SRIN                  : std_logic                      := '0'"
     3689st "SRIN                  : std_logic                      := '0'
     3690"
    36373691)
    36383692)
     
    37833837)
    37843838xt "39000,19000,57000,19800"
    3785 st "AMBER_LED             : std_logic"
     3839st "AMBER_LED             : std_logic
     3840"
    37863841)
    37873842)
     
    38003855)
    38013856xt "39000,27000,57000,27800"
    3802 st "GREEN_LED             : std_logic"
     3857st "GREEN_LED             : std_logic
     3858"
    38033859)
    38043860)
     
    38173873)
    38183874xt "39000,29400,57000,30200"
    3819 st "RED_LED               : std_logic"
     3875st "RED_LED               : std_logic
     3876"
    38203877)
    38213878)
     
    38803937)
    38813938xt "39000,12600,68000,13400"
    3882 st "LINE                  : std_logic_vector( 5 DOWNTO 0 )"
     3939st "LINE                  : std_logic_vector( 5 DOWNTO 0 )
     3940"
    38833941)
    38843942)
     
    39424000)
    39434001xt "39000,13400,57000,14200"
    3944 st "REFCLK                : std_logic"
     4002st "REFCLK                : std_logic
     4003"
    39454004)
    39464005)
     
    40054064)
    40064065xt "39000,11800,67000,12600"
    4007 st "D_T_in                : std_logic_vector(1 DOWNTO 0)"
     4066st "D_T_in                : std_logic_vector(1 DOWNTO 0)
     4067"
    40084068)
    40094069)
     
    40254085)
    40264086xt "39000,57600,81000,58400"
    4027 st "SIGNAL led                   : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
     4087st "SIGNAL led                   : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
     4088"
    40284089)
    40294090)
     
    41324193)
    41334194xt "39000,11000,67000,11800"
    4134 st "D_PLLLCK              : std_logic_vector(3 DOWNTO 0)"
     4195st "D_PLLLCK              : std_logic_vector(3 DOWNTO 0)
     4196"
    41354197)
    41364198)
     
    41944256)
    41954257xt "39000,37400,67000,38200"
    4196 st "TCS                   : std_logic_vector(3 DOWNTO 0)"
     4258st "TCS                   : std_logic_vector(3 DOWNTO 0)
     4259"
    41974260)
    41984261)
     
    42584321)
    42594322xt "39000,22200,77500,23000"
    4260 st "DSRCLK                : std_logic_vector(3 DOWNTO 0)   := (others => '0')"
     4323st "DSRCLK                : std_logic_vector(3 DOWNTO 0)   := (others => '0')
     4324"
    42614325)
    42624326)
     
    42764340)
    42774341xt "39000,49600,75000,50400"
    4278 st "SIGNAL SRCLK                 : std_logic                      := '0'"
     4342st "SIGNAL SRCLK                 : std_logic                      := '0'
     4343"
    42794344)
    42804345)
     
    43814446)
    43824447xt "39000,51200,75000,52000"
    4383 st "SIGNAL alarm_refclk_too_high : std_logic                      := '0'"
     4448st "SIGNAL alarm_refclk_too_high : std_logic                      := '0'
     4449"
    43844450)
    43854451)
     
    43994465)
    44004466xt "39000,52000,75000,52800"
    4401 st "SIGNAL alarm_refclk_too_low  : std_logic                      := '0'"
     4467st "SIGNAL alarm_refclk_too_low  : std_logic                      := '0'
     4468"
    44024469)
    44034470)
     
    44184485)
    44194486xt "39000,53600,81000,54400"
    4420 st "SIGNAL counter_result        : std_logic_vector(11 downto 0)  := (others => '0')"
     4487st "SIGNAL counter_result        : std_logic_vector(11 downto 0)  := (others => '0')
     4488"
    44214489)
    44224490)
     
    64526520)
    64536521xt "39000,46400,61000,47200"
    6454 st "SIGNAL ADC_CLK               : std_logic"
     6522st "SIGNAL ADC_CLK               : std_logic
     6523"
    64556524)
    64566525)
     
    64686537sl 0
    64696538ro 270
    6470 xt "87500,143625,89000,144375"
     6539xt "112500,143625,114000,144375"
    64716540)
    64726541(Line
     
    64746543sl 0
    64756544ro 270
    6476 xt "87000,144000,87500,144000"
    6477 pts [
    6478 "87000,144000"
    6479 "87500,144000"
     6545xt "112000,144000,112500,144000"
     6546pts [
     6547"112000,144000"
     6548"112500,144000"
    64806549]
    64816550)
     
    64926561va (VaSet
    64936562)
    6494 xt "90000,143500,92900,144500"
     6563xt "115000,143500,117900,144500"
    64956564st "TRG_V"
    6496 blo "90000,144300"
     6565blo "115000,144300"
    64976566tm "WireNameMgr"
    64986567)
     
    65156584)
    65166585xt "39000,38200,71500,39000"
    6517 st "TRG_V                 : std_logic                      := '0'"
     6586st "TRG_V                 : std_logic                      := '0'
     6587"
    65186588)
    65196589)
     
    65346604font "Courier New,8,0"
    65356605)
    6536 xt "39000,62400,96000,63200"
    6537 st "SIGNAL w5300_state           : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging."
     6606xt "39000,63200,96000,64000"
     6607st "SIGNAL w5300_state           : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
     6608"
    65386609)
    65396610)
     
    65526623)
    65536624xt "39000,56000,61000,56800"
    6554 st "SIGNAL debug_data_ram_empty  : std_logic"
     6625st "SIGNAL debug_data_ram_empty  : std_logic
     6626"
    65556627)
    65566628)
     
    65696641)
    65706642xt "39000,56800,61000,57600"
    6571 st "SIGNAL debug_data_valid      : std_logic"
     6643st "SIGNAL debug_data_valid      : std_logic
     6644"
    65726645)
    65736646)
     
    65906663)
    65916664xt "39000,58400,96000,59200"
    6592 st "SIGNAL mem_manager_state     : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging."
     6665st "SIGNAL mem_manager_state     : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
     6666"
    65936667)
    65946668)
     
    66116685xt "39000,48000,70500,49600"
    66126686st "-- for debugging
    6613 SIGNAL DG_state              : std_logic_vector(7 downto 0)"
     6687SIGNAL DG_state              : std_logic_vector(7 downto 0)
     6688"
    66146689)
    66156690)
     
    66316706)
    66326707xt "39000,61600,86000,62400"
    6633 st "SIGNAL socket_tx_free_out    : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true"
     6708st "SIGNAL socket_tx_free_out    : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true
     6709"
    66346710)
    66356711)
     
    66946770)
    66956771xt "39000,42200,77500,43000"
    6696 st "W_T                   : std_logic_vector( 3 DOWNTO 0 ) := (others => '0')"
     6772st "W_T                   : std_logic_vector( 3 DOWNTO 0 ) := (others => '0')
     6773"
    66976774)
    66986775)
     
    79778054)
    79788055xt "39000,55200,61000,56000"
    7979 st "SIGNAL dac_cs1               : std_logic"
     8056st "SIGNAL dac_cs1               : std_logic
     8057"
    79808058)
    79818059)
     
    79958073)
    79968074xt "39000,60800,70500,61600"
    7997 st "SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0)"
     8075st "SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0)
     8076"
    79988077)
    79998078)
     
    80128091)
    80138092xt "39000,60000,61000,60800"
    8014 st "SIGNAL sclk                  : std_logic"
     8093st "SIGNAL sclk                  : std_logic
     8094"
    80158095)
    80168096)
     
    80298109)
    80308110xt "39000,59200,61000,60000"
    8031 st "SIGNAL mosi1                 : std_logic"
    8032 )
    8033 )
    8034 *265 (Wire
     8111st "SIGNAL mosi1                 : std_logic
     8112"
     8113)
     8114)
     8115*265 (MWC
     8116uid 20188,0
     8117optionalChildren [
     8118*266 (CptPort
     8119uid 20197,0
     8120optionalChildren [
     8121*267 (Line
     8122uid 20202,0
     8123layer 5
     8124sl 0
     8125va (VaSet
     8126vasetType 3
     8127)
     8128xt "105000,137000,105000,137000"
     8129pts [
     8130"105000,137000"
     8131"105000,137000"
     8132]
     8133)
     8134]
     8135ps "OnEdgeStrategy"
     8136shape (Triangle
     8137uid 20198,0
     8138ro 90
     8139va (VaSet
     8140vasetType 1
     8141isHidden 1
     8142fg "0,65535,65535"
     8143)
     8144xt "104250,136625,105000,137375"
     8145)
     8146tg (CPTG
     8147uid 20199,0
     8148ps "CptPortTextPlaceStrategy"
     8149stg "VerticalLayoutStrategy"
     8150f (Text
     8151uid 20200,0
     8152sl 0
     8153va (VaSet
     8154isHidden 1
     8155font "arial,8,0"
     8156)
     8157xt "498200,98500,499000,99500"
     8158st "s"
     8159blo "498200,99300"
     8160)
     8161s (Text
     8162uid 20201,0
     8163sl 0
     8164va (VaSet
     8165font "arial,8,0"
     8166)
     8167xt "498200,99500,498200,99500"
     8168blo "498200,99500"
     8169)
     8170)
     8171thePort (LogicalPort
     8172decl (Decl
     8173n "s"
     8174t "std_logic"
     8175o 73
     8176i "'1'"
     8177)
     8178)
     8179)
     8180*268 (CptPort
     8181uid 20203,0
     8182optionalChildren [
     8183*269 (Line
     8184uid 20208,0
     8185layer 5
     8186sl 0
     8187va (VaSet
     8188vasetType 3
     8189)
     8190xt "108000,137000,108000,137000"
     8191pts [
     8192"108000,137000"
     8193"108000,137000"
     8194]
     8195)
     8196]
     8197ps "OnEdgeStrategy"
     8198shape (Triangle
     8199uid 20204,0
     8200ro 90
     8201va (VaSet
     8202vasetType 1
     8203isHidden 1
     8204fg "0,65535,65535"
     8205)
     8206xt "108000,136625,108750,137375"
     8207)
     8208tg (CPTG
     8209uid 20205,0
     8210ps "CptPortTextPlaceStrategy"
     8211stg "RightVerticalLayoutStrategy"
     8212f (Text
     8213uid 20206,0
     8214sl 0
     8215va (VaSet
     8216isHidden 1
     8217font "arial,8,0"
     8218)
     8219xt "496000,96500,496600,97500"
     8220st "t"
     8221ju 2
     8222blo "496600,97300"
     8223)
     8224s (Text
     8225uid 20207,0
     8226sl 0
     8227va (VaSet
     8228font "arial,8,0"
     8229)
     8230xt "496600,97500,496600,97500"
     8231ju 2
     8232blo "496600,97500"
     8233)
     8234)
     8235thePort (LogicalPort
     8236lang 2
     8237m 1
     8238decl (Decl
     8239n "t"
     8240t "std_logic"
     8241o 44
     8242i "'0'"
     8243)
     8244)
     8245)
     8246*270 (CommentGraphic
     8247uid 20209,0
     8248shape (PolyLine2D
     8249pts [
     8250"105000,137000"
     8251"106000,136000"
     8252]
     8253uid 20210,0
     8254layer 8
     8255sl 0
     8256va (VaSet
     8257vasetType 1
     8258transparent 1
     8259fg "49152,49152,49152"
     8260lineColor "26368,26368,26368"
     8261lineWidth 2
     8262)
     8263xt "105000,136000,106000,137000"
     8264)
     8265oxt "6000,6000,7000,7000"
     8266)
     8267*271 (CommentGraphic
     8268uid 20211,0
     8269shape (PolyLine2D
     8270pts [
     8271"105000,137000"
     8272"106000,138000"
     8273]
     8274uid 20212,0
     8275layer 8
     8276sl 0
     8277va (VaSet
     8278vasetType 1
     8279transparent 1
     8280fg "49152,49152,49152"
     8281lineColor "26368,26368,26368"
     8282lineWidth 2
     8283)
     8284xt "105000,137000,106000,138000"
     8285)
     8286oxt "6000,7000,7000,8000"
     8287)
     8288*272 (CommentGraphic
     8289uid 20213,0
     8290shape (PolyLine2D
     8291pts [
     8292"105988,137329"
     8293"106988,137329"
     8294]
     8295uid 20214,0
     8296layer 8
     8297sl 0
     8298va (VaSet
     8299vasetType 1
     8300transparent 1
     8301fg "49152,49152,49152"
     8302lineColor "26368,26368,26368"
     8303lineWidth 2
     8304)
     8305xt "105988,137329,106988,137329"
     8306)
     8307oxt "6988,7329,7988,7329"
     8308)
     8309*273 (CommentGraphic
     8310uid 20215,0
     8311shape (PolyLine2D
     8312pts [
     8313"107000,137000"
     8314"108000,137000"
     8315]
     8316uid 20216,0
     8317layer 0
     8318sl 0
     8319va (VaSet
     8320vasetType 1
     8321transparent 1
     8322fg "49152,49152,49152"
     8323)
     8324xt "107000,137000,108000,137000"
     8325)
     8326oxt "8000,7000,9000,7000"
     8327)
     8328*274 (CommentGraphic
     8329uid 20217,0
     8330shape (PolyLine2D
     8331pts [
     8332"105976,136730"
     8333"106976,136730"
     8334]
     8335uid 20218,0
     8336layer 8
     8337sl 0
     8338va (VaSet
     8339vasetType 1
     8340transparent 1
     8341fg "49152,49152,49152"
     8342lineColor "26368,26368,26368"
     8343lineWidth 2
     8344)
     8345xt "105976,136730,106976,136730"
     8346)
     8347oxt "6976,6730,7976,6730"
     8348)
     8349]
     8350shape (Rectangle
     8351uid 20189,0
     8352va (VaSet
     8353vasetType 1
     8354transparent 1
     8355fg "0,65535,0"
     8356lineColor "65535,65535,65535"
     8357lineWidth -1
     8358)
     8359xt "105000,136000,108000,138000"
     8360fos 1
     8361)
     8362showPorts 0
     8363oxt "6000,6000,9000,8000"
     8364ttg (MlTextGroup
     8365uid 20190,0
     8366ps "CenterOffsetStrategy"
     8367stg "VerticalLayoutStrategy"
     8368textVec [
     8369*275 (Text
     8370uid 20191,0
     8371va (VaSet
     8372isHidden 1
     8373font "arial,8,0"
     8374)
     8375xt "105350,137100,110150,138100"
     8376st "moduleware"
     8377blo "105350,137900"
     8378)
     8379*276 (Text
     8380uid 20192,0
     8381va (VaSet
     8382font "arial,8,0"
     8383)
     8384xt "105350,138100,110050,139100"
     8385st "assignment"
     8386blo "105350,138900"
     8387)
     8388*277 (Text
     8389uid 20193,0
     8390va (VaSet
     8391font "arial,8,0"
     8392)
     8393xt "105350,139100,106350,140100"
     8394st "I4"
     8395blo "105350,139900"
     8396tm "InstanceNameMgr"
     8397)
     8398]
     8399)
     8400ga (GenericAssociation
     8401uid 20194,0
     8402ps "EdgeToEdgeStrategy"
     8403matrix (Matrix
     8404uid 20195,0
     8405text (MLText
     8406uid 20196,0
     8407va (VaSet
     8408font "arial,8,0"
     8409)
     8410xt "100000,116400,100000,116400"
     8411)
     8412header ""
     8413)
     8414elements [
     8415]
     8416)
     8417sed 1
     8418awe 1
     8419portVis (PortSigDisplay
     8420disp 1
     8421sN 0
     8422sTC 0
     8423selT 0
     8424)
     8425prms (Property
     8426pclass "params"
     8427pname "params"
     8428ptn "String"
     8429)
     8430visOptions (mwParamsVisibilityOptions
     8431)
     8432)
     8433*278 (Net
     8434uid 20219,0
     8435decl (Decl
     8436n "trigger_veto"
     8437t "std_logic"
     8438o 73
     8439suid 249,0
     8440i "'1'"
     8441)
     8442declText (MLText
     8443uid 20220,0
     8444va (VaSet
     8445font "Courier New,8,0"
     8446)
     8447xt "39000,62400,75000,63200"
     8448st "SIGNAL trigger_veto          : std_logic                      := '1'
     8449"
     8450)
     8451)
     8452*279 (Wire
    80358453uid 245,0
    80368454shape (OrthoPolyLine
     
    80708488on &32
    80718489)
    8072 *266 (Wire
     8490*280 (Wire
    80738491uid 277,0
    80748492shape (OrthoPolyLine
     
    81088526on &18
    81098527)
    8110 *267 (Wire
     8528*281 (Wire
    81118529uid 285,0
    81128530shape (OrthoPolyLine
     
    81468564on &19
    81478565)
    8148 *268 (Wire
     8566*282 (Wire
    81498567uid 362,0
    81508568shape (OrthoPolyLine
     
    81848602on &40
    81858603)
    8186 *269 (Wire
     8604*283 (Wire
    81878605uid 418,0
    81888606shape (OrthoPolyLine
     
    82228640on &72
    82238641)
    8224 *270 (Wire
     8642*284 (Wire
    82258643uid 426,0
    82268644shape (OrthoPolyLine
     
    82628680on &70
    82638681)
    8264 *271 (Wire
     8682*285 (Wire
    82658683uid 434,0
    82668684shape (OrthoPolyLine
     
    83008718on &76
    83018719)
    8302 *272 (Wire
     8720*286 (Wire
    83038721uid 442,0
    83048722shape (OrthoPolyLine
     
    83408758on &71
    83418759)
    8342 *273 (Wire
     8760*287 (Wire
    83438761uid 450,0
    83448762shape (OrthoPolyLine
     
    83788796on &75
    83798797)
    8380 *274 (Wire
     8798*288 (Wire
    83818799uid 458,0
    83828800shape (OrthoPolyLine
     
    84168834on &73
    84178835)
    8418 *275 (Wire
     8836*289 (Wire
    84198837uid 466,0
    84208838shape (OrthoPolyLine
     
    84548872on &74
    84558873)
    8456 *276 (Wire
     8874*290 (Wire
    84578875uid 1467,0
    84588876shape (OrthoPolyLine
     
    84918909on &27
    84928910)
    8493 *277 (Wire
     8911*291 (Wire
    84948912uid 1730,0
    84958913shape (OrthoPolyLine
     
    85318949on &42
    85328950)
    8533 *278 (Wire
     8951*292 (Wire
    85348952uid 1833,0
    85358953shape (OrthoPolyLine
     
    85718989on &64
    85728990)
    8573 *279 (Wire
     8991*293 (Wire
    85748992uid 1841,0
    85758993shape (OrthoPolyLine
     
    86099027on &66
    86109028)
    8611 *280 (Wire
     9029*294 (Wire
    86129030uid 1865,0
    86139031shape (OrthoPolyLine
     
    86479065on &59
    86489066)
    8649 *281 (Wire
     9067*295 (Wire
    86509068uid 1873,0
    86519069shape (OrthoPolyLine
     
    86859103on &60
    86869104)
    8687 *282 (Wire
     9105*296 (Wire
    86889106uid 1881,0
    86899107shape (OrthoPolyLine
     
    87239141on &61
    87249142)
    8725 *283 (Wire
     9143*297 (Wire
    87269144uid 1889,0
    87279145shape (OrthoPolyLine
     
    87619179on &62
    87629180)
    8763 *284 (Wire
     9181*298 (Wire
    87649182uid 2409,0
    87659183shape (OrthoPolyLine
     
    87999217on &28
    88009218)
    8801 *285 (Wire
     9219*299 (Wire
    88029220uid 3009,0
    88039221shape (OrthoPolyLine
     
    88379255on &69
    88389256)
    8839 *286 (Wire
     9257*300 (Wire
    88409258uid 3015,0
    88419259shape (OrthoPolyLine
     
    88759293on &80
    88769294)
    8877 *287 (Wire
     9295*301 (Wire
    88789296uid 3027,0
    88799297shape (OrthoPolyLine
     
    89149332on &30
    89159333)
    8916 *288 (Wire
     9334*302 (Wire
    89179335uid 3218,0
    89189336shape (OrthoPolyLine
     
    89529370on &33
    89539371)
    8954 *289 (Wire
     9372*303 (Wire
    89559373uid 3260,0
    89569374shape (OrthoPolyLine
     
    89929410on &38
    89939411)
    8994 *290 (Wire
     9412*304 (Wire
    89959413uid 3318,0
    89969414shape (OrthoPolyLine
     
    90329450on &51
    90339451)
    9034 *291 (Wire
     9452*305 (Wire
    90359453uid 3352,0
    90369454shape (OrthoPolyLine
     
    90729490on &52
    90739491)
    9074 *292 (Wire
     9492*306 (Wire
    90759493uid 3360,0
    90769494shape (OrthoPolyLine
     
    91129530on &53
    91139531)
    9114 *293 (Wire
     9532*307 (Wire
    91159533uid 3368,0
    91169534shape (OrthoPolyLine
     
    91529570on &54
    91539571)
    9154 *294 (Wire
     9572*308 (Wire
    91559573uid 3682,0
    91569574shape (OrthoPolyLine
     
    91909608on &78
    91919609)
    9192 *295 (Wire
     9610*309 (Wire
    91939611uid 3834,0
    91949612shape (OrthoPolyLine
     
    92289646on &92
    92299647)
    9230 *296 (Wire
     9648*310 (Wire
    92319649uid 4942,0
    92329650shape (OrthoPolyLine
     
    92689686on &94
    92699687)
    9270 *297 (Wire
     9688*311 (Wire
    92719689uid 6431,0
    92729690shape (OrthoPolyLine
     
    93069724on &91
    93079725)
    9308 *298 (Wire
     9726*312 (Wire
    93099727uid 7144,0
    93109728shape (OrthoPolyLine
     
    93459763on &98
    93469764)
    9347 *299 (Wire
     9765*313 (Wire
    93489766uid 9502,0
    93499767shape (OrthoPolyLine
     
    93809798on &99
    93819799)
    9382 *300 (Wire
     9800*314 (Wire
    93839801uid 10302,0
    93849802shape (OrthoPolyLine
     
    94199837on &101
    94209838)
    9421 *301 (Wire
     9839*315 (Wire
    94229840uid 11514,0
    94239841shape (OrthoPolyLine
     
    94579875on &109
    94589876)
    9459 *302 (Wire
     9877*316 (Wire
    94609878uid 11528,0
    94619879shape (OrthoPolyLine
     
    94959913on &110
    94969914)
    9497 *303 (Wire
     9915*317 (Wire
    94989916uid 12320,0
    94999917shape (OrthoPolyLine
     
    95339951on &112
    95349952)
    9535 *304 (Wire
     9953*318 (Wire
    95369954uid 12545,0
    95379955shape (OrthoPolyLine
     
    95709988on &116
    95719989)
    9572 *305 (Wire
     9990*319 (Wire
    95739991uid 12559,0
    95749992shape (OrthoPolyLine
     
    960710025on &117
    960810026)
    9609 *306 (Wire
     10027*320 (Wire
    961010028uid 12573,0
    961110029shape (OrthoPolyLine
     
    964410062on &118
    964510063)
    9646 *307 (Wire
     10064*321 (Wire
    964710065uid 13522,0
    964810066shape (OrthoPolyLine
     
    968210100on &120
    968310101)
    9684 *308 (Wire
     10102*322 (Wire
    968510103uid 13618,0
    968610104shape (OrthoPolyLine
     
    972110139on &96
    972210140)
    9723 *309 (Wire
     10141*323 (Wire
    972410142uid 13634,0
    972510143shape (OrthoPolyLine
     
    975810176on &122
    975910177)
    9760 *310 (Wire
     10178*324 (Wire
    976110179uid 13658,0
    976210180shape (OrthoPolyLine
     
    979610214on &90
    979710215)
    9798 *311 (Wire
     10216*325 (Wire
    979910217uid 14328,0
    980010218shape (OrthoPolyLine
     
    983510253on &124
    983610254)
    9837 *312 (Wire
     10255*326 (Wire
    983810256uid 15175,0
    983910257shape (OrthoPolyLine
     
    987210290on &125
    987310291)
    9874 *313 (Wire
     10292*327 (Wire
    987510293uid 15517,0
    987610294shape (OrthoPolyLine
     
    990910327on &88
    991010328)
    9911 *314 (Wire
     10329*328 (Wire
    991210330uid 15525,0
    991310331shape (OrthoPolyLine
     
    994610364on &107
    994710365)
    9948 *315 (Wire
     10366*329 (Wire
    994910367uid 15533,0
    995010368shape (OrthoPolyLine
     
    998310401on &87
    998410402)
    9985 *316 (Wire
     10403*330 (Wire
    998610404uid 15563,0
    998710405shape (OrthoPolyLine
     
    1002110439on &89
    1002210440)
    10023 *317 (Wire
     10441*331 (Wire
    1002410442uid 15712,0
    1002510443shape (OrthoPolyLine
     
    1006010478on &128
    1006110479)
    10062 *318 (Wire
     10480*332 (Wire
    1006310481uid 15851,0
    1006410482shape (OrthoPolyLine
     
    1010010518on &130
    1010110519)
    10102 *319 (Wire
     10520*333 (Wire
    1010310521uid 16063,0
    1010410522shape (OrthoPolyLine
     
    1013910557on &132
    1014010558)
    10141 *320 (Wire
     10559*334 (Wire
    1014210560uid 16247,0
    1014310561shape (OrthoPolyLine
     
    1017510593on &133
    1017610594)
    10177 *321 (Wire
     10595*335 (Wire
    1017810596uid 16538,0
    1017910597shape (OrthoPolyLine
     
    1021010628on &138
    1021110629)
    10212 *322 (Wire
     10630*336 (Wire
    1021310631uid 16546,0
    1021410632shape (OrthoPolyLine
     
    1024510663on &139
    1024610664)
    10247 *323 (Wire
     10665*337 (Wire
    1024810666uid 16576,0
    1024910667shape (OrthoPolyLine
     
    1028210700on &140
    1028310701)
    10284 *324 (Wire
     10702*338 (Wire
    1028510703uid 17296,0
    1028610704shape (OrthoPolyLine
     
    1031810736on &198
    1031910737)
    10320 *325 (Wire
     10738*339 (Wire
    1032110739uid 17407,0
    1032210740shape (OrthoPolyLine
     
    1032510743vasetType 3
    1032610744)
    10327 xt "80750,144000,87000,144000"
    10328 pts [
    10329 "80750,144000"
    10330 "87000,144000"
    10331 ]
    10332 )
    10333 start &184
     10745xt "108000,137000,112000,144000"
     10746pts [
     10747"108000,137000"
     10748"112000,144000"
     10749]
     10750)
     10751start &268
    1033410752end &199
     10753ss 0
    1033510754sat 32
    1033610755eat 32
     
    1034710766isHidden 1
    1034810767)
    10349 xt "83000,143000,85900,144000"
     10768xt "110000,136000,112900,137000"
    1035010769st "TRG_V"
    10351 blo "83000,143800"
     10770blo "110000,136800"
    1035210771tm "WireNameMgr"
    1035310772)
     
    1035510774on &200
    1035610775)
    10357 *326 (Wire
     10776*340 (Wire
    1035810777uid 17848,0
    1035910778shape (OrthoPolyLine
     
    1039210811on &201
    1039310812)
    10394 *327 (Wire
     10813*341 (Wire
    1039510814uid 17856,0
    1039610815shape (OrthoPolyLine
     
    1042910848on &201
    1043010849)
    10431 *328 (Wire
     10850*342 (Wire
    1043210851uid 18068,0
    1043310852shape (OrthoPolyLine
     
    1046410883on &202
    1046510884)
    10466 *329 (Wire
     10885*343 (Wire
    1046710886uid 18076,0
    1046810887shape (OrthoPolyLine
     
    1049910918on &203
    1050010919)
    10501 *330 (Wire
     10920*344 (Wire
    1050210921uid 18207,0
    1050310922shape (OrthoPolyLine
     
    1053610955on &204
    1053710956)
    10538 *331 (Wire
     10957*345 (Wire
    1053910958uid 18328,0
    1054010959shape (OrthoPolyLine
     
    1057310992on &205
    1057410993)
    10575 *332 (Wire
     10994*346 (Wire
    1057610995uid 18336,0
    1057710996shape (OrthoPolyLine
     
    1060911028on &205
    1061011029)
    10611 *333 (Wire
     11030*347 (Wire
    1061211031uid 18352,0
    1061311032shape (OrthoPolyLine
     
    1064311062on &203
    1064411063)
    10645 *334 (Wire
     11064*348 (Wire
    1064611065uid 18360,0
    1064711066shape (OrthoPolyLine
     
    1067811097on &204
    1067911098)
    10680 *335 (Wire
     11099*349 (Wire
    1068111100uid 18477,0
    1068211101shape (OrthoPolyLine
     
    1071511134on &206
    1071611135)
    10717 *336 (Wire
     11136*350 (Wire
    1071811137uid 18808,0
    1071911138shape (OrthoPolyLine
     
    1075411173on &208
    1075511174)
    10756 *337 (Wire
     11175*351 (Wire
    1075711176uid 18923,0
    1075811177shape (OrthoPolyLine
     
    1078911208on &206
    1079011209)
    10791 *338 (Wire
     11210*352 (Wire
    1079211211uid 19161,0
    1079311212shape (OrthoPolyLine
     
    1082411243on &200
    1082511244)
    10826 *339 (Wire
     11245*353 (Wire
    1082711246uid 19169,0
    1082811247shape (OrthoPolyLine
     
    1085911278on &202
    1086011279)
    10861 *340 (Wire
     11280*354 (Wire
    1086211281uid 19533,0
    1086311282shape (OrthoPolyLine
     
    1089611315on &261
    1089711316)
    10898 *341 (Wire
     11317*355 (Wire
    1089911318uid 19539,0
    1090011319shape (OrthoPolyLine
     
    1093311352on &262
    1093411353)
    10935 *342 (Wire
     11354*356 (Wire
    1093611355uid 19545,0
    1093711356shape (OrthoPolyLine
     
    1097011389on &263
    1097111390)
    10972 *343 (Wire
     11391*357 (Wire
    1097311392uid 19551,0
    1097411393shape (OrthoPolyLine
     
    1100911428on &264
    1101011429)
     11430*358 (Wire
     11431uid 20221,0
     11432shape (OrthoPolyLine
     11433uid 20222,0
     11434va (VaSet
     11435vasetType 3
     11436)
     11437xt "80750,137000,105000,144000"
     11438pts [
     11439"80750,144000"
     11440"105000,144000"
     11441"105000,137000"
     11442]
     11443)
     11444start &184
     11445end &266
     11446sat 32
     11447eat 32
     11448st 0
     11449sf 1
     11450si 0
     11451tg (WTG
     11452uid 20223,0
     11453ps "ConnStartEndStrategy"
     11454stg "STSignalDisplayStrategy"
     11455f (Text
     11456uid 20224,0
     11457va (VaSet
     11458)
     11459xt "82750,143000,88350,144000"
     11460st "trigger_veto"
     11461blo "82750,143800"
     11462tm "WireNameMgr"
     11463)
     11464)
     11465on &278
     11466)
    1101111467]
    1101211468bg "65535,65535,65535"
     
    1102111477color "26368,26368,26368"
    1102211478)
    11023 packageList *344 (PackageList
     11479packageList *359 (PackageList
    1102411480uid 41,0
    1102511481stg "VerticalLayoutStrategy"
    1102611482textVec [
    11027 *345 (Text
     11483*360 (Text
    1102811484uid 42,0
    1102911485va (VaSet
     
    1103411490blo "0,800"
    1103511491)
    11036 *346 (MLText
     11492*361 (MLText
    1103711493uid 43,0
    1103811494va (VaSet
     
    1105511511stg "VerticalLayoutStrategy"
    1105611512textVec [
    11057 *347 (Text
     11513*362 (Text
    1105811514uid 45,0
    1105911515va (VaSet
     
    1106511521blo "20000,800"
    1106611522)
    11067 *348 (Text
     11523*363 (Text
    1106811524uid 46,0
    1106911525va (VaSet
     
    1107511531blo "20000,1800"
    1107611532)
    11077 *349 (MLText
     11533*364 (MLText
    1107811534uid 47,0
    1107911535va (VaSet
     
    1108511541tm "BdCompilerDirectivesTextMgr"
    1108611542)
    11087 *350 (Text
     11543*365 (Text
    1108811544uid 48,0
    1108911545va (VaSet
     
    1109511551blo "20000,4800"
    1109611552)
    11097 *351 (MLText
     11553*366 (MLText
    1109811554uid 49,0
    1109911555va (VaSet
     
    1110311559tm "BdCompilerDirectivesTextMgr"
    1110411560)
    11105 *352 (Text
     11561*367 (Text
    1110611562uid 50,0
    1110711563va (VaSet
     
    1111311569blo "20000,5800"
    1111411570)
    11115 *353 (MLText
     11571*368 (MLText
    1111611572uid 51,0
    1111711573va (VaSet
     
    1112511581)
    1112611582windowSize "0,22,1681,1050"
    11127 viewArea "49100,50668,141206,106486"
    11128 cachedDiagramExtent "-23000,0,477000,153000"
     11583viewArea "12308,102297,104414,159879"
     11584cachedDiagramExtent "-23000,0,499000,153000"
    1112911585pageSetupInfo (PageSetupInfo
    1113011586ptrCmd ""
     
    1113811594hasePageBreakOrigin 1
    1113911595pageBreakOrigin "-73000,0"
    11140 lastUid 20072,0
     11596lastUid 20226,0
    1114111597defaultCommentText (CommentText
    1114211598shape (Rectangle
     
    1120011656stg "VerticalLayoutStrategy"
    1120111657textVec [
    11202 *354 (Text
     11658*369 (Text
    1120311659va (VaSet
    1120411660font "Arial,8,1"
     
    1120911665tm "BdLibraryNameMgr"
    1121011666)
    11211 *355 (Text
     11667*370 (Text
    1121211668va (VaSet
    1121311669font "Arial,8,1"
     
    1121811674tm "BlkNameMgr"
    1121911675)
    11220 *356 (Text
     11676*371 (Text
    1122111677va (VaSet
    1122211678font "Arial,8,1"
     
    1126911725stg "VerticalLayoutStrategy"
    1127011726textVec [
    11271 *357 (Text
     11727*372 (Text
    1127211728va (VaSet
    1127311729font "Arial,8,1"
     
    1127711733blo "550,4300"
    1127811734)
    11279 *358 (Text
     11735*373 (Text
    1128011736va (VaSet
    1128111737font "Arial,8,1"
     
    1128511741blo "550,5300"
    1128611742)
    11287 *359 (Text
     11743*374 (Text
    1128811744va (VaSet
    1128911745font "Arial,8,1"
     
    1133411790stg "VerticalLayoutStrategy"
    1133511791textVec [
    11336 *360 (Text
     11792*375 (Text
    1133711793va (VaSet
    1133811794font "Arial,8,1"
     
    1134311799tm "BdLibraryNameMgr"
    1134411800)
    11345 *361 (Text
     11801*376 (Text
    1134611802va (VaSet
    1134711803font "Arial,8,1"
     
    1135211808tm "CptNameMgr"
    1135311809)
    11354 *362 (Text
     11810*377 (Text
    1135511811va (VaSet
    1135611812font "Arial,8,1"
     
    1140611862stg "VerticalLayoutStrategy"
    1140711863textVec [
    11408 *363 (Text
     11864*378 (Text
    1140911865va (VaSet
    1141011866font "Arial,8,1"
     
    1141411870blo "500,4300"
    1141511871)
    11416 *364 (Text
     11872*379 (Text
    1141711873va (VaSet
    1141811874font "Arial,8,1"
     
    1142211878blo "500,5300"
    1142311879)
    11424 *365 (Text
     11880*380 (Text
    1142511881va (VaSet
    1142611882font "Arial,8,1"
     
    1146711923stg "VerticalLayoutStrategy"
    1146811924textVec [
    11469 *366 (Text
     11925*381 (Text
    1147011926va (VaSet
    1147111927font "Arial,8,1"
     
    1147511931blo "50,4300"
    1147611932)
    11477 *367 (Text
     11933*382 (Text
    1147811934va (VaSet
    1147911935font "Arial,8,1"
     
    1148311939blo "50,5300"
    1148411940)
    11485 *368 (Text
     11941*383 (Text
    1148611942va (VaSet
    1148711943font "Arial,8,1"
     
    1152411980stg "VerticalLayoutStrategy"
    1152511981textVec [
    11526 *369 (Text
     11982*384 (Text
    1152711983va (VaSet
    1152811984font "Arial,8,1"
     
    1153311989tm "HdlTextNameMgr"
    1153411990)
    11535 *370 (Text
     11991*385 (Text
    1153611992va (VaSet
    1153711993font "Arial,8,1"
     
    1193612392stg "VerticalLayoutStrategy"
    1193712393textVec [
    11938 *371 (Text
     12394*386 (Text
    1193912395va (VaSet
    1194012396font "Arial,8,1"
     
    1194412400blo "14100,20800"
    1194512401)
    11946 *372 (MLText
     12402*387 (MLText
    1194712403va (VaSet
    1194812404)
     
    1199612452stg "VerticalLayoutStrategy"
    1199712453textVec [
    11998 *373 (Text
     12454*388 (Text
    1199912455va (VaSet
    1200012456font "Arial,8,1"
     
    1200412460blo "14100,20800"
    1200512461)
    12006 *374 (MLText
     12462*389 (MLText
    1200712463va (VaSet
    1200812464)
     
    1214812604commonDM (CommonDM
    1214912605ldm (LogicalDM
    12150 suid 248,0
     12606suid 249,0
    1215112607usingSuid 1
    12152 emptyRow *375 (LEmptyRow
     12608emptyRow *390 (LEmptyRow
    1215312609)
    1215412610uid 54,0
    1215512611optionalChildren [
    12156 *376 (RefLabelRowHdr
    12157 )
    12158 *377 (TitleRowHdr
    12159 )
    12160 *378 (FilterRowHdr
    12161 )
    12162 *379 (RefLabelColHdr
     12612*391 (RefLabelRowHdr
     12613)
     12614*392 (TitleRowHdr
     12615)
     12616*393 (FilterRowHdr
     12617)
     12618*394 (RefLabelColHdr
    1216312619tm "RefLabelColHdrMgr"
    1216412620)
    12165 *380 (RowExpandColHdr
     12621*395 (RowExpandColHdr
    1216612622tm "RowExpandColHdrMgr"
    1216712623)
    12168 *381 (GroupColHdr
     12624*396 (GroupColHdr
    1216912625tm "GroupColHdrMgr"
    1217012626)
    12171 *382 (NameColHdr
     12627*397 (NameColHdr
    1217212628tm "BlockDiagramNameColHdrMgr"
    1217312629)
    12174 *383 (ModeColHdr
     12630*398 (ModeColHdr
    1217512631tm "BlockDiagramModeColHdrMgr"
    1217612632)
    12177 *384 (TypeColHdr
     12633*399 (TypeColHdr
    1217812634tm "BlockDiagramTypeColHdrMgr"
    1217912635)
    12180 *385 (BoundsColHdr
     12636*400 (BoundsColHdr
    1218112637tm "BlockDiagramBoundsColHdrMgr"
    1218212638)
    12183 *386 (InitColHdr
     12639*401 (InitColHdr
    1218412640tm "BlockDiagramInitColHdrMgr"
    1218512641)
    12186 *387 (EolColHdr
     12642*402 (EolColHdr
    1218712643tm "BlockDiagramEolColHdrMgr"
    1218812644)
    12189 *388 (LeafLogPort
     12645*403 (LeafLogPort
    1219012646port (LogicalPort
    1219112647m 4
     
    1220212658uid 327,0
    1220312659)
    12204 *389 (LeafLogPort
     12660*404 (LeafLogPort
    1220512661port (LogicalPort
    1220612662m 4
     
    1221512671uid 329,0
    1221612672)
    12217 *390 (LeafLogPort
     12673*405 (LeafLogPort
    1221812674port (LogicalPort
    1221912675m 4
     
    1222712683uid 1491,0
    1222812684)
    12229 *391 (LeafLogPort
     12685*406 (LeafLogPort
    1223012686port (LogicalPort
    1223112687m 1
     
    1224012696uid 2435,0
    1224112697)
    12242 *392 (LeafLogPort
     12698*407 (LeafLogPort
    1224312699port (LogicalPort
    1224412700m 1
     
    1225212708uid 3039,0
    1225312709)
    12254 *393 (LeafLogPort
     12710*408 (LeafLogPort
    1225512711port (LogicalPort
    1225612712decl (Decl
     
    1226512721uid 3276,0
    1226612722)
    12267 *394 (LeafLogPort
     12723*409 (LeafLogPort
    1226812724port (LogicalPort
    1226912725decl (Decl
     
    1227612732uid 3278,0
    1227712733)
    12278 *395 (LeafLogPort
     12734*410 (LeafLogPort
    1227912735port (LogicalPort
    1228012736m 1
     
    1228912745uid 3280,0
    1229012746)
    12291 *396 (LeafLogPort
     12747*411 (LeafLogPort
    1229212748port (LogicalPort
    1229312749m 1
     
    1230312759uid 3382,0
    1230412760)
    12305 *397 (LeafLogPort
     12761*412 (LeafLogPort
    1230612762port (LogicalPort
    1230712763decl (Decl
     
    1231512771uid 3384,0
    1231612772)
    12317 *398 (LeafLogPort
     12773*413 (LeafLogPort
    1231812774port (LogicalPort
    1231912775decl (Decl
     
    1232712783uid 3386,0
    1232812784)
    12329 *399 (LeafLogPort
     12785*414 (LeafLogPort
    1233012786port (LogicalPort
    1233112787decl (Decl
     
    1233912795uid 3388,0
    1234012796)
    12341 *400 (LeafLogPort
     12797*415 (LeafLogPort
    1234212798port (LogicalPort
    1234312799decl (Decl
     
    1235112807uid 3390,0
    1235212808)
    12353 *401 (LeafLogPort
     12809*416 (LeafLogPort
    1235412810port (LogicalPort
    1235512811decl (Decl
     
    1236312819uid 3392,0
    1236412820)
    12365 *402 (LeafLogPort
     12821*417 (LeafLogPort
    1236612822port (LogicalPort
    1236712823decl (Decl
     
    1237412830uid 3524,0
    1237512831)
    12376 *403 (LeafLogPort
     12832*418 (LeafLogPort
    1237712833port (LogicalPort
    1237812834decl (Decl
     
    1238512841uid 3526,0
    1238612842)
    12387 *404 (LeafLogPort
     12843*419 (LeafLogPort
    1238812844port (LogicalPort
    1238912845decl (Decl
     
    1239612852uid 3528,0
    1239712853)
    12398 *405 (LeafLogPort
     12854*420 (LeafLogPort
    1239912855port (LogicalPort
    1240012856decl (Decl
     
    1240712863uid 3530,0
    1240812864)
    12409 *406 (LeafLogPort
     12865*421 (LeafLogPort
    1241012866port (LogicalPort
    1241112867m 1
     
    1242112877uid 3532,0
    1242212878)
    12423 *407 (LeafLogPort
     12879*422 (LeafLogPort
    1242412880port (LogicalPort
    1242512881m 1
     
    1243412890uid 3534,0
    1243512891)
    12436 *408 (LeafLogPort
     12892*423 (LeafLogPort
    1243712893port (LogicalPort
    1243812894m 1
     
    1244612902uid 3654,0
    1244712903)
    12448 *409 (LeafLogPort
     12904*424 (LeafLogPort
    1244912905port (LogicalPort
    1245012906m 1
     
    1245912915uid 3656,0
    1246012916)
    12461 *410 (LeafLogPort
     12917*425 (LeafLogPort
    1246212918port (LogicalPort
    1246312919m 2
     
    1247212928uid 3658,0
    1247312929)
    12474 *411 (LeafLogPort
     12930*426 (LeafLogPort
    1247512931port (LogicalPort
    1247612932m 1
     
    1248512941uid 3660,0
    1248612942)
    12487 *412 (LeafLogPort
     12943*427 (LeafLogPort
    1248812944port (LogicalPort
    1248912945m 1
     
    1249812954uid 3662,0
    1249912955)
    12500 *413 (LeafLogPort
     12956*428 (LeafLogPort
    1250112957port (LogicalPort
    1250212958m 1
     
    1251112967uid 3664,0
    1251212968)
    12513 *414 (LeafLogPort
     12969*429 (LeafLogPort
    1251412970port (LogicalPort
    1251512971decl (Decl
     
    1252212978uid 3666,0
    1252312979)
    12524 *415 (LeafLogPort
     12980*430 (LeafLogPort
    1252512981port (LogicalPort
    1252612982m 1
     
    1253512991uid 3668,0
    1253612992)
    12537 *416 (LeafLogPort
     12993*431 (LeafLogPort
    1253812994port (LogicalPort
    1253912995m 1
     
    1254813004uid 3696,0
    1254913005)
    12550 *417 (LeafLogPort
     13006*432 (LeafLogPort
    1255113007port (LogicalPort
    1255213008m 2
     
    1256213018uid 3698,0
    1256313019)
    12564 *418 (LeafLogPort
     13020*433 (LeafLogPort
    1256513021port (LogicalPort
    1256613022m 1
     
    1257413030uid 3888,0
    1257513031)
    12576 *419 (LeafLogPort
     13032*434 (LeafLogPort
    1257713033port (LogicalPort
    1257813034m 1
     
    1258613042uid 3890,0
    1258713043)
    12588 *420 (LeafLogPort
     13044*435 (LeafLogPort
    1258913045port (LogicalPort
    1259013046m 1
     
    1259813054uid 3892,0
    1259913055)
    12600 *421 (LeafLogPort
     13056*436 (LeafLogPort
    1260113057port (LogicalPort
    1260213058m 1
     
    1261013066uid 3894,0
    1261113067)
    12612 *422 (LeafLogPort
     13068*437 (LeafLogPort
    1261313069port (LogicalPort
    1261413070m 1
     
    1262313079uid 3896,0
    1262413080)
    12625 *423 (LeafLogPort
     13081*438 (LeafLogPort
    1262613082port (LogicalPort
    1262713083m 1
     
    1263513091uid 3900,0
    1263613092)
    12637 *424 (LeafLogPort
     13093*439 (LeafLogPort
    1263813094port (LogicalPort
    1263913095m 1
     
    1264913105uid 5322,0
    1265013106)
    12651 *425 (LeafLogPort
     13107*440 (LeafLogPort
    1265213108port (LogicalPort
    1265313109m 1
     
    1266413120scheme 0
    1266513121)
    12666 *426 (LeafLogPort
     13122*441 (LeafLogPort
    1266713123port (LogicalPort
    1266813124m 1
     
    1267913135scheme 0
    1268013136)
    12681 *427 (LeafLogPort
     13137*442 (LeafLogPort
    1268213138port (LogicalPort
    1268313139m 4
     
    1269113147uid 9516,0
    1269213148)
    12693 *428 (LeafLogPort
     13149*443 (LeafLogPort
    1269413150port (LogicalPort
    1269513151m 1
     
    1270613162scheme 0
    1270713163)
    12708 *429 (LeafLogPort
     13164*444 (LeafLogPort
    1270913165port (LogicalPort
    1271013166m 1
     
    1271913175scheme 0
    1272013176)
    12721 *430 (LeafLogPort
     13177*445 (LeafLogPort
    1272213178port (LogicalPort
    1272313179decl (Decl
     
    1273113187scheme 0
    1273213188)
    12733 *431 (LeafLogPort
     13189*446 (LeafLogPort
    1273413190port (LogicalPort
    1273513191m 1
     
    1274413200scheme 0
    1274513201)
    12746 *432 (LeafLogPort
     13202*447 (LeafLogPort
    1274713203port (LogicalPort
    1274813204m 1
     
    1275713213uid 12336,0
    1275813214)
    12759 *433 (LeafLogPort
     13215*448 (LeafLogPort
    1276013216port (LogicalPort
    1276113217m 1
     
    1276913225uid 12768,0
    1277013226)
    12771 *434 (LeafLogPort
     13227*449 (LeafLogPort
    1277213228port (LogicalPort
    1277313229m 1
     
    1278113237uid 12770,0
    1278213238)
    12783 *435 (LeafLogPort
     13239*450 (LeafLogPort
    1278413240port (LogicalPort
    1278513241m 1
     
    1279313249uid 12772,0
    1279413250)
    12795 *436 (LeafLogPort
     13251*451 (LeafLogPort
    1279613252port (LogicalPort
    1279713253decl (Decl
     
    1280613262scheme 0
    1280713263)
    12808 *437 (LeafLogPort
     13264*452 (LeafLogPort
    1280913265port (LogicalPort
    1281013266decl (Decl
     
    1281813274scheme 0
    1281913275)
    12820 *438 (LeafLogPort
     13276*453 (LeafLogPort
    1282113277port (LogicalPort
    1282213278decl (Decl
     
    1283113287scheme 0
    1283213288)
    12833 *439 (LeafLogPort
     13289*454 (LeafLogPort
    1283413290port (LogicalPort
    1283513291m 4
     
    1284613302uid 15181,0
    1284713303)
    12848 *440 (LeafLogPort
     13304*455 (LeafLogPort
    1284913305port (LogicalPort
    1285013306decl (Decl
     
    1285913315scheme 0
    1286013316)
    12861 *441 (LeafLogPort
     13317*456 (LeafLogPort
    1286213318port (LogicalPort
    1286313319m 1
     
    1287313329scheme 0
    1287413330)
    12875 *442 (LeafLogPort
     13331*457 (LeafLogPort
    1287613332port (LogicalPort
    1287713333m 1
     
    1288813344scheme 0
    1288913345)
    12890 *443 (LeafLogPort
     13346*458 (LeafLogPort
    1289113347port (LogicalPort
    1289213348m 4
     
    1290113357uid 16253,0
    1290213358)
    12903 *444 (LeafLogPort
     13359*459 (LeafLogPort
    1290413360port (LogicalPort
    1290513361m 4
     
    1291413370uid 16582,0
    1291513371)
    12916 *445 (LeafLogPort
     13372*460 (LeafLogPort
    1291713373port (LogicalPort
    1291813374m 4
     
    1292713383uid 16584,0
    1292813384)
    12929 *446 (LeafLogPort
     13385*461 (LeafLogPort
    1293013386port (LogicalPort
    1293113387m 4
     
    1294113397uid 16586,0
    1294213398)
    12943 *447 (LeafLogPort
     13399*462 (LeafLogPort
    1294413400port (LogicalPort
    1294513401lang 2
     
    1295413410uid 17310,0
    1295513411)
    12956 *448 (LeafLogPort
     13412*463 (LeafLogPort
    1295713413port (LogicalPort
    1295813414lang 2
     
    1296913425scheme 0
    1297013426)
    12971 *449 (LeafLogPort
     13427*464 (LeafLogPort
    1297213428port (LogicalPort
    1297313429m 4
     
    1298413440uid 17854,0
    1298513441)
    12986 *450 (LeafLogPort
     13442*465 (LeafLogPort
    1298713443port (LogicalPort
    1298813444m 4
     
    1299613452uid 18082,0
    1299713453)
    12998 *451 (LeafLogPort
     13454*466 (LeafLogPort
    1299913455port (LogicalPort
    1300013456m 4
     
    1300813464uid 18084,0
    1300913465)
    13010 *452 (LeafLogPort
     13466*467 (LeafLogPort
    1301113467port (LogicalPort
    1301213468lang 2
     
    1302413480uid 18213,0
    1302513481)
    13026 *453 (LeafLogPort
     13482*468 (LeafLogPort
    1302713483port (LogicalPort
    1302813484m 4
     
    1303913495uid 18334,0
    1304013496)
    13041 *454 (LeafLogPort
     13497*469 (LeafLogPort
    1304213498port (LogicalPort
    1304313499m 4
     
    1305413510uid 18483,0
    1305513511)
    13056 *455 (LeafLogPort
     13512*470 (LeafLogPort
    1305713513port (LogicalPort
    1305813514m 1
     
    1306913525scheme 0
    1307013526)
    13071 *456 (LeafLogPort
     13527*471 (LeafLogPort
    1307213528port (LogicalPort
    1307313529m 4
     
    1308113537uid 19557,0
    1308213538)
    13083 *457 (LeafLogPort
     13539*472 (LeafLogPort
    1308413540port (LogicalPort
    1308513541m 4
     
    1309413550uid 19559,0
    1309513551)
    13096 *458 (LeafLogPort
     13552*473 (LeafLogPort
    1309713553port (LogicalPort
    1309813554m 4
     
    1310613562uid 19561,0
    1310713563)
    13108 *459 (LeafLogPort
     13564*474 (LeafLogPort
    1310913565port (LogicalPort
    1311013566m 4
     
    1311713573)
    1311813574uid 19563,0
     13575)
     13576*475 (LeafLogPort
     13577port (LogicalPort
     13578m 4
     13579decl (Decl
     13580n "trigger_veto"
     13581t "std_logic"
     13582o 73
     13583suid 249,0
     13584i "'1'"
     13585)
     13586)
     13587uid 20225,0
    1311913588)
    1312013589]
     
    1312513594uid 67,0
    1312613595optionalChildren [
    13127 *460 (Sheet
     13596*476 (Sheet
    1312813597sheetRow (SheetRow
    1312913598headerVa (MVa
     
    1314213611font "Tahoma,10,0"
    1314313612)
    13144 emptyMRCItem *461 (MRCItem
    13145 litem &375
    13146 pos 72
     13613emptyMRCItem *477 (MRCItem
     13614litem &390
     13615pos 73
    1314713616dimension 20
    1314813617)
    1314913618uid 69,0
    1315013619optionalChildren [
    13151 *462 (MRCItem
    13152 litem &376
     13620*478 (MRCItem
     13621litem &391
    1315313622pos 0
    1315413623dimension 20
    1315513624uid 70,0
    1315613625)
    13157 *463 (MRCItem
    13158 litem &377
     13626*479 (MRCItem
     13627litem &392
    1315913628pos 1
    1316013629dimension 23
    1316113630uid 71,0
    1316213631)
    13163 *464 (MRCItem
    13164 litem &378
     13632*480 (MRCItem
     13633litem &393
    1316513634pos 2
    1316613635hidden 1
     
    1316813637uid 72,0
    1316913638)
    13170 *465 (MRCItem
    13171 litem &388
     13639*481 (MRCItem
     13640litem &403
    1317213641pos 52
    1317313642dimension 20
    1317413643uid 328,0
    1317513644)
    13176 *466 (MRCItem
    13177 litem &389
     13645*482 (MRCItem
     13646litem &404
    1317813647pos 53
    1317913648dimension 20
    1318013649uid 330,0
    1318113650)
    13182 *467 (MRCItem
    13183 litem &390
     13651*483 (MRCItem
     13652litem &405
    1318413653pos 54
    1318513654dimension 20
    1318613655uid 1492,0
    1318713656)
    13188 *468 (MRCItem
    13189 litem &391
     13657*484 (MRCItem
     13658litem &406
    1319013659pos 0
    1319113660dimension 20
    1319213661uid 2436,0
    1319313662)
    13194 *469 (MRCItem
    13195 litem &392
     13663*485 (MRCItem
     13664litem &407
    1319613665pos 1
    1319713666dimension 20
    1319813667uid 3040,0
    1319913668)
    13200 *470 (MRCItem
    13201 litem &393
     13669*486 (MRCItem
     13670litem &408
    1320213671pos 2
    1320313672dimension 20
    1320413673uid 3277,0
    1320513674)
    13206 *471 (MRCItem
    13207 litem &394
     13675*487 (MRCItem
     13676litem &409
    1320813677pos 3
    1320913678dimension 20
    1321013679uid 3279,0
    1321113680)
    13212 *472 (MRCItem
    13213 litem &395
     13681*488 (MRCItem
     13682litem &410
    1321413683pos 4
    1321513684dimension 20
    1321613685uid 3281,0
    1321713686)
    13218 *473 (MRCItem
    13219 litem &396
     13687*489 (MRCItem
     13688litem &411
    1322013689pos 5
    1322113690dimension 20
    1322213691uid 3383,0
    1322313692)
    13224 *474 (MRCItem
    13225 litem &397
     13693*490 (MRCItem
     13694litem &412
    1322613695pos 6
    1322713696dimension 20
    1322813697uid 3385,0
    1322913698)
    13230 *475 (MRCItem
    13231 litem &398
     13699*491 (MRCItem
     13700litem &413
    1323213701pos 7
    1323313702dimension 20
    1323413703uid 3387,0
    1323513704)
    13236 *476 (MRCItem
    13237 litem &399
     13705*492 (MRCItem
     13706litem &414
    1323813707pos 8
    1323913708dimension 20
    1324013709uid 3389,0
    1324113710)
    13242 *477 (MRCItem
    13243 litem &400
     13711*493 (MRCItem
     13712litem &415
    1324413713pos 9
    1324513714dimension 20
    1324613715uid 3391,0
    1324713716)
    13248 *478 (MRCItem
    13249 litem &401
     13717*494 (MRCItem
     13718litem &416
    1325013719pos 10
    1325113720dimension 20
    1325213721uid 3393,0
    1325313722)
    13254 *479 (MRCItem
    13255 litem &402
     13723*495 (MRCItem
     13724litem &417
    1325613725pos 11
    1325713726dimension 20
    1325813727uid 3525,0
    1325913728)
    13260 *480 (MRCItem
    13261 litem &403
     13729*496 (MRCItem
     13730litem &418
    1326213731pos 12
    1326313732dimension 20
    1326413733uid 3527,0
    1326513734)
    13266 *481 (MRCItem
    13267 litem &404
     13735*497 (MRCItem
     13736litem &419
    1326813737pos 13
    1326913738dimension 20
    1327013739uid 3529,0
    1327113740)
    13272 *482 (MRCItem
    13273 litem &405
     13741*498 (MRCItem
     13742litem &420
    1327413743pos 14
    1327513744dimension 20
    1327613745uid 3531,0
    1327713746)
    13278 *483 (MRCItem
    13279 litem &406
     13747*499 (MRCItem
     13748litem &421
    1328013749pos 15
    1328113750dimension 20
    1328213751uid 3533,0
    1328313752)
    13284 *484 (MRCItem
    13285 litem &407
     13753*500 (MRCItem
     13754litem &422
    1328613755pos 16
    1328713756dimension 20
    1328813757uid 3535,0
    1328913758)
    13290 *485 (MRCItem
    13291 litem &408
     13759*501 (MRCItem
     13760litem &423
    1329213761pos 17
    1329313762dimension 20
    1329413763uid 3655,0
    1329513764)
    13296 *486 (MRCItem
    13297 litem &409
     13765*502 (MRCItem
     13766litem &424
    1329813767pos 18
    1329913768dimension 20
    1330013769uid 3657,0
    1330113770)
    13302 *487 (MRCItem
    13303 litem &410
     13771*503 (MRCItem
     13772litem &425
    1330413773pos 19
    1330513774dimension 20
    1330613775uid 3659,0
    1330713776)
    13308 *488 (MRCItem
    13309 litem &411
     13777*504 (MRCItem
     13778litem &426
    1331013779pos 20
    1331113780dimension 20
    1331213781uid 3661,0
    1331313782)
    13314 *489 (MRCItem
    13315 litem &412
     13783*505 (MRCItem
     13784litem &427
    1331613785pos 21
    1331713786dimension 20
    1331813787uid 3663,0
    1331913788)
    13320 *490 (MRCItem
    13321 litem &413
     13789*506 (MRCItem
     13790litem &428
    1332213791pos 22
    1332313792dimension 20
    1332413793uid 3665,0
    1332513794)
    13326 *491 (MRCItem
    13327 litem &414
     13795*507 (MRCItem
     13796litem &429
    1332813797pos 23
    1332913798dimension 20
    1333013799uid 3667,0
    1333113800)
    13332 *492 (MRCItem
    13333 litem &415
     13801*508 (MRCItem
     13802litem &430
    1333413803pos 24
    1333513804dimension 20
    1333613805uid 3669,0
    1333713806)
    13338 *493 (MRCItem
    13339 litem &416
     13807*509 (MRCItem
     13808litem &431
    1334013809pos 25
    1334113810dimension 20
    1334213811uid 3697,0
    1334313812)
    13344 *494 (MRCItem
    13345 litem &417
     13813*510 (MRCItem
     13814litem &432
    1334613815pos 26
    1334713816dimension 20
    1334813817uid 3699,0
    1334913818)
    13350 *495 (MRCItem
    13351 litem &418
     13819*511 (MRCItem
     13820litem &433
    1335213821pos 27
    1335313822dimension 20
    1335413823uid 3889,0
    1335513824)
    13356 *496 (MRCItem
    13357 litem &419
     13825*512 (MRCItem
     13826litem &434
    1335813827pos 28
    1335913828dimension 20
    1336013829uid 3891,0
    1336113830)
    13362 *497 (MRCItem
    13363 litem &420
     13831*513 (MRCItem
     13832litem &435
    1336413833pos 29
    1336513834dimension 20
    1336613835uid 3893,0
    1336713836)
    13368 *498 (MRCItem
    13369 litem &421
     13837*514 (MRCItem
     13838litem &436
    1337013839pos 30
    1337113840dimension 20
    1337213841uid 3895,0
    1337313842)
    13374 *499 (MRCItem
    13375 litem &422
     13843*515 (MRCItem
     13844litem &437
    1337613845pos 31
    1337713846dimension 20
    1337813847uid 3897,0
    1337913848)
    13380 *500 (MRCItem
    13381 litem &423
     13849*516 (MRCItem
     13850litem &438
    1338213851pos 32
    1338313852dimension 20
    1338413853uid 3901,0
    1338513854)
    13386 *501 (MRCItem
    13387 litem &424
     13855*517 (MRCItem
     13856litem &439
    1338813857pos 33
    1338913858dimension 20
    1339013859uid 5323,0
    1339113860)
    13392 *502 (MRCItem
    13393 litem &425
     13861*518 (MRCItem
     13862litem &440
    1339413863pos 34
    1339513864dimension 20
    1339613865uid 6873,0
    1339713866)
    13398 *503 (MRCItem
    13399 litem &426
     13867*519 (MRCItem
     13868litem &441
    1340013869pos 35
    1340113870dimension 20
    1340213871uid 7135,0
    1340313872)
    13404 *504 (MRCItem
    13405 litem &427
     13873*520 (MRCItem
     13874litem &442
    1340613875pos 55
    1340713876dimension 20
    1340813877uid 9517,0
    1340913878)
    13410 *505 (MRCItem
    13411 litem &428
     13879*521 (MRCItem
     13880litem &443
    1341213881pos 36
    1341313882dimension 20
    1341413883uid 10295,0
    1341513884)
    13416 *506 (MRCItem
    13417 litem &429
     13885*522 (MRCItem
     13886litem &444
    1341813887pos 37
    1341913888dimension 20
    1342013889uid 11087,0
    1342113890)
    13422 *507 (MRCItem
    13423 litem &430
     13891*523 (MRCItem
     13892litem &445
    1342413893pos 38
    1342513894dimension 20
    1342613895uid 11505,0
    1342713896)
    13428 *508 (MRCItem
    13429 litem &431
     13897*524 (MRCItem
     13898litem &446
    1343013899pos 39
    1343113900dimension 20
    1343213901uid 11507,0
    1343313902)
    13434 *509 (MRCItem
    13435 litem &432
     13903*525 (MRCItem
     13904litem &447
    1343613905pos 40
    1343713906dimension 20
    1343813907uid 12337,0
    1343913908)
    13440 *510 (MRCItem
    13441 litem &433
     13909*526 (MRCItem
     13910litem &448
    1344213911pos 41
    1344313912dimension 20
    1344413913uid 12769,0
    1344513914)
    13446 *511 (MRCItem
    13447 litem &434
     13915*527 (MRCItem
     13916litem &449
    1344813917pos 42
    1344913918dimension 20
    1345013919uid 12771,0
    1345113920)
    13452 *512 (MRCItem
    13453 litem &435
     13921*528 (MRCItem
     13922litem &450
    1345413923pos 43
    1345513924dimension 20
    1345613925uid 12773,0
    1345713926)
    13458 *513 (MRCItem
    13459 litem &436
     13927*529 (MRCItem
     13928litem &451
    1346013929pos 44
    1346113930dimension 20
    1346213931uid 13515,0
    1346313932)
    13464 *514 (MRCItem
    13465 litem &437
     13933*530 (MRCItem
     13934litem &452
    1346613935pos 45
    1346713936dimension 20
    1346813937uid 13627,0
    1346913938)
    13470 *515 (MRCItem
    13471 litem &438
     13939*531 (MRCItem
     13940litem &453
    1347213941pos 46
    1347313942dimension 20
    1347413943uid 14321,0
    1347513944)
    13476 *516 (MRCItem
    13477 litem &439
     13945*532 (MRCItem
     13946litem &454
    1347813947pos 56
    1347913948dimension 20
    1348013949uid 15182,0
    1348113950)
    13482 *517 (MRCItem
    13483 litem &440
     13951*533 (MRCItem
     13952litem &455
    1348413953pos 47
    1348513954dimension 20
    1348613955uid 15705,0
    1348713956)
    13488 *518 (MRCItem
    13489 litem &441
     13957*534 (MRCItem
     13958litem &456
    1349013959pos 48
    1349113960dimension 20
    1349213961uid 15844,0
    1349313962)
    13494 *519 (MRCItem
    13495 litem &442
     13963*535 (MRCItem
     13964litem &457
    1349613965pos 49
    1349713966dimension 20
    1349813967uid 16056,0
    1349913968)
    13500 *520 (MRCItem
    13501 litem &443
     13969*536 (MRCItem
     13970litem &458
    1350213971pos 57
    1350313972dimension 20
    1350413973uid 16254,0
    1350513974)
    13506 *521 (MRCItem
    13507 litem &444
     13975*537 (MRCItem
     13976litem &459
    1350813977pos 58
    1350913978dimension 20
    1351013979uid 16583,0
    1351113980)
    13512 *522 (MRCItem
    13513 litem &445
     13981*538 (MRCItem
     13982litem &460
    1351413983pos 59
    1351513984dimension 20
    1351613985uid 16585,0
    1351713986)
    13518 *523 (MRCItem
    13519 litem &446
     13987*539 (MRCItem
     13988litem &461
    1352013989pos 60
    1352113990dimension 20
    1352213991uid 16587,0
    1352313992)
    13524 *524 (MRCItem
    13525 litem &447
     13993*540 (MRCItem
     13994litem &462
    1352613995pos 61
    1352713996dimension 20
    1352813997uid 17311,0
    1352913998)
    13530 *525 (MRCItem
    13531 litem &448
     13999*541 (MRCItem
     14000litem &463
    1353214001pos 50
    1353314002dimension 20
    1353414003uid 17400,0
    1353514004)
    13536 *526 (MRCItem
    13537 litem &449
     14005*542 (MRCItem
     14006litem &464
    1353814007pos 62
    1353914008dimension 20
    1354014009uid 17855,0
    1354114010)
    13542 *527 (MRCItem
    13543 litem &450
     14011*543 (MRCItem
     14012litem &465
    1354414013pos 63
    1354514014dimension 20
    1354614015uid 18083,0
    1354714016)
    13548 *528 (MRCItem
    13549 litem &451
     14017*544 (MRCItem
     14018litem &466
    1355014019pos 64
    1355114020dimension 20
    1355214021uid 18085,0
    1355314022)
    13554 *529 (MRCItem
    13555 litem &452
     14023*545 (MRCItem
     14024litem &467
    1355614025pos 65
    1355714026dimension 20
    1355814027uid 18214,0
    1355914028)
    13560 *530 (MRCItem
    13561 litem &453
     14029*546 (MRCItem
     14030litem &468
    1356214031pos 66
    1356314032dimension 20
    1356414033uid 18335,0
    1356514034)
    13566 *531 (MRCItem
    13567 litem &454
     14035*547 (MRCItem
     14036litem &469
    1356814037pos 67
    1356914038dimension 20
    1357014039uid 18484,0
    1357114040)
    13572 *532 (MRCItem
    13573 litem &455
     14041*548 (MRCItem
     14042litem &470
    1357414043pos 51
    1357514044dimension 20
    1357614045uid 18801,0
    1357714046)
    13578 *533 (MRCItem
    13579 litem &456
     14047*549 (MRCItem
     14048litem &471
    1358014049pos 68
    1358114050dimension 20
    1358214051uid 19558,0
    1358314052)
    13584 *534 (MRCItem
    13585 litem &457
     14053*550 (MRCItem
     14054litem &472
    1358614055pos 69
    1358714056dimension 20
    1358814057uid 19560,0
    1358914058)
    13590 *535 (MRCItem
    13591 litem &458
     14059*551 (MRCItem
     14060litem &473
    1359214061pos 70
    1359314062dimension 20
    1359414063uid 19562,0
    1359514064)
    13596 *536 (MRCItem
    13597 litem &459
     14065*552 (MRCItem
     14066litem &474
    1359814067pos 71
    1359914068dimension 20
    1360014069uid 19564,0
     14070)
     14071*553 (MRCItem
     14072litem &475
     14073pos 72
     14074dimension 20
     14075uid 20226,0
    1360114076)
    1360214077]
     
    1361114086uid 73,0
    1361214087optionalChildren [
    13613 *537 (MRCItem
    13614 litem &379
     14088*554 (MRCItem
     14089litem &394
    1361514090pos 0
    1361614091dimension 20
    1361714092uid 74,0
    1361814093)
    13619 *538 (MRCItem
    13620 litem &381
     14094*555 (MRCItem
     14095litem &396
    1362114096pos 1
    1362214097dimension 50
    1362314098uid 75,0
    1362414099)
    13625 *539 (MRCItem
    13626 litem &382
     14100*556 (MRCItem
     14101litem &397
    1362714102pos 2
    1362814103dimension 100
    1362914104uid 76,0
    1363014105)
    13631 *540 (MRCItem
    13632 litem &383
     14106*557 (MRCItem
     14107litem &398
    1363314108pos 3
    1363414109dimension 50
    1363514110uid 77,0
    1363614111)
    13637 *541 (MRCItem
    13638 litem &384
     14112*558 (MRCItem
     14113litem &399
    1363914114pos 4
    1364014115dimension 100
    1364114116uid 78,0
    1364214117)
    13643 *542 (MRCItem
    13644 litem &385
     14118*559 (MRCItem
     14119litem &400
    1364514120pos 5
    1364614121dimension 100
    1364714122uid 79,0
    1364814123)
    13649 *543 (MRCItem
    13650 litem &386
     14124*560 (MRCItem
     14125litem &401
    1365114126pos 6
    1365214127dimension 182
    1365314128uid 80,0
    1365414129)
    13655 *544 (MRCItem
    13656 litem &387
     14130*561 (MRCItem
     14131litem &402
    1365714132pos 7
    1365814133dimension 80
     
    1367414149genericsCommonDM (CommonDM
    1367514150ldm (LogicalDM
    13676 emptyRow *545 (LEmptyRow
     14151emptyRow *562 (LEmptyRow
    1367714152)
    1367814153uid 83,0
    1367914154optionalChildren [
    13680 *546 (RefLabelRowHdr
    13681 )
    13682 *547 (TitleRowHdr
    13683 )
    13684 *548 (FilterRowHdr
    13685 )
    13686 *549 (RefLabelColHdr
     14155*563 (RefLabelRowHdr
     14156)
     14157*564 (TitleRowHdr
     14158)
     14159*565 (FilterRowHdr
     14160)
     14161*566 (RefLabelColHdr
    1368714162tm "RefLabelColHdrMgr"
    1368814163)
    13689 *550 (RowExpandColHdr
     14164*567 (RowExpandColHdr
    1369014165tm "RowExpandColHdrMgr"
    1369114166)
    13692 *551 (GroupColHdr
     14167*568 (GroupColHdr
    1369314168tm "GroupColHdrMgr"
    1369414169)
    13695 *552 (NameColHdr
     14170*569 (NameColHdr
    1369614171tm "GenericNameColHdrMgr"
    1369714172)
    13698 *553 (TypeColHdr
     14173*570 (TypeColHdr
    1369914174tm "GenericTypeColHdrMgr"
    1370014175)
    13701 *554 (InitColHdr
     14176*571 (InitColHdr
    1370214177tm "GenericValueColHdrMgr"
    1370314178)
    13704 *555 (PragmaColHdr
     14179*572 (PragmaColHdr
    1370514180tm "GenericPragmaColHdrMgr"
    1370614181)
    13707 *556 (EolColHdr
     14182*573 (EolColHdr
    1370814183tm "GenericEolColHdrMgr"
    1370914184)
     
    1371514190uid 95,0
    1371614191optionalChildren [
    13717 *557 (Sheet
     14192*574 (Sheet
    1371814193sheetRow (SheetRow
    1371914194headerVa (MVa
     
    1373214207font "Tahoma,10,0"
    1373314208)
    13734 emptyMRCItem *558 (MRCItem
    13735 litem &545
     14209emptyMRCItem *575 (MRCItem
     14210litem &562
    1373614211pos 0
    1373714212dimension 20
     
    1373914214uid 97,0
    1374014215optionalChildren [
    13741 *559 (MRCItem
    13742 litem &546
     14216*576 (MRCItem
     14217litem &563
    1374314218pos 0
    1374414219dimension 20
    1374514220uid 98,0
    1374614221)
    13747 *560 (MRCItem
    13748 litem &547
     14222*577 (MRCItem
     14223litem &564
    1374914224pos 1
    1375014225dimension 23
    1375114226uid 99,0
    1375214227)
    13753 *561 (MRCItem
    13754 litem &548
     14228*578 (MRCItem
     14229litem &565
    1375514230pos 2
    1375614231hidden 1
     
    1376914244uid 101,0
    1377014245optionalChildren [
    13771 *562 (MRCItem
    13772 litem &549
     14246*579 (MRCItem
     14247litem &566
    1377314248pos 0
    1377414249dimension 20
    1377514250uid 102,0
    1377614251)
    13777 *563 (MRCItem
    13778 litem &551
     14252*580 (MRCItem
     14253litem &568
    1377914254pos 1
    1378014255dimension 50
    1378114256uid 103,0
    1378214257)
    13783 *564 (MRCItem
    13784 litem &552
     14258*581 (MRCItem
     14259litem &569
    1378514260pos 2
    1378614261dimension 100
    1378714262uid 104,0
    1378814263)
    13789 *565 (MRCItem
    13790 litem &553
     14264*582 (MRCItem
     14265litem &570
    1379114266pos 3
    1379214267dimension 100
    1379314268uid 105,0
    1379414269)
    13795 *566 (MRCItem
    13796 litem &554
     14270*583 (MRCItem
     14271litem &571
    1379714272pos 4
    1379814273dimension 50
    1379914274uid 106,0
    1380014275)
    13801 *567 (MRCItem
    13802 litem &555
     14276*584 (MRCItem
     14277litem &572
    1380314278pos 5
    1380414279dimension 50
    1380514280uid 107,0
    1380614281)
    13807 *568 (MRCItem
    13808 litem &556
     14282*585 (MRCItem
     14283litem &573
    1380914284pos 6
    1381014285dimension 80
  • TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd.bak

    r10958 r10988  
    299299(vvPair
    300300variable "time"
    301 value "16:56:31"
     301value "16:56:32"
    302302)
    303303(vvPair
  • TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r10958 r10988  
    348348)
    349349(Instance
    350 name "U_7"
     350name "Inst_rs485_receiver"
    351351duLibraryName "FACT_FAD_lib"
    352352duName "FAD_rs485_receiver"
     
    470470(vvPair
    471471variable "date"
    472 value "09.06.2011"
     472value "10.06.2011"
    473473)
    474474(vvPair
    475475variable "day"
    476 value "Do"
     476value "Fr"
    477477)
    478478(vvPair
    479479variable "day_long"
    480 value "Donnerstag"
     480value "Freitag"
    481481)
    482482(vvPair
    483483variable "dd"
    484 value "09"
     484value "10"
    485485)
    486486(vvPair
     
    622622(vvPair
    623623variable "time"
    624 value "16:55:25"
     624value "10:56:40"
    625625)
    626626(vvPair
     
    714714)
    715715xt "-172000,127600,-125500,128400"
    716 st "SIGNAL write_ea                     : std_logic_vector(0 downto 0)                 := \"0\"
    717 "
     716st "SIGNAL write_ea                     : std_logic_vector(0 downto 0)                 := \"0\""
    718717)
    719718)
     
    733732)
    734733xt "-172000,60400,-129000,61200"
    735 st "SIGNAL addr_out                     : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    736 "
     734st "SIGNAL addr_out                     : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    737735)
    738736)
     
    752750)
    753751xt "-172000,65200,-136500,66000"
    754 st "SIGNAL data_out                     : std_logic_vector(63 DOWNTO 0)
    755 "
     752st "SIGNAL data_out                     : std_logic_vector(63 DOWNTO 0)"
    756753)
    757754)
     
    771768)
    772769xt "-172000,95600,-129000,96400"
    773 st "SIGNAL ram_addr                     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
    774 "
     770st "SIGNAL ram_addr                     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
    775771)
    776772)
     
    790786)
    791787xt "-172000,96400,-136500,97200"
    792 st "SIGNAL ram_data                     : std_logic_vector(15 downto 0)
    793 "
     788st "SIGNAL ram_data                     : std_logic_vector(15 downto 0)"
    794789)
    795790)
     
    809804)
    810805xt "-172000,43200,-129000,44000"
    811 st "wiz_reset                    : std_logic                                    := '1'
    812 "
     806st "wiz_reset                    : std_logic                                    := '1'"
    813807)
    814808)
     
    828822)
    829823xt "-172000,40800,-140500,41600"
    830 st "wiz_addr                     : std_logic_vector(9 DOWNTO 0)
    831 "
     824st "wiz_addr                     : std_logic_vector(9 DOWNTO 0)"
    832825)
    833826)
     
    847840)
    848841xt "-172000,45600,-140000,46400"
    849 st "wiz_data                     : std_logic_vector(15 DOWNTO 0)
    850 "
     842st "wiz_data                     : std_logic_vector(15 DOWNTO 0)"
    851843)
    852844)
     
    866858)
    867859xt "-172000,41600,-129000,42400"
    868 st "wiz_cs                       : std_logic                                    := '1'
    869 "
     860st "wiz_cs                       : std_logic                                    := '1'"
    870861)
    871862)
     
    885876)
    886877xt "-172000,44000,-129000,44800"
    887 st "wiz_wr                       : std_logic                                    := '1'
    888 "
     878st "wiz_wr                       : std_logic                                    := '1'"
    889879)
    890880)
     
    904894)
    905895xt "-172000,42400,-129000,43200"
    906 st "wiz_rd                       : std_logic                                    := '1'
    907 "
     896st "wiz_rd                       : std_logic                                    := '1'"
    908897)
    909898)
     
    922911)
    923912xt "-172000,14400,-150000,15200"
    924 st "wiz_int                      : std_logic
    925 "
     913st "wiz_int                      : std_logic"
    926914)
    927915)
     
    33983386)
    33993387xt "-172000,10400,-140500,11200"
    3400 st "board_id                     : std_logic_vector(3 DOWNTO 0)
    3401 "
     3388st "board_id                     : std_logic_vector(3 DOWNTO 0)"
    34023389)
    34033390)
     
    34183405)
    34193406xt "-172000,13600,-150000,14400"
    3420 st "trigger                      : std_logic
    3421 "
     3407st "trigger                      : std_logic"
    34223408)
    34233409)
     
    54645450)
    54655451xt "-172000,11200,-140500,12000"
    5466 st "crate_id                     : std_logic_vector(1 DOWNTO 0)
    5467 "
     5452st "crate_id                     : std_logic_vector(1 DOWNTO 0)"
    54685453)
    54695454)
     
    57235708)
    57245709xt "-172000,97200,-129000,98000"
    5725 st "SIGNAL ram_start_addr               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    5726 "
     5710st "SIGNAL ram_start_addr               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    57275711)
    57285712)
     
    57435727)
    57445728xt "-172000,124400,-125500,125200"
    5745 st "SIGNAL wiz_write_ea                 : std_logic                                    := '0'
    5746 "
     5729st "SIGNAL wiz_write_ea                 : std_logic                                    := '0'"
    57475730)
    57485731)
     
    57645747)
    57655748xt "-172000,126800,-119500,127600"
    5766 st "SIGNAL wiz_write_length             : std_logic_vector(16 downto 0)                := (others => '0')
    5767 "
     5749st "SIGNAL wiz_write_length             : std_logic_vector(16 downto 0)                := (others => '0')"
    57685750)
    57695751)
     
    57865768)
    57875769xt "-172000,123600,-119500,124400"
    5788 st "SIGNAL wiz_ram_start_addr           : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
    5789 "
     5770st "SIGNAL wiz_ram_start_addr           : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
    57905771)
    57915772)
     
    58075788)
    58085789xt "-172000,122800,-119500,123600"
    5809 st "SIGNAL wiz_number_of_channels       : std_logic_vector(3 downto 0)                 := (others => '0')
    5810 "
     5790st "SIGNAL wiz_number_of_channels       : std_logic_vector(3 downto 0)                 := (others => '0')"
    58115791)
    58125792)
     
    58275807)
    58285808xt "-172000,125200,-125500,126000"
    5829 st "SIGNAL wiz_write_end                : std_logic                                    := '0'
    5830 "
     5809st "SIGNAL wiz_write_end                : std_logic                                    := '0'"
    58315810)
    58325811)
     
    58475826)
    58485827xt "-172000,126000,-125500,126800"
    5849 st "SIGNAL wiz_write_header             : std_logic                                    := '0'
    5850 "
     5828st "SIGNAL wiz_write_header             : std_logic                                    := '0'"
    58515829)
    58525830)
     
    58655843)
    58665844xt "-172000,98000,-146500,98800"
    5867 st "SIGNAL ram_write_ea                 : std_logic
    5868 "
     5845st "SIGNAL ram_write_ea                 : std_logic"
    58695846)
    58705847)
     
    58845861)
    58855862xt "-172000,98800,-125500,99600"
    5886 st "SIGNAL ram_write_ready              : std_logic                                    := '0'
    5887 "
     5863st "SIGNAL ram_write_ready              : std_logic                                    := '0'"
    58885864)
    58895865)
     
    59025878)
    59035879xt "-172000,102800,-145000,103600"
    5904 st "SIGNAL roi_max                      : roi_max_type
    5905 "
     5880st "SIGNAL roi_max                      : roi_max_type"
    59065881)
    59075882)
     
    59215896)
    59225897xt "-172000,92400,-136500,93200"
    5923 st "SIGNAL package_length               : std_logic_vector(15 downto 0)
    5924 "
     5898st "SIGNAL package_length               : std_logic_vector(15 downto 0)"
    59255899)
    59265900)
     
    59405914)
    59415915xt "-172000,24000,-129000,24800"
    5942 st "adc_oeb                      : std_logic                                    := '1'
    5943 "
     5916st "adc_oeb                      : std_logic                                    := '1'"
    59445917)
    59455918)
     
    61456118bg "0,0,32768"
    61466119)
    6147 xt "85200,178000,96000,179000"
     6120xt "85200,178000,95900,179000"
    61486121st "
    61496122by %user on %dd %month %year
     
    64646437)
    64656438xt "-172000,16000,-150000,16800"
    6466 st "CLK_25_PS                    : std_logic
    6467 "
     6439st "CLK_25_PS                    : std_logic"
    64686440)
    64696441)
     
    65286500)
    65296501xt "-172000,16800,-150000,17600"
    6530 st "CLK_50                       : std_logic
    6531 "
     6502st "CLK_50                       : std_logic"
    65326503)
    65336504)
     
    65486519)
    65496520xt "-172000,48400,-146500,49200"
    6550 st "SIGNAL CLK_25                       : std_logic
    6551 "
     6521st "SIGNAL CLK_25                       : std_logic"
    65526522)
    65536523)
     
    66106580)
    66116581xt "-172000,3200,-150000,4000"
    6612 st "CLK                          : std_logic
    6613 "
     6582st "CLK                          : std_logic"
    66146583)
    66156584)
     
    66296598)
    66306599xt "-172000,9600,-140500,10400"
    6631 st "adc_otr_array                : std_logic_vector(3 DOWNTO 0)
    6632 "
     6600st "adc_otr_array                : std_logic_vector(3 DOWNTO 0)"
    66336601)
    66346602)
     
    66476615)
    66486616xt "-172000,8800,-145000,9600"
    6649 st "adc_data_array               : adc_data_array_type
    6650 "
     6617st "adc_data_array               : adc_data_array_type"
    66516618)
    66526619)
     
    67116678)
    67126679xt "-172000,78000,-125500,78800"
    6713 st "SIGNAL drs_clk_en                   : std_logic                                    := '0'
    6714 "
     6680st "SIGNAL drs_clk_en                   : std_logic                                    := '0'"
    67156681)
    67166682)
     
    67296695)
    67306696xt "-172000,84400,-140500,85200"
    6731 st "SIGNAL drs_s_cell_array             : drs_s_cell_array_type
    6732 "
     6697st "SIGNAL drs_s_cell_array             : drs_s_cell_array_type"
    67336698)
    67346699)
     
    67486713)
    67496714xt "-172000,78800,-125500,79600"
    6750 st "SIGNAL drs_read_s_cell              : std_logic                                    := '0'
    6751 "
     6715st "SIGNAL drs_read_s_cell              : std_logic                                    := '0'"
    67526716)
    67536717)
     
    67686732)
    67696733xt "-172000,31200,-123000,32000"
    6770 st "drs_channel_id               : std_logic_vector(3 downto 0)                 := (others => '0')
    6771 "
     6734st "drs_channel_id               : std_logic_vector(3 downto 0)                 := (others => '0')"
    67726735)
    67736736)
     
    67876750)
    67886751xt "-172000,32000,-129000,32800"
    6789 st "drs_dwrite                   : std_logic                                    := '1'
    6790 "
     6752st "drs_dwrite                   : std_logic                                    := '1'"
    67916753)
    67926754)
     
    68956857)
    68966858xt "-172000,5600,-150000,6400"
    6897 st "SROUT_in_0                   : std_logic
    6898 "
     6859st "SROUT_in_0                   : std_logic"
    68996860)
    69006861)
     
    69136874)
    69146875xt "-172000,6400,-150000,7200"
    6915 st "SROUT_in_1                   : std_logic
    6916 "
     6876st "SROUT_in_1                   : std_logic"
    69176877)
    69186878)
     
    69316891)
    69326892xt "-172000,7200,-150000,8000"
    6933 st "SROUT_in_2                   : std_logic
    6934 "
     6893st "SROUT_in_2                   : std_logic"
    69356894)
    69366895)
     
    69496908)
    69506909xt "-172000,8000,-150000,8800"
    6951 st "SROUT_in_3                   : std_logic
    6952 "
     6910st "SROUT_in_3                   : std_logic"
    69536911)
    69546912)
     
    71477105)
    71487106xt "-172000,79600,-146500,80400"
    7149 st "SIGNAL drs_read_s_cell_ready        : std_logic
    7150 "
     7107st "SIGNAL drs_read_s_cell_ready        : std_logic"
    71517108)
    71527109)
     
    78037760)
    78047761xt "-172000,21600,-129000,22400"
    7805 st "RSRLOAD                      : std_logic                                    := '0'
    7806 "
     7762st "RSRLOAD                      : std_logic                                    := '0'"
    78077763)
    78087764)
     
    78677823)
    78687824xt "-172000,22400,-129000,23200"
    7869 st "SRCLK                        : std_logic                                    := '0'
    7870 "
     7825st "SRCLK                        : std_logic                                    := '0'"
    78717826)
    78727827)
     
    79337888)
    79347889xt "-172000,33600,-123000,34400"
    7935 st "led                          : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
    7936 "
     7890st "led                          : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    79377891)
    79387892)
     
    79517905)
    79527906xt "-172000,110000,-146500,110800"
    7953 st "SIGNAL sensor_ready                 : std_logic
    7954 "
     7907st "SIGNAL sensor_ready                 : std_logic"
    79557908)
    79567909)
     
    79697922)
    79707923xt "-172000,109200,-142500,110000"
    7971 st "SIGNAL sensor_array                 : sensor_array_type
    7972 "
     7924st "SIGNAL sensor_array                 : sensor_array_type"
    79737925)
    79747926)
     
    79897941)
    79907942xt "-172000,59600,-137000,60400"
    7991 st "SIGNAL adc_otr                      : std_logic_vector(3 DOWNTO 0)
    7992 "
     7943st "SIGNAL adc_otr                      : std_logic_vector(3 DOWNTO 0)"
    79937944)
    79947945)
     
    80077958)
    80087959xt "-172000,58800,-141500,59600"
    8009 st "SIGNAL adc_data_array_int           : adc_data_array_type
    8010 "
     7960st "SIGNAL adc_data_array_int           : adc_data_array_type"
    80117961)
    80127962)
     
    88488798)
    88498799xt "-172000,36800,-150000,37600"
    8850 st "sclk                         : std_logic
    8851 "
     8800st "sclk                         : std_logic"
    88528801)
    88538802)
     
    88688817)
    88698818xt "-172000,44800,-150000,45600"
    8870 st "sio                          : std_logic
    8871 "
     8819st "sio                          : std_logic"
    88728820)
    88738821)
     
    88868834)
    88878835xt "-172000,28000,-150000,28800"
    8888 st "dac_cs                       : std_logic
    8889 "
     8836st "dac_cs                       : std_logic"
    88908837)
    88918838)
     
    89058852)
    89068853xt "-172000,37600,-140500,38400"
    8907 st "sensor_cs                    : std_logic_vector(3 DOWNTO 0)
    8908 "
     8854st "sensor_cs                    : std_logic_vector(3 DOWNTO 0)"
    89098855)
    89108856)
     
    91049050)
    91059051xt "-172000,35200,-129000,36000"
    9106 st "mosi                         : std_logic                                    := '0'
    9107 "
     9052st "mosi                         : std_logic                                    := '0'"
    91089053)
    91099054)
     
    91709115)
    91719116xt "-172000,30400,-115500,31200"
    9172 st "denable                      : std_logic                                    := '0' -- default domino wave off
    9173 "
     9117st "denable                      : std_logic                                    := '0' -- default domino wave off"
    91749118)
    91759119)
     
    99579901)
    99589902xt "-172000,108400,-146500,109200"
    9959 st "SIGNAL sclk_enable                  : std_logic
    9960 "
     9903st "SIGNAL sclk_enable                  : std_logic"
    99619904)
    99629905)
     
    99769919)
    99779920xt "-172000,58000,-146500,58800"
    9978 st "SIGNAL adc_clk_en                   : std_logic
    9979 "
     9921st "SIGNAL adc_clk_en                   : std_logic"
    99809922)
    99819923)
     
    1044910391)
    1045010392xt "-172000,93200,-110000,94000"
    10451 st "SIGNAL ps_direction                 : std_logic                                    := '1' -- default phase shift upwards
    10452 "
     10393st "SIGNAL ps_direction                 : std_logic                                    := '1' -- default phase shift upwards"
    1045310394)
    1045410395)
     
    1047110412)
    1047210413xt "-172000,94000,-109000,94800"
    10473 st "SIGNAL ps_do_phase_shift            : std_logic                                    := '0' --pulse this to phase shift once
    10474 "
     10414st "SIGNAL ps_do_phase_shift            : std_logic                                    := '0' --pulse this to phase shift once"
    1047510415)
    1047610416)
     
    1049210432)
    1049310433xt "-172000,94800,-101500,95600"
    10494 st "SIGNAL ps_reset                     : std_logic                                    := '0' -- pulse this to reset the variable phase shift
    10495 "
     10434st "SIGNAL ps_reset                     : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
    1049610435)
    1049710436)
     
    1051110450)
    1051210451xt "-172000,114800,-125500,115600"
    10513 st "SIGNAL srclk_enable                 : std_logic                                    := '0'
    10514 "
     10452st "SIGNAL srclk_enable                 : std_logic                                    := '0'"
    1051510453)
    1051610454)
     
    1089610834)
    1089710835xt "-172000,57200,-125500,58000"
    10898 st "SIGNAL SRCLK1                       : std_logic                                    := '0'
    10899 "
     10836st "SIGNAL SRCLK1                       : std_logic                                    := '0'"
    1090010837)
    1090110838)
     
    1091410851)
    1091510852xt "-172000,106800,-146500,107600"
    10916 st "SIGNAL s_trigger                    : std_logic
    10917 "
     10853st "SIGNAL s_trigger                    : std_logic"
    1091810854)
    1091910855)
     
    1093210868)
    1093310869xt "-172000,117200,-146500,118000"
    10934 st "SIGNAL start_srin_write_8b          : std_logic
    10935 "
     10870st "SIGNAL start_srin_write_8b          : std_logic"
    1093610871)
    1093710872)
     
    1095110886)
    1095210887xt "-172000,115600,-125500,116400"
    10953 st "SIGNAL srin_write_ack               : std_logic                                    := '0'
    10954 "
     10888st "SIGNAL srin_write_ack               : std_logic                                    := '0'"
    1095510889)
    1095610890)
     
    1097010904)
    1097110905xt "-172000,116400,-125500,117200"
    10972 st "SIGNAL srin_write_ready             : std_logic                                    := '0'
    10973 "
     10906st "SIGNAL srin_write_ready             : std_logic                                    := '0'"
    1097410907)
    1097510908)
     
    1099010923)
    1099110924xt "-172000,85200,-119500,86000"
    10992 st "SIGNAL drs_srin_data                : std_logic_vector(7 downto 0)                 := (others => '0')
    10993 "
     10925st "SIGNAL drs_srin_data                : std_logic_vector(7 downto 0)                 := (others => '0')"
    1099410926)
    1099510927)
     
    1100910941)
    1101010942xt "-172000,23200,-129000,24000"
    11011 st "SRIN_out                     : std_logic                                    := '0'
    11012 "
     10943st "SRIN_out                     : std_logic                                    := '0'"
    1101310944)
    1101410945)
     
    1152611457)
    1152711458xt "-172000,110800,-146500,111600"
    11528 st "SIGNAL socks_connected              : std_logic
    11529 "
     11459st "SIGNAL socks_connected              : std_logic"
    1153011460)
    1153111461)
     
    1154411474)
    1154511475xt "-172000,111600,-146500,112400"
    11546 st "SIGNAL socks_waiting                : std_logic
    11547 "
     11476st "SIGNAL socks_waiting                : std_logic"
    1154811477)
    1154911478)
     
    1156211491)
    1156311492xt "-172000,32800,-150000,33600"
    11564 st "green                        : std_logic
    11565 "
     11493st "green                        : std_logic"
    1156611494)
    1156711495)
     
    1162411552)
    1162511553xt "-172000,26400,-150000,27200"
    11626 st "amber                        : std_logic
    11627 "
     11554st "amber                        : std_logic"
    1162811555)
    1162911556)
     
    1168611613)
    1168711614xt "-172000,36000,-150000,36800"
    11688 st "red                          : std_logic
    11689 "
     11615st "red                          : std_logic"
    1169011616)
    1169111617)
     
    1218512111)
    1218612112xt "-172000,83600,-146500,84400"
    12187 st "SIGNAL drs_readout_started          : std_logic
    12188 "
     12113st "SIGNAL drs_readout_started          : std_logic"
    1218912114)
    1219012115)
     
    1220312128)
    1220412129xt "-172000,118800,-146500,119600"
    12205 st "SIGNAL trigger_enable               : std_logic
    12206 "
     12130st "SIGNAL trigger_enable               : std_logic"
    1220712131)
    1220812132)
     
    1289112815st "-- --
    1289212816--      drs_dwrite : out std_logic := '1';
    12893 SIGNAL drs_readout_ready            : std_logic                                    := '0'
    12894 "
     12817SIGNAL drs_readout_ready            : std_logic                                    := '0'"
    1289512818)
    1289612819)
     
    1290912832)
    1291012833xt "-172000,82800,-146500,83600"
    12911 st "SIGNAL drs_readout_ready_ack        : std_logic
    12912 "
     12834st "SIGNAL drs_readout_ready_ack        : std_logic"
    1291312835)
    1291412836)
     
    1316413086)
    1316513087xt "-172000,61200,-125500,62000"
    13166 st "SIGNAL c_trigger_enable             : std_logic                                    := '0'
    13167 "
     13088st "SIGNAL c_trigger_enable             : std_logic                                    := '0'"
    1316813089)
    1316913090)
     
    1367913600)
    1368013601xt "-172000,4000,-140500,4800"
    13681 st "D_T_in                       : std_logic_vector(1 DOWNTO 0)
    13682 "
     13602st "D_T_in                       : std_logic_vector(1 DOWNTO 0)"
    1368313603)
    1368413604)
     
    1374313663)
    1374413664xt "-172000,12000,-118500,12800"
    13745 st "drs_refclk_in                : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit
    13746 "
     13665st "drs_refclk_in                : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
    1374713666)
    1374813667)
     
    1380813727)
    1380913728xt "-172000,12800,-111000,13600"
    13810 st "plllock_in                   : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked
    13811 "
     13729st "plllock_in                   : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked"
    1381213730)
    1381313731)
     
    1408914007)
    1409014008xt "-172000,24800,-150000,25600"
    14091 st "alarm_refclk_too_high        : std_logic
    14092 "
     14009st "alarm_refclk_too_high        : std_logic"
    1409314010)
    1409414011)
     
    1415214069)
    1415314070xt "-172000,25600,-150000,26400"
    14154 st "alarm_refclk_too_low         : std_logic
    14155 "
     14071st "alarm_refclk_too_low         : std_logic"
    1415614072)
    1415714073)
     
    1421514131)
    1421614132xt "-172000,27200,-140000,28000"
    14217 st "counter_result               : std_logic_vector(11 DOWNTO 0)
    14218 "
     14133st "counter_result               : std_logic_vector(11 DOWNTO 0)"
    1421914134)
    1422014135)
     
    1490414819)
    1490514820xt "-172000,67600,-112000,68400"
    14906 st "SIGNAL denable_prim                 : std_logic                                    := '0' -- default domino wave off
    14907 "
     14821st "SIGNAL denable_prim                 : std_logic                                    := '0' -- default domino wave off"
    1490814822)
    1490914823)
     
    1492514839)
    1492614840xt "-172000,70800,-112000,71600"
    14927 st "SIGNAL din1                         : std_logic                                    := '0' -- default domino wave off
    14928 "
     14841st "SIGNAL din1                         : std_logic                                    := '0' -- default domino wave off"
    1492914842)
    1493014843)
     
    1494314856)
    1494414857xt "-172000,121200,-146500,122000"
    14945 st "SIGNAL trigger_out                  : std_logic
    14946 "
     14858st "SIGNAL trigger_out                  : std_logic"
    1494714859)
    1494814860)
     
    1496514877)
    1496614878xt "-172000,119600,-136500,120400"
    14967 st "SIGNAL trigger_id                   : std_logic_vector(31 downto 0)
    14968 "
     14879st "SIGNAL trigger_id                   : std_logic_vector(31 downto 0)"
    1496914880)
    1497014881)
     
    1498714898)
    1498814899xt "-172000,49200,-119500,50000"
    14989 st "SIGNAL DCM_PS_status                : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
    14990 "
     14900st "SIGNAL DCM_PS_status                : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    1499114901)
    1499214902)
     
    1521115121)
    1521215122xt "-172000,71600,-119500,72400"
    15213 st "SIGNAL dna                          : STD_LOGIC_VECTOR(63 DOWNTO 0)                := (others => '0')
    15214 "
     15123st "SIGNAL dna                          : STD_LOGIC_VECTOR(63 DOWNTO 0)                := (others => '0')"
    1521515124)
    1521615125)
     
    1523215141)
    1523315142xt "-172000,99600,-125500,100400"
    15234 st "SIGNAL ready                        : STD_LOGIC                                    := '0'
    15235 "
     15143st "SIGNAL ready                        : STD_LOGIC                                    := '0'"
    1523615144)
    1523715145)
     
    1576615674)
    1576715675xt "-172000,88400,-146500,89200"
    15768 st "SIGNAL enable_i                     : std_logic
    15769 "
     15676st "SIGNAL enable_i                     : std_logic"
    1577015677)
    1577115678)
     
    1597715884)
    1597815885xt "-172000,101200,-146500,102000"
    15979 st "SIGNAL reset_synch_i                : std_logic
    15980 "
     15886st "SIGNAL reset_synch_i                : std_logic"
    1598115887)
    1598215888)
     
    1599615902)
    1599715903xt "-172000,118000,-136500,118800"
    15998 st "SIGNAL time                         : std_logic_vector(31 DOWNTO 0)
    15999 "
     15904st "SIGNAL time                         : std_logic_vector(31 DOWNTO 0)"
    1600015905)
    1600115906)
     
    1601715922)
    1601815923xt "-172000,104400,-132000,105200"
    16019 st "SIGNAL rs465_data                   : std_logic_vector(55 DOWNTO 0) --7 byte
    16020 "
     15924st "SIGNAL rs465_data                   : std_logic_vector(55 DOWNTO 0) --7 byte"
    1602115925)
    1602215926)
     
    1604615950-- during EVT header wrinting, this field is left out ... and only written into event header,
    1604715951-- when the DRS chip were read out already.
    16048 SIGNAL FTM_RS485_ready              : std_logic
    16049 "
     15952SIGNAL FTM_RS485_ready              : std_logic"
    1605015953)
    1605115954)
     
    1606615969)
    1606715970xt "-172000,62000,-136500,62800"
    16068 st "SIGNAL c_trigger_mult               : std_logic_vector(15 DOWNTO 0)
    16069 "
     15971st "SIGNAL c_trigger_mult               : std_logic_vector(15 DOWNTO 0)"
    1607015972)
    1607115973)
     
    1608515987)
    1608615988xt "-172000,66000,-146500,66800"
    16087 st "SIGNAL data_ram_empty               : std_logic
    16088 "
     15989st "SIGNAL data_ram_empty               : std_logic"
    1608915990)
    1609015991)
     
    1614916050)
    1615016051xt "-172000,15200,-150000,16000"
    16151 st "ADC_CLK                      : std_logic
    16152 "
     16052st "ADC_CLK                      : std_logic"
    1615316053)
    1615416054)
     
    1654316443)
    1654416444xt "-172000,63600,-120000,64400"
    16545 st "SIGNAL current_dac_array            : dac_array_type                               := ( others => 0)
    16546 "
     16445st "SIGNAL current_dac_array            : dac_array_type                               := ( others => 0)"
    1654716446)
    1654816447)
     
    1656316462)
    1656416463xt "-172000,120400,-146500,121200"
    16565 st "SIGNAL trigger_or_s_trigger         : std_logic
    16566 "
     16464st "SIGNAL trigger_or_s_trigger         : std_logic"
    1656716465)
    1656816466)
     
    1658316481)
    1658416482xt "-172000,89200,-146500,90000"
    16585 st "SIGNAL enabled_trigger_or_s_trigger : std_logic
    16586 "
     16483st "SIGNAL enabled_trigger_or_s_trigger : std_logic"
    1658716484)
    1658816485)
     
    1660116498)
    1660216499xt "-172000,62800,-146500,63600"
    16603 st "SIGNAL cont_trigger                 : std_logic
    16604 "
     16500st "SIGNAL cont_trigger                 : std_logic"
    1660516501)
    1660616502)
     
    1661916515)
    1662016516xt "-172000,107600,-146500,108400"
    16621 st "SIGNAL s_trigger_or_cont_trigger    : std_logic
    16622 "
     16517st "SIGNAL s_trigger_or_cont_trigger    : std_logic"
    1662316518)
    1662416519)
     
    1664016535)
    1664116536xt "-172000,64400,-98500,65200"
    16642 st "SIGNAL dac_setting                  : dac_array_type                               := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd
    16643 "
     16537st "SIGNAL dac_setting                  : dac_array_type                               := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd"
    1664416538)
    1664516539)
     
    1665816552)
    1665916553xt "-172000,103600,-144000,104400"
    16660 st "SIGNAL roi_setting                  : roi_array_type
    16661 "
     16554st "SIGNAL roi_setting                  : roi_array_type"
    1666216555)
    1666316556)
     
    1667716570)
    1667816571xt "-172000,90800,-125500,91600"
    16679 st "SIGNAL memory_manager_config_start  : std_logic                                    := '0'
    16680 "
     16572st "SIGNAL memory_manager_config_start  : std_logic                                    := '0'"
    1668116573)
    1668216574)
     
    1669516587)
    1669616588xt "-172000,91600,-146500,92400"
    16697 st "SIGNAL memory_manager_config_valid  : std_logic
    16698 "
     16589st "SIGNAL memory_manager_config_valid  : std_logic"
    1669916590)
    1670016591)
     
    1671416605)
    1671516606xt "-172000,113200,-125500,114000"
    16716 st "SIGNAL spi_interface_config_start   : std_logic                                    := '0'
    16717 "
     16607st "SIGNAL spi_interface_config_start   : std_logic                                    := '0'"
    1671816608)
    1671916609)
     
    1673216622)
    1673316623xt "-172000,114000,-146500,114800"
    16734 st "SIGNAL spi_interface_config_valid   : std_logic
    16735 "
     16624st "SIGNAL spi_interface_config_valid   : std_logic"
    1673616625)
    1673716626)
     
    1768317572)
    1768417573xt "-172000,73200,-146500,74000"
    17685 st "SIGNAL dout0                        : STD_LOGIC
    17686 "
     17574st "SIGNAL dout0                        : STD_LOGIC"
    1768717575)
    1768817576)
     
    1770117589)
    1770217590xt "-172000,74000,-146500,74800"
    17703 st "SIGNAL dout1                        : STD_LOGIC
    17704 "
     17591st "SIGNAL dout1                        : STD_LOGIC"
    1770517592)
    1770617593)
     
    1771917606)
    1772017607xt "-172000,74800,-146500,75600"
    17721 st "SIGNAL dout2                        : STD_LOGIC
    17722 "
     17608st "SIGNAL dout2                        : STD_LOGIC"
    1772317609)
    1772417610)
     
    1773717623)
    1773817624xt "-172000,75600,-146500,76400"
    17739 st "SIGNAL dout3                        : STD_LOGIC
    17740 "
     17625st "SIGNAL dout3                        : STD_LOGIC"
    1774117626)
    1774217627)
     
    1820318088)
    1820418089xt "-172000,72400,-146500,73200"
    18205 st "SIGNAL dout                         : STD_LOGIC
    18206 "
     18090st "SIGNAL dout                         : STD_LOGIC"
    1820718091)
    1820818092)
     
    1822118105)
    1822218106xt "-172000,56400,-146500,57200"
    18223 st "SIGNAL I_really_want_dwrite         : STD_LOGIC
    18224 "
     18107st "SIGNAL I_really_want_dwrite         : STD_LOGIC"
    1822518108)
    1822618109)
     
    1824018123)
    1824118124xt "-172000,86000,-125500,86800"
    18242 st "SIGNAL dwrite_enable_w5300          : std_logic                                    := '1'
    18243 "
     18125st "SIGNAL dwrite_enable_w5300          : std_logic                                    := '1'"
    1824418126)
    1824518127)
     
    1825918141)
    1826018142xt "-172000,86800,-125500,87600"
    18261 st "SIGNAL dwrite_global_enable         : std_logic                                    := '1'
    18262 "
     18143st "SIGNAL dwrite_global_enable         : std_logic                                    := '1'"
    1826318144)
    1826418145)
     
    1864418525)
    1864518526xt "-172000,76400,-146500,77200"
    18646 st "SIGNAL dout4                        : STD_LOGIC
    18647 "
     18527st "SIGNAL dout4                        : STD_LOGIC"
    1864818528)
    1864918529)
     
    1866318543)
    1866418544xt "-172000,87600,-125500,88400"
    18665 st "SIGNAL dwrite_trigger_manager       : std_logic                                    := '1'
    18666 "
     18545st "SIGNAL dwrite_trigger_manager       : std_logic                                    := '1'"
    1866718546)
    1866818547)
     
    1922419103)
    1922519104xt "-172000,68400,-112000,69200"
    19226 st "SIGNAL denable_sig                  : std_logic                                    := '0' -- default domino wave off
    19227 "
     19105st "SIGNAL denable_sig                  : std_logic                                    := '0' -- default domino wave off"
    1922819106)
    1922919107)
     
    1924219120)
    1924319121xt "-172000,50000,-146500,50800"
    19244 st "SIGNAL DCM_locked_status            : std_logic
    19245 "
     19122st "SIGNAL DCM_locked_status            : std_logic"
    1924619123)
    1924719124)
     
    1926019137)
    1926119138xt "-172000,50800,-146500,51600"
    19262 st "SIGNAL DCM_ready_status             : std_logic
    19263 "
     19139st "SIGNAL DCM_ready_status             : std_logic"
    1926419140)
    1926519141)
     
    1927919155)
    1928019156xt "-172000,39200,-129000,40000"
    19281 st "trigger_veto                 : std_logic                                    := '1'
    19282 "
     19157st "trigger_veto                 : std_logic                                    := '1'"
    1928319158)
    1928419159)
     
    1968719562font "Arial,8,1"
    1968819563)
    19689 xt "-15000,159000,-13200,160000"
    19690 st "U_7"
     19564xt "-15000,159000,-7200,160000"
     19565st "Inst_rs485_receiver"
    1969119566blo "-15000,159800"
    1969219567tm "InstanceNameMgr"
     
    1993319808)
    1993419809xt "-172000,4800,-150000,5600"
    19935 st "FTM_RS485_rx_d               : std_logic
    19936 "
     19810st "FTM_RS485_rx_d               : std_logic"
    1993719811)
    1993819812)
     
    1995119825)
    1995219826xt "-172000,20000,-150000,20800"
    19953 st "FTM_RS485_tx_d               : std_logic
    19954 "
     19827st "FTM_RS485_tx_d               : std_logic"
    1995519828)
    1995619829)
     
    1996919842)
    1997019843xt "-172000,19200,-150000,20000"
    19971 st "FTM_RS485_rx_en              : std_logic
    19972 "
     19844st "FTM_RS485_rx_en              : std_logic"
    1997319845)
    1997419846)
     
    1998719859)
    1998819860xt "-172000,20800,-150000,21600"
    19989 st "FTM_RS485_tx_en              : std_logic
    19990 "
     19861st "FTM_RS485_tx_en              : std_logic"
    1999119862)
    1999219863)
     
    2000619877)
    2000719878xt "-172000,100400,-125500,101200"
    20008 st "SIGNAL rec_timeout_occured          : std_logic                                    := '0'
    20009 "
     19879st "SIGNAL rec_timeout_occured          : std_logic                                    := '0'"
    2001019880)
    2001119881)
     
    2002519895)
    2002619896xt "-172000,102000,-125500,102800"
    20027 st "SIGNAL reset_trigger_id             : std_logic                                    := '0'
    20028 "
     19897st "SIGNAL reset_trigger_id             : std_logic                                    := '0'"
    2002919898)
    2003019899)
     
    2009019959)
    2009119960xt "-172000,40000,-115000,40800"
    20092 st "w5300_state                  : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
    20093 "
     19961st "w5300_state                  : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging."
    2009419962)
    2009519963)
     
    2010819976)
    2010919977xt "-172000,28800,-150000,29600"
    20110 st "debug_data_ram_empty         : std_logic
    20111 "
     19978st "debug_data_ram_empty         : std_logic"
    2011219979)
    2011319980)
     
    2017020037)
    2017120038xt "-172000,29600,-150000,30400"
    20172 st "debug_data_valid             : std_logic
    20173 "
     20039st "debug_data_valid             : std_logic"
    2017420040)
    2017520041)
     
    2042520291)
    2042620292xt "-172000,112400,-146500,113200"
    20427 st "SIGNAL software_trigger_in          : std_logic
    20428 "
     20293st "SIGNAL software_trigger_in          : std_logic"
    2042920294)
    2043020295)
     
    2049120356)
    2049220357xt "-172000,34400,-115000,35200"
    20493 st "mem_manager_state            : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
    20494 "
     20358st "mem_manager_state            : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging."
    2049520359)
    2049620360)
     
    2050920373)
    2051020374xt "-172000,90000,-146500,90800"
    20511 st "SIGNAL is_idle                      : std_logic
    20512 "
     20375st "SIGNAL is_idle                      : std_logic"
    2051320376)
    2051420377)
     
    2057520438xt "-172000,17600,-140500,19200"
    2057620439st "-- for debugging
    20577 DG_state                     : std_logic_vector(7 downto 0)
    20578 "
     20440DG_state                     : std_logic_vector(7 downto 0)"
    2057920441)
    2058020442)
     
    2139621258)
    2139721259xt "-172000,66800,-125500,67600"
    21398 st "SIGNAL data_valid_ack               : std_logic                                    := '0'
    21399 "
     21260st "SIGNAL data_valid_ack               : std_logic                                    := '0'"
    2140021261)
    2140121262)
     
    2141521276)
    2141621277xt "-172000,70000,-125500,70800"
    21417 st "SIGNAL dg_start_config              : std_logic                                    := '0'
    21418 "
     21278st "SIGNAL dg_start_config              : std_logic                                    := '0'"
    2141921279)
    2142021280)
     
    2143321293)
    2143421294xt "-172000,69200,-146500,70000"
    21435 st "SIGNAL dg_config_done               : std_logic
    21436 "
     21295st "SIGNAL dg_config_done               : std_logic"
    2143721296)
    2143821297)
     
    2145621315xt "-172000,105200,-136500,106800"
    2145721316st "-- EVT HEADER - part 6
    21458 SIGNAL runnumber                    : std_logic_vector(31 downto 0)
    21459 "
     21317SIGNAL runnumber                    : std_logic_vector(31 downto 0)"
    2146021318)
    2146121319)
     
    2147721335)
    2147821336xt "-172000,38400,-125000,39200"
    21479 st "socket_tx_free_out           : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true
    21480 "
     21337st "socket_tx_free_out           : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true"
    2148121338)
    2148221339)
     
    2224122098)
    2224222099xt "-172000,77200,-146500,78000"
    22243 st "SIGNAL dout5                        : std_logic
    22244 "
     22100st "SIGNAL dout5                        : std_logic"
    2224522101)
    2224622102)
     
    2226022116)
    2226122117xt "-172000,122000,-125500,122800"
    22262 st "SIGNAL trigger_veto1                : std_logic                                    := '1'
    22263 "
     22118st "SIGNAL trigger_veto1                : std_logic                                    := '1'"
    2226422119)
    2226522120)
     
    3023030085)
    3023130086windowSize "0,22,1681,1050"
    30232 viewArea "-59900,89700,36592,148176"
     30087viewArea "-40628,107718,55864,168042"
    3023330088cachedDiagramExtent "-174000,-16000,261100,353300"
    3023430089pageSetupInfo (PageSetupInfo
     
    3025630111hasePageBreakOrigin 1
    3025730112pageBreakOrigin "-73000,0"
    30258 lastUid 30018,0
     30113lastUid 30231,0
    3025930114defaultCommentText (CommentText
    3026030115shape (Rectangle
  • TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak

    r10958 r10988  
    348348)
    349349(Instance
    350 name "U_7"
     350name "Inst_rs485_receiver"
    351351duLibraryName "FACT_FAD_lib"
    352352duName "FAD_rs485_receiver"
     
    470470(vvPair
    471471variable "date"
    472 value "09.06.2011"
     472value "10.06.2011"
    473473)
    474474(vvPair
    475475variable "day"
    476 value "Do"
     476value "Fr"
    477477)
    478478(vvPair
    479479variable "day_long"
    480 value "Donnerstag"
     480value "Freitag"
    481481)
    482482(vvPair
    483483variable "dd"
    484 value "09"
     484value "10"
    485485)
    486486(vvPair
     
    622622(vvPair
    623623variable "time"
    624 value "16:33:39"
     624value "10:56:40"
    625625)
    626626(vvPair
     
    713713font "Courier New,8,0"
    714714)
    715 xt "-172000,128400,-125500,129200"
     715xt "-172000,127600,-125500,128400"
    716716st "SIGNAL write_ea                     : std_logic_vector(0 downto 0)                 := \"0\""
    717717)
     
    731731font "Courier New,8,0"
    732732)
    733 xt "-172000,61200,-129000,62000"
     733xt "-172000,60400,-129000,61200"
    734734st "SIGNAL addr_out                     : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    735735)
     
    749749font "Courier New,8,0"
    750750)
    751 xt "-172000,66000,-136500,66800"
     751xt "-172000,65200,-136500,66000"
    752752st "SIGNAL data_out                     : std_logic_vector(63 DOWNTO 0)"
    753753)
     
    767767font "Courier New,8,0"
    768768)
    769 xt "-172000,96400,-129000,97200"
     769xt "-172000,95600,-129000,96400"
    770770st "SIGNAL ram_addr                     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
    771771)
     
    785785font "Courier New,8,0"
    786786)
    787 xt "-172000,97200,-136500,98000"
     787xt "-172000,96400,-136500,97200"
    788788st "SIGNAL ram_data                     : std_logic_vector(15 downto 0)"
    789789)
     
    803803font "Courier New,8,0"
    804804)
    805 xt "-172000,44000,-129000,44800"
     805xt "-172000,43200,-129000,44000"
    806806st "wiz_reset                    : std_logic                                    := '1'"
    807807)
     
    821821font "Courier New,8,0"
    822822)
    823 xt "-172000,41600,-140500,42400"
     823xt "-172000,40800,-140500,41600"
    824824st "wiz_addr                     : std_logic_vector(9 DOWNTO 0)"
    825825)
     
    839839font "Courier New,8,0"
    840840)
    841 xt "-172000,46400,-140000,47200"
     841xt "-172000,45600,-140000,46400"
    842842st "wiz_data                     : std_logic_vector(15 DOWNTO 0)"
    843843)
     
    857857font "Courier New,8,0"
    858858)
    859 xt "-172000,42400,-129000,43200"
     859xt "-172000,41600,-129000,42400"
    860860st "wiz_cs                       : std_logic                                    := '1'"
    861861)
     
    875875font "Courier New,8,0"
    876876)
    877 xt "-172000,44800,-129000,45600"
     877xt "-172000,44000,-129000,44800"
    878878st "wiz_wr                       : std_logic                                    := '1'"
    879879)
     
    893893font "Courier New,8,0"
    894894)
    895 xt "-172000,43200,-129000,44000"
     895xt "-172000,42400,-129000,43200"
    896896st "wiz_rd                       : std_logic                                    := '1'"
    897897)
     
    57075707font "Courier New,8,0"
    57085708)
    5709 xt "-172000,98000,-129000,98800"
     5709xt "-172000,97200,-129000,98000"
    57105710st "SIGNAL ram_start_addr               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    57115711)
     
    57265726font "Courier New,8,0"
    57275727)
    5728 xt "-172000,125200,-125500,126000"
     5728xt "-172000,124400,-125500,125200"
    57295729st "SIGNAL wiz_write_ea                 : std_logic                                    := '0'"
    57305730)
     
    57465746font "Courier New,8,0"
    57475747)
    5748 xt "-172000,127600,-119500,128400"
     5748xt "-172000,126800,-119500,127600"
    57495749st "SIGNAL wiz_write_length             : std_logic_vector(16 downto 0)                := (others => '0')"
    57505750)
     
    57675767font "Courier New,8,0"
    57685768)
    5769 xt "-172000,124400,-119500,125200"
     5769xt "-172000,123600,-119500,124400"
    57705770st "SIGNAL wiz_ram_start_addr           : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
    57715771)
     
    57875787font "Courier New,8,0"
    57885788)
    5789 xt "-172000,123600,-119500,124400"
     5789xt "-172000,122800,-119500,123600"
    57905790st "SIGNAL wiz_number_of_channels       : std_logic_vector(3 downto 0)                 := (others => '0')"
    57915791)
     
    58065806font "Courier New,8,0"
    58075807)
    5808 xt "-172000,126000,-125500,126800"
     5808xt "-172000,125200,-125500,126000"
    58095809st "SIGNAL wiz_write_end                : std_logic                                    := '0'"
    58105810)
     
    58255825font "Courier New,8,0"
    58265826)
    5827 xt "-172000,126800,-125500,127600"
     5827xt "-172000,126000,-125500,126800"
    58285828st "SIGNAL wiz_write_header             : std_logic                                    := '0'"
    58295829)
     
    58425842font "Courier New,8,0"
    58435843)
    5844 xt "-172000,98800,-146500,99600"
     5844xt "-172000,98000,-146500,98800"
    58455845st "SIGNAL ram_write_ea                 : std_logic"
    58465846)
     
    58605860font "Courier New,8,0"
    58615861)
    5862 xt "-172000,99600,-125500,100400"
     5862xt "-172000,98800,-125500,99600"
    58635863st "SIGNAL ram_write_ready              : std_logic                                    := '0'"
    58645864)
     
    58775877font "Courier New,8,0"
    58785878)
    5879 xt "-172000,103600,-145000,104400"
     5879xt "-172000,102800,-145000,103600"
    58805880st "SIGNAL roi_max                      : roi_max_type"
    58815881)
     
    58955895font "Courier New,8,0"
    58965896)
    5897 xt "-172000,93200,-136500,94000"
     5897xt "-172000,92400,-136500,93200"
    58985898st "SIGNAL package_length               : std_logic_vector(15 downto 0)"
    58995899)
     
    61186118bg "0,0,32768"
    61196119)
    6120 xt "85200,178000,96000,179000"
     6120xt "85200,178000,95900,179000"
    61216121st "
    61226122by %user on %dd %month %year
     
    65186518font "Courier New,8,0"
    65196519)
    6520 xt "-172000,49200,-146500,50000"
     6520xt "-172000,48400,-146500,49200"
    65216521st "SIGNAL CLK_25                       : std_logic"
    65226522)
     
    66776677font "Courier New,8,0"
    66786678)
    6679 xt "-172000,78800,-125500,79600"
     6679xt "-172000,78000,-125500,78800"
    66806680st "SIGNAL drs_clk_en                   : std_logic                                    := '0'"
    66816681)
     
    66946694font "Courier New,8,0"
    66956695)
    6696 xt "-172000,85200,-140500,86000"
     6696xt "-172000,84400,-140500,85200"
    66976697st "SIGNAL drs_s_cell_array             : drs_s_cell_array_type"
    66986698)
     
    67126712font "Courier New,8,0"
    67136713)
    6714 xt "-172000,79600,-125500,80400"
     6714xt "-172000,78800,-125500,79600"
    67156715st "SIGNAL drs_read_s_cell              : std_logic                                    := '0'"
    67166716)
     
    71047104font "Courier New,8,0"
    71057105)
    7106 xt "-172000,80400,-146500,81200"
     7106xt "-172000,79600,-146500,80400"
    71077107st "SIGNAL drs_read_s_cell_ready        : std_logic"
    71087108)
     
    79047904font "Courier New,8,0"
    79057905)
    7906 xt "-172000,110800,-146500,111600"
     7906xt "-172000,110000,-146500,110800"
    79077907st "SIGNAL sensor_ready                 : std_logic"
    79087908)
     
    79217921font "Courier New,8,0"
    79227922)
    7923 xt "-172000,110000,-142500,110800"
     7923xt "-172000,109200,-142500,110000"
    79247924st "SIGNAL sensor_array                 : sensor_array_type"
    79257925)
     
    79407940font "Courier New,8,0"
    79417941)
    7942 xt "-172000,60400,-137000,61200"
     7942xt "-172000,59600,-137000,60400"
    79437943st "SIGNAL adc_otr                      : std_logic_vector(3 DOWNTO 0)"
    79447944)
     
    79577957font "Courier New,8,0"
    79587958)
    7959 xt "-172000,59600,-141500,60400"
     7959xt "-172000,58800,-141500,59600"
    79607960st "SIGNAL adc_data_array_int           : adc_data_array_type"
    79617961)
     
    82668266n "sclk"
    82678267t "std_logic"
    8268 o 10
     8268o 9
    82698269suid 1,0
    82708270)
     
    84088408n "sensor_array"
    84098409t "sensor_array_type"
    8410 o 11
     8410o 10
    84118411suid 13,0
    84128412)
     
    84448444n "sensor_ready"
    84458445t "std_logic"
    8446 o 13
     8446o 12
    84478447suid 14,0
    84488448)
     
    85158515t "std_logic_vector"
    85168516b "(3 DOWNTO 0)"
    8517 o 12
     8517o 11
    85188518suid 16,0
    85198519)
     
    85868586n "mosi"
    85878587t "std_logic"
    8588 o 9
     8588o 8
    85898589suid 19,0
    85908590i "'0'"
     
    86248624preAdd 0
    86258625posAdd 0
    8626 o 14
     8626o 13
    86278627suid 20,0
    86288628)
     
    87018701)
    87028702)
    8703 *252 (CptPort
    8704 uid 29557,0
    8705 ps "OnEdgeStrategy"
    8706 shape (Triangle
    8707 uid 29558,0
    8708 ro 90
    8709 va (VaSet
    8710 vasetType 1
    8711 fg "0,65535,0"
    8712 )
    8713 xt "-3000,127625,-2250,128375"
    8714 )
    8715 tg (CPTG
    8716 uid 29559,0
    8717 ps "CptPortTextPlaceStrategy"
    8718 stg "RightVerticalLayoutStrategy"
    8719 f (Text
    8720 uid 29560,0
    8721 va (VaSet
    8722 )
    8723 xt "-12900,127500,-4000,128500"
    8724 st "debug_16bit : (15:0)"
    8725 ju 2
    8726 blo "-4000,128300"
    8727 )
    8728 )
    8729 thePort (LogicalPort
    8730 m 1
    8731 decl (Decl
    8732 n "debug_16bit"
    8733 t "std_logic_vector"
    8734 b "( 15 DOWNTO 0 )"
    8735 o 8
    8736 suid 24,0
    8737 )
    8738 )
    8739 )
    87408703]
    87418704shape (Rectangle
     
    87558718stg "VerticalLayoutStrategy"
    87568719textVec [
    8757 *253 (Text
     8720*252 (Text
    87588721uid 5796,0
    87598722va (VaSet
     
    87658728tm "BdLibraryNameMgr"
    87668729)
    8767 *254 (Text
     8730*253 (Text
    87688731uid 5797,0
    87698732va (VaSet
     
    87758738tm "CptNameMgr"
    87768739)
    8777 *255 (Text
     8740*254 (Text
    87788741uid 5798,0
    87798742va (VaSet
     
    88218784archFileType "UNKNOWN"
    88228785)
    8823 *256 (Net
     8786*255 (Net
    88248787uid 5811,0
    88258788decl (Decl
     
    88388801)
    88398802)
    8840 *257 (Net
     8803*256 (Net
    88418804uid 5819,0
    88428805decl (Decl
     
    88538816font "Courier New,8,0"
    88548817)
    8855 xt "-172000,45600,-150000,46400"
     8818xt "-172000,44800,-150000,45600"
    88568819st "sio                          : std_logic"
    88578820)
    88588821)
    8859 *258 (Net
     8822*257 (Net
    88608823uid 5827,0
    88618824decl (Decl
     
    88748837)
    88758838)
    8876 *259 (Net
     8839*258 (Net
    88778840uid 5835,0
    88788841decl (Decl
     
    88928855)
    88938856)
    8894 *260 (PortIoOut
     8857*259 (PortIoOut
    88958858uid 5843,0
    88968859shape (CompositeShape
     
    89378900)
    89388901)
    8939 *261 (PortIoInOut
     8902*260 (PortIoInOut
    89408903uid 5849,0
    89418904shape (CompositeShape
     
    89828945)
    89838946)
    8984 *262 (PortIoOut
     8947*261 (PortIoOut
    89858948uid 5855,0
    89868949shape (CompositeShape
     
    90278990)
    90288991)
    9029 *263 (PortIoOut
     8992*262 (PortIoOut
    90308993uid 5861,0
    90318994shape (CompositeShape
     
    90729035)
    90739036)
    9074 *264 (Net
     9037*263 (Net
    90759038uid 6158,0
    90769039decl (Decl
     
    90909053)
    90919054)
    9092 *265 (PortIoOut
     9055*264 (PortIoOut
    90939056uid 6166,0
    90949057shape (CompositeShape
     
    91359098)
    91369099)
    9137 *266 (Net
     9100*265 (Net
    91389101uid 6360,0
    91399102decl (Decl
     
    91559118)
    91569119)
    9157 *267 (PortIoOut
     9120*266 (PortIoOut
    91589121uid 6368,0
    91599122shape (CompositeShape
     
    91999162)
    92009163)
    9201 *268 (MWC
     9164*267 (MWC
    92029165uid 6529,0
    92039166optionalChildren [
    9204 *269 (CptPort
     9167*268 (CptPort
    92059168uid 6501,0
    92069169optionalChildren [
    9207 *270 (Line
     9170*269 (Line
    92089171uid 6505,0
    92099172layer 5
     
    92189181]
    92199182)
    9220 *271 (Property
     9183*270 (Property
    92219184uid 6506,0
    92229185pclass "_MW_GEOM_"
     
    92639226)
    92649227)
    9265 *272 (CptPort
     9228*271 (CptPort
    92669229uid 6507,0
    92679230optionalChildren [
    9268 *273 (Line
     9231*272 (Line
    92699232uid 6511,0
    92709233layer 5
     
    93189281)
    93199282)
    9320 *274 (CptPort
     9283*273 (CptPort
    93219284uid 6512,0
    93229285optionalChildren [
    9323 *275 (Line
     9286*274 (Line
    93249287uid 6516,0
    93259288layer 5
     
    93739336)
    93749337)
    9375 *276 (CommentGraphic
     9338*275 (CommentGraphic
    93769339uid 6517,0
    93779340optionalChildren [
    9378 *277 (Property
     9341*276 (Property
    93799342uid 6519,0
    93809343pclass "_MW_GEOM_"
     
    94009363oxt "11000,10000,11000,10000"
    94019364)
    9402 *278 (CommentGraphic
     9365*277 (CommentGraphic
    94039366uid 6520,0
    94049367optionalChildren [
    9405 *279 (Property
     9368*278 (Property
    94069369uid 6522,0
    94079370pclass "_MW_GEOM_"
     
    94279390oxt "11000,6000,11000,6000"
    94289391)
    9429 *280 (Grouping
     9392*279 (Grouping
    94309393uid 6523,0
    94319394optionalChildren [
    9432 *281 (CommentGraphic
     9395*280 (CommentGraphic
    94339396uid 6525,0
    94349397shape (PolyLine2D
     
    94519414oxt "9000,6000,11000,10000"
    94529415)
    9453 *282 (CommentGraphic
     9416*281 (CommentGraphic
    94549417uid 6527,0
    94559418shape (Arc2D
     
    95049467stg "VerticalLayoutStrategy"
    95059468textVec [
    9506 *283 (Text
     9469*282 (Text
    95079470uid 6532,0
    95089471va (VaSet
     
    95149477blo "-91500,76300"
    95159478)
    9516 *284 (Text
     9479*283 (Text
    95179480uid 6533,0
    95189481va (VaSet
     
    95239486blo "-91500,77300"
    95249487)
    9525 *285 (Text
     9488*284 (Text
    95269489uid 6534,0
    95279490va (VaSet
     
    95689531)
    95699532)
    9570 *286 (SaComponent
     9533*285 (SaComponent
    95719534uid 8277,0
    95729535optionalChildren [
    9573 *287 (CptPort
     9536*286 (CptPort
    95749537uid 8246,0
    95759538ps "OnEdgeStrategy"
     
    96089571)
    96099572)
    9610 *288 (CptPort
     9573*287 (CptPort
    96119574uid 8250,0
    96129575ps "OnEdgeStrategy"
     
    96469609)
    96479610)
    9648 *289 (CptPort
     9611*288 (CptPort
    96499612uid 8254,0
    96509613ps "OnEdgeStrategy"
     
    96849647)
    96859648)
    9686 *290 (CptPort
     9649*289 (CptPort
    96879650uid 8258,0
    96889651ps "OnEdgeStrategy"
     
    97229685)
    97239686)
    9724 *291 (CptPort
     9687*290 (CptPort
    97259688uid 8262,0
    97269689ps "OnEdgeStrategy"
     
    97609723)
    97619724)
    9762 *292 (CptPort
     9725*291 (CptPort
    97639726uid 8266,0
    97649727ps "OnEdgeStrategy"
     
    97999762)
    98009763)
    9801 *293 (CptPort
     9764*292 (CptPort
    98029765uid 8270,0
    98039766ps "OnEdgeStrategy"
     
    98569819stg "VerticalLayoutStrategy"
    98579820textVec [
    9858 *294 (Text
     9821*293 (Text
    98599822uid 8280,0
    98609823va (VaSet
     
    98669829tm "BdLibraryNameMgr"
    98679830)
    9868 *295 (Text
     9831*294 (Text
    98699832uid 8281,0
    98709833va (VaSet
     
    98769839tm "CptNameMgr"
    98779840)
    9878 *296 (Text
     9841*295 (Text
    98799842uid 8282,0
    98809843va (VaSet
     
    99249887archFileType "UNKNOWN"
    99259888)
    9926 *297 (Net
     9889*296 (Net
    99279890uid 8746,0
    99289891decl (Decl
     
    99379900font "Courier New,8,0"
    99389901)
    9939 xt "-172000,109200,-146500,110000"
     9902xt "-172000,108400,-146500,109200"
    99409903st "SIGNAL sclk_enable                  : std_logic"
    99419904)
    99429905)
    9943 *298 (Net
     9906*297 (Net
    99449907uid 9004,0
    99459908lang 2
     
    99559918font "Courier New,8,0"
    99569919)
    9957 xt "-172000,58800,-146500,59600"
     9920xt "-172000,58000,-146500,58800"
    99589921st "SIGNAL adc_clk_en                   : std_logic"
    99599922)
    99609923)
    9961 *299 (SaComponent
     9924*298 (SaComponent
    99629925uid 9175,0
    99639926optionalChildren [
    9964 *300 (CptPort
     9927*299 (CptPort
    99659928uid 9120,0
    99669929ps "OnEdgeStrategy"
     
    99999962)
    100009963)
    10001 *301 (CptPort
     9964*300 (CptPort
    100029965uid 9124,0
    100039966ps "OnEdgeStrategy"
     
    100369999)
    1003710000)
    10038 *302 (CptPort
     10001*301 (CptPort
    1003910002uid 9128,0
    1004010003ps "OnEdgeStrategy"
     
    1007110034)
    1007210035)
    10073 *303 (CptPort
     10036*302 (CptPort
    1007410037uid 9211,0
    1007510038ps "OnEdgeStrategy"
     
    1010810071)
    1010910072)
    10110 *304 (CptPort
     10073*303 (CptPort
    1011110074uid 9215,0
    1011210075ps "OnEdgeStrategy"
     
    1014310106)
    1014410107)
    10145 *305 (CptPort
     10108*304 (CptPort
    1014610109uid 9219,0
    1014710110ps "OnEdgeStrategy"
     
    1017810141)
    1017910142)
    10180 *306 (CptPort
     10143*305 (CptPort
    1018110144uid 10030,0
    1018210145ps "OnEdgeStrategy"
     
    1021310176)
    1021410177)
    10215 *307 (CptPort
     10178*306 (CptPort
    1021610179uid 15170,0
    1021710180ps "OnEdgeStrategy"
     
    1025410217)
    1025510218)
    10256 *308 (CptPort
     10219*307 (CptPort
    1025710220uid 23071,0
    1025810221ps "OnEdgeStrategy"
     
    1029110254)
    1029210255)
    10293 *309 (CptPort
     10256*308 (CptPort
    1029410257uid 23075,0
    1029510258ps "OnEdgeStrategy"
     
    1034510308stg "VerticalLayoutStrategy"
    1034610309textVec [
    10347 *310 (Text
     10310*309 (Text
    1034810311uid 9178,0
    1034910312va (VaSet
     
    1035510318tm "BdLibraryNameMgr"
    1035610319)
    10357 *311 (Text
     10320*310 (Text
    1035810321uid 9179,0
    1035910322va (VaSet
     
    1036510328tm "CptNameMgr"
    1036610329)
    10367 *312 (Text
     10330*311 (Text
    1036810331uid 9180,0
    1036910332va (VaSet
     
    1041110374archFileType "UNKNOWN"
    1041210375)
    10413 *313 (Net
     10376*312 (Net
    1041410377uid 9231,0
    1041510378decl (Decl
     
    1042710390font "Courier New,8,0"
    1042810391)
    10429 xt "-172000,94000,-110000,94800"
     10392xt "-172000,93200,-110000,94000"
    1043010393st "SIGNAL ps_direction                 : std_logic                                    := '1' -- default phase shift upwards"
    1043110394)
    1043210395)
    10433 *314 (Net
     10396*313 (Net
    1043410397uid 9239,0
    1043510398decl (Decl
     
    1044810411font "Courier New,8,0"
    1044910412)
    10450 xt "-172000,94800,-109000,95600"
     10413xt "-172000,94000,-109000,94800"
    1045110414st "SIGNAL ps_do_phase_shift            : std_logic                                    := '0' --pulse this to phase shift once"
    1045210415)
    1045310416)
    10454 *315 (Net
     10417*314 (Net
    1045510418uid 9941,0
    1045610419decl (Decl
     
    1046810431font "Courier New,8,0"
    1046910432)
    10470 xt "-172000,95600,-101500,96400"
     10433xt "-172000,94800,-101500,95600"
    1047110434st "SIGNAL ps_reset                     : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
    1047210435)
    1047310436)
    10474 *316 (Net
     10437*315 (Net
    1047510438uid 9949,0
    1047610439decl (Decl
     
    1048610449font "Courier New,8,0"
    1048710450)
    10488 xt "-172000,115600,-125500,116400"
     10451xt "-172000,114800,-125500,115600"
    1048910452st "SIGNAL srclk_enable                 : std_logic                                    := '0'"
    1049010453)
    1049110454)
    10492 *317 (MWC
     10455*316 (MWC
    1049310456uid 9957,0
    1049410457optionalChildren [
    10495 *318 (CptPort
     10458*317 (CptPort
    1049610459uid 9966,0
    1049710460optionalChildren [
    10498 *319 (Line
     10461*318 (Line
    1049910462uid 9970,0
    1050010463layer 5
     
    1050910472]
    1051010473)
    10511 *320 (Property
     10474*319 (Property
    1051210475uid 9971,0
    1051310476pclass "_MW_GEOM_"
     
    1055310516)
    1055410517)
    10555 *321 (CptPort
     10518*320 (CptPort
    1055610519uid 9972,0
    1055710520optionalChildren [
    10558 *322 (Line
     10521*321 (Line
    1055910522uid 9976,0
    1056010523layer 5
     
    1060710570)
    1060810571)
    10609 *323 (CptPort
     10572*322 (CptPort
    1061010573uid 9977,0
    1061110574optionalChildren [
    10612 *324 (Line
     10575*323 (Line
    1061310576uid 9981,0
    1061410577layer 5
     
    1066110624)
    1066210625)
    10663 *325 (CommentGraphic
     10626*324 (CommentGraphic
    1066410627uid 9982,0
    1066510628optionalChildren [
    10666 *326 (Property
     10629*325 (Property
    1066710630uid 9984,0
    1066810631pclass "_MW_GEOM_"
     
    1068810651oxt "11000,10000,11000,10000"
    1068910652)
    10690 *327 (CommentGraphic
     10653*326 (CommentGraphic
    1069110654uid 9985,0
    1069210655optionalChildren [
    10693 *328 (Property
     10656*327 (Property
    1069410657uid 9987,0
    1069510658pclass "_MW_GEOM_"
     
    1071510678oxt "11000,6000,11000,6000"
    1071610679)
    10717 *329 (Grouping
     10680*328 (Grouping
    1071810681uid 9988,0
    1071910682optionalChildren [
    10720 *330 (CommentGraphic
     10683*329 (CommentGraphic
    1072110684uid 9990,0
    1072210685shape (PolyLine2D
     
    1073910702oxt "9000,6000,11000,10000"
    1074010703)
    10741 *331 (CommentGraphic
     10704*330 (CommentGraphic
    1074210705uid 9992,0
    1074310706shape (Arc2D
     
    1079210755stg "VerticalLayoutStrategy"
    1079310756textVec [
    10794 *332 (Text
     10757*331 (Text
    1079510758uid 9960,0
    1079610759va (VaSet
     
    1080210765blo "-69500,56300"
    1080310766)
    10804 *333 (Text
     10767*332 (Text
    1080510768uid 9961,0
    1080610769va (VaSet
     
    1081110774blo "-69500,57300"
    1081210775)
    10813 *334 (Text
     10776*333 (Text
    1081410777uid 9962,0
    1081510778va (VaSet
     
    1085610819)
    1085710820)
    10858 *335 (Net
     10821*334 (Net
    1085910822uid 10008,0
    1086010823decl (Decl
     
    1087010833font "Courier New,8,0"
    1087110834)
    10872 xt "-172000,58000,-125500,58800"
     10835xt "-172000,57200,-125500,58000"
    1087310836st "SIGNAL SRCLK1                       : std_logic                                    := '0'"
    1087410837)
    1087510838)
    10876 *336 (Net
     10839*335 (Net
    1087710840uid 10264,0
    1087810841decl (Decl
     
    1088710850font "Courier New,8,0"
    1088810851)
    10889 xt "-172000,107600,-146500,108400"
     10852xt "-172000,106800,-146500,107600"
    1089010853st "SIGNAL s_trigger                    : std_logic"
    1089110854)
    1089210855)
    10893 *337 (Net
     10856*336 (Net
    1089410857uid 10296,0
    1089510858decl (Decl
     
    1090410867font "Courier New,8,0"
    1090510868)
    10906 xt "-172000,118000,-146500,118800"
     10869xt "-172000,117200,-146500,118000"
    1090710870st "SIGNAL start_srin_write_8b          : std_logic"
    1090810871)
    1090910872)
    10910 *338 (Net
     10873*337 (Net
    1091110874uid 10302,0
    1091210875decl (Decl
     
    1092210885font "Courier New,8,0"
    1092310886)
    10924 xt "-172000,116400,-125500,117200"
     10887xt "-172000,115600,-125500,116400"
    1092510888st "SIGNAL srin_write_ack               : std_logic                                    := '0'"
    1092610889)
    1092710890)
    10928 *339 (Net
     10891*338 (Net
    1092910892uid 10308,0
    1093010893decl (Decl
     
    1094010903font "Courier New,8,0"
    1094110904)
    10942 xt "-172000,117200,-125500,118000"
     10905xt "-172000,116400,-125500,117200"
    1094310906st "SIGNAL srin_write_ready             : std_logic                                    := '0'"
    1094410907)
    1094510908)
    10946 *340 (Net
     10909*339 (Net
    1094710910uid 10314,0
    1094810911decl (Decl
     
    1095910922font "Courier New,8,0"
    1096010923)
    10961 xt "-172000,86000,-119500,86800"
     10924xt "-172000,85200,-119500,86000"
    1096210925st "SIGNAL drs_srin_data                : std_logic_vector(7 downto 0)                 := (others => '0')"
    1096310926)
    1096410927)
    10965 *341 (Net
     10928*340 (Net
    1096610929uid 10320,0
    1096710930decl (Decl
     
    1098110944)
    1098210945)
    10983 *342 (PortIoOut
     10946*341 (PortIoOut
    1098410947uid 10328,0
    1098510948shape (CompositeShape
     
    1102610989)
    1102710990)
    11028 *343 (MWC
     10991*342 (MWC
    1102910992uid 10380,0
    1103010993optionalChildren [
    11031 *344 (CptPort
     10994*343 (CptPort
    1103210995uid 10344,0
    1103310996optionalChildren [
    11034 *345 (Line
     10997*344 (Line
    1103510998uid 10348,0
    1103610999layer 5
     
    1108411047)
    1108511048)
    11086 *346 (CptPort
     11049*345 (CptPort
    1108711050uid 10349,0
    1108811051optionalChildren [
    11089 *347 (Property
     11052*346 (Property
    1109011053uid 10353,0
    1109111054pclass "_MW_GEOM_"
     
    1109311056ptn "String"
    1109411057)
    11095 *348 (Line
     11058*347 (Line
    1109611059uid 10354,0
    1109711060layer 5
     
    1114711110)
    1114811111)
    11149 *349 (CptPort
     11112*348 (CptPort
    1115011113uid 10355,0
    1115111114optionalChildren [
    11152 *350 (Line
     11115*349 (Line
    1115311116uid 10359,0
    1115411117layer 5
     
    1120011163)
    1120111164)
    11202 *351 (CommentGraphic
     11165*350 (CommentGraphic
    1120311166uid 10360,0
    1120411167shape (Arc2D
     
    1122111184oxt "7000,6003,11000,8000"
    1122211185)
    11223 *352 (CommentGraphic
     11186*351 (CommentGraphic
    1122411187uid 10362,0
    1122511188shape (Arc2D
     
    1124211205oxt "6996,8005,11000,10000"
    1124311206)
    11244 *353 (Grouping
     11207*352 (Grouping
    1124511208uid 10364,0
    1124611209optionalChildren [
    11247 *354 (CommentGraphic
     11210*353 (CommentGraphic
    1124811211uid 10366,0
    1124911212optionalChildren [
    11250 *355 (Property
     11213*354 (Property
    1125111214uid 10368,0
    1125211215pclass "_MW_GEOM_"
     
    1127911242oxt "7000,6000,11000,9998"
    1128011243)
    11281 *356 (CommentGraphic
     11244*355 (CommentGraphic
    1128211245uid 10369,0
    1128311246optionalChildren [
    11284 *357 (Property
     11247*356 (Property
    1128511248uid 10371,0
    1128611249pclass "_MW_GEOM_"
     
    1132411287oxt "7000,6000,11000,10000"
    1132511288)
    11326 *358 (CommentGraphic
     11289*357 (CommentGraphic
    1132711290uid 10372,0
    1132811291shape (PolyLine2D
     
    1134311306oxt "11000,8000,11000,8000"
    1134411307)
    11345 *359 (CommentGraphic
     11308*358 (CommentGraphic
    1134611309uid 10374,0
    1134711310optionalChildren [
    11348 *360 (Property
     11311*359 (Property
    1134911312uid 10376,0
    1135011313pclass "_MW_GEOM_"
     
    1137011333oxt "7000,6000,7000,6000"
    1137111334)
    11372 *361 (CommentGraphic
     11335*360 (CommentGraphic
    1137311336uid 10377,0
    1137411337optionalChildren [
    11375 *362 (Property
     11338*361 (Property
    1137611339uid 10379,0
    1137711340pclass "_MW_GEOM_"
     
    1141611379stg "VerticalLayoutStrategy"
    1141711380textVec [
    11418 *363 (Text
     11381*362 (Text
    1141911382uid 10383,0
    1142011383va (VaSet
     
    1142611389blo "-98500,70300"
    1142711390)
    11428 *364 (Text
     11391*363 (Text
    1142911392uid 10384,0
    1143011393va (VaSet
     
    1143511398blo "-98500,71300"
    1143611399)
    11437 *365 (Text
     11400*364 (Text
    1143811401uid 10385,0
    1143911402va (VaSet
     
    1148011443)
    1148111444)
    11482 *366 (Net
     11445*365 (Net
    1148311446uid 10627,0
    1148411447decl (Decl
     
    1149311456font "Courier New,8,0"
    1149411457)
    11495 xt "-172000,111600,-146500,112400"
     11458xt "-172000,110800,-146500,111600"
    1149611459st "SIGNAL socks_connected              : std_logic"
    1149711460)
    1149811461)
    11499 *367 (Net
     11462*366 (Net
    1150011463uid 10635,0
    1150111464decl (Decl
     
    1151011473font "Courier New,8,0"
    1151111474)
    11512 xt "-172000,112400,-146500,113200"
     11475xt "-172000,111600,-146500,112400"
    1151311476st "SIGNAL socks_waiting                : std_logic"
    1151411477)
    1151511478)
    11516 *368 (Net
     11479*367 (Net
    1151711480uid 10721,0
    1151811481decl (Decl
     
    1153111494)
    1153211495)
    11533 *369 (PortIoOut
     11496*368 (PortIoOut
    1153411497uid 10729,0
    1153511498shape (CompositeShape
     
    1157511538)
    1157611539)
    11577 *370 (Net
     11540*369 (Net
    1157811541uid 10735,0
    1157911542decl (Decl
     
    1159211555)
    1159311556)
    11594 *371 (PortIoOut
     11557*370 (PortIoOut
    1159511558uid 10743,0
    1159611559shape (CompositeShape
     
    1163611599)
    1163711600)
    11638 *372 (Net
     11601*371 (Net
    1163911602uid 10749,0
    1164011603decl (Decl
     
    1165311616)
    1165411617)
    11655 *373 (PortIoOut
     11618*372 (PortIoOut
    1165611619uid 10757,0
    1165711620shape (CompositeShape
     
    1169711660)
    1169811661)
    11699 *374 (SaComponent
     11662*373 (SaComponent
    1170011663uid 11209,0
    1170111664optionalChildren [
    11702 *375 (CptPort
     11665*374 (CptPort
    1170311666uid 11181,0
    1170411667ps "OnEdgeStrategy"
     
    1173311696)
    1173411697)
    11735 *376 (CptPort
     11698*375 (CptPort
    1173611699uid 11185,0
    1173711700ps "OnEdgeStrategy"
     
    1176811731)
    1176911732)
    11770 *377 (CptPort
     11733*376 (CptPort
    1177111734uid 11189,0
    1177211735ps "OnEdgeStrategy"
     
    1180311766)
    1180411767)
    11805 *378 (CptPort
     11768*377 (CptPort
    1180611769uid 11193,0
    1180711770ps "OnEdgeStrategy"
     
    1183811801)
    1183911802)
    11840 *379 (CptPort
     11803*378 (CptPort
    1184111804uid 11197,0
    1184211805ps "OnEdgeStrategy"
     
    1187111834)
    1187211835)
    11873 *380 (CptPort
     11836*379 (CptPort
    1187411837uid 11201,0
    1187511838ps "OnEdgeStrategy"
     
    1190411867)
    1190511868)
    11906 *381 (CptPort
     11869*380 (CptPort
    1190711870uid 11205,0
    1190811871ps "OnEdgeStrategy"
     
    1193711900)
    1193811901)
    11939 *382 (CptPort
     11902*381 (CptPort
    1194011903uid 12693,0
    1194111904ps "OnEdgeStrategy"
     
    1197211935)
    1197311936)
    11974 *383 (CptPort
     11937*382 (CptPort
    1197511938uid 22344,0
    1197611939ps "OnEdgeStrategy"
     
    1200511968)
    1200611969)
    12007 *384 (CptPort
     11970*383 (CptPort
    1200811971uid 22348,0
    1200911972ps "OnEdgeStrategy"
     
    1205512018stg "VerticalLayoutStrategy"
    1205612019textVec [
    12057 *385 (Text
     12020*384 (Text
    1205812021uid 11212,0
    1205912022va (VaSet
     
    1206512028tm "BdLibraryNameMgr"
    1206612029)
    12067 *386 (Text
     12030*385 (Text
    1206812031uid 11213,0
    1206912032va (VaSet
     
    1207512038tm "CptNameMgr"
    1207612039)
    12077 *387 (Text
     12040*386 (Text
    1207812041uid 11214,0
    1207912042va (VaSet
     
    1213412097archFileType "UNKNOWN"
    1213512098)
    12136 *388 (Net
     12099*387 (Net
    1213712100uid 11403,0
    1213812101decl (Decl
     
    1214712110font "Courier New,8,0"
    1214812111)
    12149 xt "-172000,84400,-146500,85200"
     12112xt "-172000,83600,-146500,84400"
    1215012113st "SIGNAL drs_readout_started          : std_logic"
    1215112114)
    1215212115)
    12153 *389 (Net
     12116*388 (Net
    1215412117uid 11856,0
    1215512118decl (Decl
     
    1216412127font "Courier New,8,0"
    1216512128)
    12166 xt "-172000,119600,-146500,120400"
     12129xt "-172000,118800,-146500,119600"
    1216712130st "SIGNAL trigger_enable               : std_logic"
    1216812131)
    1216912132)
    12170 *390 (MWC
     12133*389 (MWC
    1217112134uid 12295,0
    1217212135optionalChildren [
    12173 *391 (CptPort
     12136*390 (CptPort
    1217412137uid 12267,0
    1217512138optionalChildren [
    12176 *392 (Line
     12139*391 (Line
    1217712140uid 12271,0
    1217812141layer 5
     
    1218712150]
    1218812151)
    12189 *393 (Property
     12152*392 (Property
    1219012153uid 12272,0
    1219112154pclass "_MW_GEOM_"
     
    1223412197)
    1223512198)
    12236 *394 (CptPort
     12199*393 (CptPort
    1223712200uid 12273,0
    1223812201optionalChildren [
    12239 *395 (Line
     12202*394 (Line
    1224012203uid 12277,0
    1224112204layer 5
     
    1228912252)
    1229012253)
    12291 *396 (CptPort
     12254*395 (CptPort
    1229212255uid 12278,0
    1229312256optionalChildren [
    12294 *397 (Line
     12257*396 (Line
    1229512258uid 12282,0
    1229612259layer 5
     
    1234212305)
    1234312306)
    12344 *398 (CommentGraphic
     12307*397 (CommentGraphic
    1234512308uid 12283,0
    1234612309optionalChildren [
    12347 *399 (Property
     12310*398 (Property
    1234812311uid 12285,0
    1234912312pclass "_MW_GEOM_"
     
    1236912332oxt "7000,10000,7000,10000"
    1237012333)
    12371 *400 (CommentGraphic
     12334*399 (CommentGraphic
    1237212335uid 12286,0
    1237312336optionalChildren [
    12374 *401 (Property
     12337*400 (Property
    1237512338uid 12288,0
    1237612339pclass "_MW_GEOM_"
     
    1239612359oxt "7000,6000,7000,6000"
    1239712360)
    12398 *402 (Grouping
     12361*401 (Grouping
    1239912362uid 12289,0
    1240012363optionalChildren [
    12401 *403 (CommentGraphic
     12364*402 (CommentGraphic
    1240212365uid 12291,0
    1240312366shape (PolyLine2D
     
    1242012383oxt "7000,6000,9000,10000"
    1242112384)
    12422 *404 (CommentGraphic
     12385*403 (CommentGraphic
    1242312386uid 12293,0
    1242412387shape (Arc2D
     
    1247312436stg "VerticalLayoutStrategy"
    1247412437textVec [
    12475 *405 (Text
     12438*404 (Text
    1247612439uid 12298,0
    1247712440va (VaSet
     
    1248312446blo "-92500,71300"
    1248412447)
    12485 *406 (Text
     12448*405 (Text
    1248612449uid 12299,0
    1248712450va (VaSet
     
    1249212455blo "-92500,72300"
    1249312456)
    12494 *407 (Text
     12457*406 (Text
    1249512458uid 12300,0
    1249612459va (VaSet
     
    1253712500)
    1253812501)
    12539 *408 (SaComponent
     12502*407 (SaComponent
    1254012503uid 12625,0
    1254112504optionalChildren [
    12542 *409 (CptPort
     12505*408 (CptPort
    1254312506uid 12605,0
    1254412507ps "OnEdgeStrategy"
     
    1257312536)
    1257412537)
    12575 *410 (CptPort
     12538*409 (CptPort
    1257612539uid 12609,0
    1257712540ps "OnEdgeStrategy"
     
    1260912572)
    1261012573)
    12611 *411 (CptPort
     12574*410 (CptPort
    1261212575uid 12613,0
    1261312576ps "OnEdgeStrategy"
     
    1264412607)
    1264512608)
    12646 *412 (CptPort
     12609*411 (CptPort
    1264712610uid 12617,0
    1264812611ps "OnEdgeStrategy"
     
    1267812641)
    1267912642)
    12680 *413 (CptPort
     12643*412 (CptPort
    1268112644uid 12621,0
    1268212645ps "OnEdgeStrategy"
     
    1271412677)
    1271512678)
    12716 *414 (CptPort
     12679*413 (CptPort
    1271712680uid 12673,0
    1271812681ps "OnEdgeStrategy"
     
    1276412727stg "VerticalLayoutStrategy"
    1276512728textVec [
    12766 *415 (Text
     12729*414 (Text
    1276712730uid 12628,0
    1276812731va (VaSet
     
    1277412737tm "BdLibraryNameMgr"
    1277512738)
    12776 *416 (Text
     12739*415 (Text
    1277712740uid 12629,0
    1277812741va (VaSet
     
    1278412747tm "CptNameMgr"
    1278512748)
    12786 *417 (Text
     12749*416 (Text
    1278712750uid 12630,0
    1278812751va (VaSet
     
    1283112794archFileType "UNKNOWN"
    1283212795)
    12833 *418 (Net
     12796*417 (Net
    1283412797uid 12647,0
    1283512798decl (Decl
     
    1284912812font "Courier New,8,0"
    1285012813)
    12851 xt "-172000,81200,-125500,83600"
     12814xt "-172000,80400,-125500,82800"
    1285212815st "-- --
    1285312816--      drs_dwrite : out std_logic := '1';
     
    1285512818)
    1285612819)
    12857 *419 (Net
     12820*418 (Net
    1285812821uid 12653,0
    1285912822decl (Decl
     
    1286812831font "Courier New,8,0"
    1286912832)
    12870 xt "-172000,83600,-146500,84400"
     12833xt "-172000,82800,-146500,83600"
    1287112834st "SIGNAL drs_readout_ready_ack        : std_logic"
    1287212835)
    1287312836)
    12874 *420 (SaComponent
     12837*419 (SaComponent
    1287512838uid 13117,0
    1287612839optionalChildren [
    12877 *421 (CptPort
     12840*420 (CptPort
    1287812841uid 13101,0
    1287912842ps "OnEdgeStrategy"
     
    1290912872)
    1291012873)
    12911 *422 (CptPort
     12874*421 (CptPort
    1291212875uid 13105,0
    1291312876ps "OnEdgeStrategy"
     
    1294312906)
    1294412907)
    12945 *423 (CptPort
     12908*422 (CptPort
    1294612909uid 13109,0
    1294712910ps "OnEdgeStrategy"
     
    1297812941)
    1297912942)
    12980 *424 (CptPort
     12943*423 (CptPort
    1298112944uid 13113,0
    1298212945ps "OnEdgeStrategy"
     
    1302912992stg "VerticalLayoutStrategy"
    1303012993textVec [
    13031 *425 (Text
     12994*424 (Text
    1303212995uid 13120,0
    1303312996va (VaSet
     
    1303913002tm "BdLibraryNameMgr"
    1304013003)
    13041 *426 (Text
     13004*425 (Text
    1304213005uid 13121,0
    1304313006va (VaSet
     
    1304913012tm "CptNameMgr"
    1305013013)
    13051 *427 (Text
     13014*426 (Text
    1305213015uid 13122,0
    1305313016va (VaSet
     
    1310813071archFileType "UNKNOWN"
    1310913072)
    13110 *428 (Net
     13073*427 (Net
    1311113074uid 13157,0
    1311213075decl (Decl
     
    1312213085font "Courier New,8,0"
    1312313086)
    13124 xt "-172000,62000,-125500,62800"
     13087xt "-172000,61200,-125500,62000"
    1312513088st "SIGNAL c_trigger_enable             : std_logic                                    := '0'"
    1312613089)
    1312713090)
    13128 *429 (MWC
     13091*428 (MWC
    1312913092uid 13266,0
    1313013093optionalChildren [
    13131 *430 (CptPort
     13094*429 (CptPort
    1313213095uid 13230,0
    1313313096optionalChildren [
    13134 *431 (Line
     13097*430 (Line
    1313513098uid 13234,0
    1313613099layer 5
     
    1318313146)
    1318413147)
    13185 *432 (CptPort
     13148*431 (CptPort
    1318613149uid 13235,0
    1318713150optionalChildren [
    13188 *433 (Property
     13151*432 (Property
    1318913152uid 13239,0
    1319013153pclass "_MW_GEOM_"
     
    1319213155ptn "String"
    1319313156)
    13194 *434 (Line
     13157*433 (Line
    1319513158uid 13240,0
    1319613159layer 5
     
    1324313206)
    1324413207)
    13245 *435 (CptPort
     13208*434 (CptPort
    1324613209uid 13241,0
    1324713210optionalChildren [
    13248 *436 (Line
     13211*435 (Line
    1324913212uid 13245,0
    1325013213layer 5
     
    1329713260)
    1329813261)
    13299 *437 (CommentGraphic
     13262*436 (CommentGraphic
    1330013263uid 13246,0
    1330113264shape (Arc2D
     
    1331813281oxt "7000,6003,11000,8000"
    1331913282)
    13320 *438 (CommentGraphic
     13283*437 (CommentGraphic
    1332113284uid 13248,0
    1332213285shape (Arc2D
     
    1333913302oxt "7000,8005,11004,10000"
    1334013303)
    13341 *439 (Grouping
     13304*438 (Grouping
    1334213305uid 13250,0
    1334313306optionalChildren [
    13344 *440 (CommentGraphic
     13307*439 (CommentGraphic
    1334513308uid 13252,0
    1334613309optionalChildren [
    13347 *441 (Property
     13310*440 (Property
    1334813311uid 13254,0
    1334913312pclass "_MW_GEOM_"
     
    1337613339oxt "7000,6000,11000,9998"
    1337713340)
    13378 *442 (CommentGraphic
     13341*441 (CommentGraphic
    1337913342uid 13255,0
    1338013343optionalChildren [
    13381 *443 (Property
     13344*442 (Property
    1338213345uid 13257,0
    1338313346pclass "_MW_GEOM_"
     
    1342113384oxt "7000,6000,11000,10000"
    1342213385)
    13423 *444 (CommentGraphic
     13386*443 (CommentGraphic
    1342413387uid 13258,0
    1342513388shape (PolyLine2D
     
    1344013403oxt "7000,8000,7000,8000"
    1344113404)
    13442 *445 (CommentGraphic
     13405*444 (CommentGraphic
    1344313406uid 13260,0
    1344413407optionalChildren [
    13445 *446 (Property
     13408*445 (Property
    1344613409uid 13262,0
    1344713410pclass "_MW_GEOM_"
     
    1346713430oxt "11000,6000,11000,6000"
    1346813431)
    13469 *447 (CommentGraphic
     13432*446 (CommentGraphic
    1347013433uid 13263,0
    1347113434optionalChildren [
    13472 *448 (Property
     13435*447 (Property
    1347313436uid 13265,0
    1347413437pclass "_MW_GEOM_"
     
    1351313476stg "VerticalLayoutStrategy"
    1351413477textVec [
    13515 *449 (Text
     13478*448 (Text
    1351613479uid 13269,0
    1351713480va (VaSet
     
    1352313486blo "43500,62300"
    1352413487)
    13525 *450 (Text
     13488*449 (Text
    1352613489uid 13270,0
    1352713490va (VaSet
     
    1353213495blo "43500,63300"
    1353313496)
    13534 *451 (Text
     13497*450 (Text
    1353513498uid 13271,0
    1353613499va (VaSet
     
    1357713540)
    1357813541)
    13579 *452 (PortIoIn
     13542*451 (PortIoIn
    1358013543uid 13689,0
    1358113544shape (CompositeShape
     
    1362213585)
    1362313586)
    13624 *453 (Net
     13587*452 (Net
    1362513588uid 13701,0
    1362613589decl (Decl
     
    1364013603)
    1364113604)
    13642 *454 (PortIoIn
     13605*453 (PortIoIn
    1364313606uid 14042,0
    1364413607shape (CompositeShape
     
    1368513648)
    1368613649)
    13687 *455 (Net
     13650*454 (Net
    1368813651uid 14054,0
    1368913652decl (Decl
     
    1370313666)
    1370413667)
    13705 *456 (PortIoIn
     13668*455 (PortIoIn
    1370613669uid 14165,0
    1370713670shape (CompositeShape
     
    1374813711)
    1374913712)
    13750 *457 (Net
     13713*456 (Net
    1375113714uid 14177,0
    1375213715decl (Decl
     
    1376713730)
    1376813731)
    13769 *458 (SaComponent
     13732*457 (SaComponent
    1377013733uid 14417,0
    1377113734optionalChildren [
    13772 *459 (CptPort
     13735*458 (CptPort
    1377313736uid 14397,0
    1377413737ps "OnEdgeStrategy"
     
    1380313766)
    1380413767)
    13805 *460 (CptPort
     13768*459 (CptPort
    1380613769uid 14401,0
    1380713770ps "OnEdgeStrategy"
     
    1383613799)
    1383713800)
    13838 *461 (CptPort
     13801*460 (CptPort
    1383913802uid 14405,0
    1384013803ps "OnEdgeStrategy"
     
    1387313836)
    1387413837)
    13875 *462 (CptPort
     13838*461 (CptPort
    1387613839uid 14409,0
    1387713840ps "OnEdgeStrategy"
     
    1390913872)
    1391013873)
    13911 *463 (CptPort
     13874*462 (CptPort
    1391213875uid 14413,0
    1391313876ps "OnEdgeStrategy"
     
    1396213925stg "VerticalLayoutStrategy"
    1396313926textVec [
    13964 *464 (Text
     13927*463 (Text
    1396513928uid 14420,0
    1396613929va (VaSet
     
    1397213935tm "BdLibraryNameMgr"
    1397313936)
    13974 *465 (Text
     13937*464 (Text
    1397513938uid 14421,0
    1397613939va (VaSet
     
    1398213945tm "CptNameMgr"
    1398313946)
    13984 *466 (Text
     13947*465 (Text
    1398513948uid 14422,0
    1398613949va (VaSet
     
    1403013993archFileType "UNKNOWN"
    1403113994)
    14032 *467 (Net
     13995*466 (Net
    1403313996uid 14477,0
    1403413997decl (Decl
     
    1404714010)
    1404814011)
    14049 *468 (PortIoOut
     14012*467 (PortIoOut
    1405014013uid 14485,0
    1405114014shape (CompositeShape
     
    1409114054)
    1409214055)
    14093 *469 (Net
     14056*468 (Net
    1409414057uid 14491,0
    1409514058decl (Decl
     
    1410914072)
    1411014073)
    14111 *470 (PortIoOut
     14074*469 (PortIoOut
    1411214075uid 14499,0
    1411314076shape (CompositeShape
     
    1415314116)
    1415414117)
    14155 *471 (Net
     14118*470 (Net
    1415614119uid 14620,0
    1415714120decl (Decl
     
    1417114134)
    1417214135)
    14173 *472 (PortIoOut
     14136*471 (PortIoOut
    1417414137uid 14628,0
    1417514138shape (CompositeShape
     
    1421514178)
    1421614179)
    14217 *473 (MWC
     14180*472 (MWC
    1421814181uid 14991,0
    1421914182optionalChildren [
    14220 *474 (CptPort
     14183*473 (CptPort
    1422114184uid 14963,0
    1422214185optionalChildren [
    14223 *475 (Line
     14186*474 (Line
    1422414187uid 14967,0
    1422514188layer 5
     
    1423414197]
    1423514198)
    14236 *476 (Property
     14199*475 (Property
    1423714200uid 14968,0
    1423814201pclass "_MW_GEOM_"
     
    1428214245)
    1428314246)
    14284 *477 (CptPort
     14247*476 (CptPort
    1428514248uid 14969,0
    1428614249optionalChildren [
    14287 *478 (Line
     14250*477 (Line
    1428814251uid 14973,0
    1428914252layer 5
     
    1433814301)
    1433914302)
    14340 *479 (CptPort
     14303*478 (CptPort
    1434114304uid 14974,0
    1434214305optionalChildren [
    14343 *480 (Line
     14306*479 (Line
    1434414307uid 14978,0
    1434514308layer 5
     
    1439414357)
    1439514358)
    14396 *481 (CommentGraphic
     14359*480 (CommentGraphic
    1439714360uid 14979,0
    1439814361optionalChildren [
    14399 *482 (Property
     14362*481 (Property
    1440014363uid 14981,0
    1440114364pclass "_MW_GEOM_"
     
    1442114384oxt "7000,10000,7000,10000"
    1442214385)
    14423 *483 (CommentGraphic
     14386*482 (CommentGraphic
    1442414387uid 14982,0
    1442514388optionalChildren [
    14426 *484 (Property
     14389*483 (Property
    1442714390uid 14984,0
    1442814391pclass "_MW_GEOM_"
     
    1444814411oxt "7000,6000,7000,6000"
    1444914412)
    14450 *485 (Grouping
     14413*484 (Grouping
    1445114414uid 14985,0
    1445214415optionalChildren [
    14453 *486 (CommentGraphic
     14416*485 (CommentGraphic
    1445414417uid 14987,0
    1445514418shape (PolyLine2D
     
    1447214435oxt "7000,6000,9000,10000"
    1447314436)
    14474 *487 (CommentGraphic
     14437*486 (CommentGraphic
    1447514438uid 14989,0
    1447614439shape (Arc2D
     
    1452514488stg "VerticalLayoutStrategy"
    1452614489textVec [
    14527 *488 (Text
     14490*487 (Text
    1452814491uid 14994,0
    1452914492va (VaSet
     
    1453514498blo "162500,76300"
    1453614499)
    14537 *489 (Text
     14500*488 (Text
    1453814501uid 14995,0
    1453914502va (VaSet
     
    1454414507blo "162500,77300"
    1454514508)
    14546 *490 (Text
     14509*489 (Text
    1454714510uid 14996,0
    1454814511va (VaSet
     
    1458914552)
    1459014553)
    14591 *491 (MWC
     14554*490 (MWC
    1459214555uid 15058,0
    1459314556optionalChildren [
    14594 *492 (CptPort
     14557*491 (CptPort
    1459514558uid 15045,0
    1459614559optionalChildren [
    14597 *493 (Line
     14560*492 (Line
    1459814561uid 15049,0
    1459914562layer 5
     
    1465514618)
    1465614619)
    14657 *494 (CptPort
     14620*493 (CptPort
    1465814621uid 15050,0
    1465914622optionalChildren [
    14660 *495 (Line
     14623*494 (Line
    1466114624uid 15054,0
    1466214625layer 5
     
    1467114634]
    1467214635)
    14673 *496 (Circle
     14636*495 (Circle
    1467414637uid 15055,0
    1467514638va (VaSet
     
    1473314696)
    1473414697)
    14735 *497 (CommentGraphic
     14698*496 (CommentGraphic
    1473614699uid 15056,0
    1473714700shape (CustomPolygon
     
    1477514738stg "VerticalLayoutStrategy"
    1477614739textVec [
    14777 *498 (Text
     14740*497 (Text
    1477814741uid 15061,0
    1477914742va (VaSet
     
    1478514748blo "155350,77900"
    1478614749)
    14787 *499 (Text
     14750*498 (Text
    1478814751uid 15062,0
    1478914752va (VaSet
     
    1479414757blo "155350,78900"
    1479514758)
    14796 *500 (Text
     14759*499 (Text
    1479714760uid 15063,0
    1479814761va (VaSet
     
    1483914802)
    1484014803)
    14841 *501 (Net
     14804*500 (Net
    1484214805uid 15077,0
    1484314806decl (Decl
     
    1485514818font "Courier New,8,0"
    1485614819)
    14857 xt "-172000,68400,-112000,69200"
     14820xt "-172000,67600,-112000,68400"
    1485814821st "SIGNAL denable_prim                 : std_logic                                    := '0' -- default domino wave off"
    1485914822)
    1486014823)
    14861 *502 (Net
     14824*501 (Net
    1486214825uid 15079,0
    1486314826decl (Decl
     
    1487514838font "Courier New,8,0"
    1487614839)
    14877 xt "-172000,71600,-112000,72400"
     14840xt "-172000,70800,-112000,71600"
    1487814841st "SIGNAL din1                         : std_logic                                    := '0' -- default domino wave off"
    1487914842)
    1488014843)
    14881 *503 (Net
     14844*502 (Net
    1488214845uid 15492,0
    1488314846decl (Decl
     
    1489214855font "Courier New,8,0"
    1489314856)
    14894 xt "-172000,122000,-146500,122800"
     14857xt "-172000,121200,-146500,122000"
    1489514858st "SIGNAL trigger_out                  : std_logic"
    1489614859)
    1489714860)
    14898 *504 (Net
     14861*503 (Net
    1489914862uid 15748,0
    1490014863lang 2
     
    1491314876font "Courier New,8,0"
    1491414877)
    14915 xt "-172000,120400,-136500,121200"
     14878xt "-172000,119600,-136500,120400"
    1491614879st "SIGNAL trigger_id                   : std_logic_vector(31 downto 0)"
    1491714880)
    1491814881)
    14919 *505 (Net
     14882*504 (Net
    1492014883uid 16369,0
    1492114884decl (Decl
     
    1493414897font "Courier New,8,0"
    1493514898)
    14936 xt "-172000,50000,-119500,50800"
     14899xt "-172000,49200,-119500,50000"
    1493714900st "SIGNAL DCM_PS_status                : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    1493814901)
    1493914902)
    14940 *506 (SaComponent
     14903*505 (SaComponent
    1494114904uid 16404,0
    1494214905optionalChildren [
    14943 *507 (CptPort
     14906*506 (CptPort
    1494414907uid 16388,0
    1494514908ps "OnEdgeStrategy"
     
    1497714940)
    1497814941)
    14979 *508 (CptPort
     14942*507 (CptPort
    1498014943uid 16396,0
    1498114944ps "OnEdgeStrategy"
     
    1501714980)
    1501814981)
    15019 *509 (CptPort
     14982*508 (CptPort
    1502014983uid 16400,0
    1502114984ps "OnEdgeStrategy"
     
    1507315036stg "VerticalLayoutStrategy"
    1507415037textVec [
    15075 *510 (Text
     15038*509 (Text
    1507615039uid 16407,0
    1507715040va (VaSet
     
    1508315046tm "BdLibraryNameMgr"
    1508415047)
    15085 *511 (Text
     15048*510 (Text
    1508615049uid 16408,0
    1508715050va (VaSet
     
    1509315056tm "CptNameMgr"
    1509415057)
    15095 *512 (Text
     15058*511 (Text
    1509615059uid 16409,0
    1509715060va (VaSet
     
    1514015103archFileType "UNKNOWN"
    1514115104)
    15142 *513 (Net
     15105*512 (Net
    1514315106uid 16545,0
    1514415107decl (Decl
     
    1515715120font "Courier New,8,0"
    1515815121)
    15159 xt "-172000,72400,-119500,73200"
     15122xt "-172000,71600,-119500,72400"
    1516015123st "SIGNAL dna                          : STD_LOGIC_VECTOR(63 DOWNTO 0)                := (others => '0')"
    1516115124)
    1516215125)
    15163 *514 (Net
     15126*513 (Net
    1516415127uid 16562,0
    1516515128decl (Decl
     
    1517715140font "Courier New,8,0"
    1517815141)
    15179 xt "-172000,100400,-125500,101200"
     15142xt "-172000,99600,-125500,100400"
    1518015143st "SIGNAL ready                        : STD_LOGIC                                    := '0'"
    1518115144)
    1518215145)
    15183 *515 (SaComponent
     15146*514 (SaComponent
    1518415147uid 16865,0
    1518515148optionalChildren [
    15186 *516 (CptPort
     15149*515 (CptPort
    1518715150uid 16841,0
    1518815151ps "OnEdgeStrategy"
     
    1521715180)
    1521815181)
    15219 *517 (CptPort
     15182*516 (CptPort
    1522015183uid 16845,0
    1522115184ps "OnEdgeStrategy"
     
    1525315216)
    1525415217)
    15255 *518 (CptPort
     15218*517 (CptPort
    1525615219uid 16849,0
    1525715220ps "OnEdgeStrategy"
     
    1528615249)
    1528715250)
    15288 *519 (CptPort
     15251*518 (CptPort
    1528915252uid 16853,0
    1529015253ps "OnEdgeStrategy"
     
    1532215285)
    1532315286)
    15324 *520 (CptPort
     15287*519 (CptPort
    1532515288uid 16857,0
    1532615289ps "OnEdgeStrategy"
     
    1535515318)
    1535615319)
    15357 *521 (CptPort
     15320*520 (CptPort
    1535815321uid 16861,0
    1535915322ps "OnEdgeStrategy"
     
    1540515368stg "VerticalLayoutStrategy"
    1540615369textVec [
    15407 *522 (Text
     15370*521 (Text
    1540815371uid 16868,0
    1540915372va (VaSet
     
    1541515378tm "BdLibraryNameMgr"
    1541615379)
    15417 *523 (Text
     15380*522 (Text
    1541815381uid 16869,0
    1541915382va (VaSet
     
    1542515388tm "CptNameMgr"
    1542615389)
    15427 *524 (Text
     15390*523 (Text
    1542815391uid 16870,0
    1542915392va (VaSet
     
    1548415447archFileType "UNKNOWN"
    1548515448)
    15486 *525 (MWC
     15449*524 (MWC
    1548715450uid 16902,0
    1548815451optionalChildren [
    15489 *526 (CptPort
     15452*525 (CptPort
    1549015453uid 16891,0
    1549115454optionalChildren [
    15492 *527 (Line
     15455*526 (Line
    1549315456uid 16895,0
    1549415457layer 5
     
    1555215515)
    1555315516)
    15554 *528 (Grouping
     15517*527 (Grouping
    1555515518uid 16896,0
    1555615519optionalChildren [
    15557 *529 (CommentGraphic
     15520*528 (CommentGraphic
    1555815521uid 16898,0
    1555915522shape (PolyLine2D
     
    1557715540oxt "7000,6000,7000,8000"
    1557815541)
    15579 *530 (CommentGraphic
     15542*529 (CommentGraphic
    1558015543uid 16900,0
    1558115544shape (PolyLine2D
     
    1563315596stg "VerticalLayoutStrategy"
    1563415597textVec [
    15635 *531 (Text
     15598*530 (Text
    1563615599uid 16905,0
    1563715600va (VaSet
     
    1564315606blo "-84650,142900"
    1564415607)
    15645 *532 (Text
     15608*531 (Text
    1564615609uid 16906,0
    1564715610va (VaSet
     
    1565215615blo "-84650,143900"
    1565315616)
    15654 *533 (Text
     15617*532 (Text
    1565515618uid 16907,0
    1565615619va (VaSet
     
    1569715660)
    1569815661)
    15699 *534 (Net
     15662*533 (Net
    1570015663uid 16912,0
    1570115664decl (Decl
     
    1571015673font "Courier New,8,0"
    1571115674)
    15712 xt "-172000,89200,-146500,90000"
     15675xt "-172000,88400,-146500,89200"
    1571315676st "SIGNAL enable_i                     : std_logic"
    1571415677)
    1571515678)
    15716 *535 (MWC
     15679*534 (MWC
    1571715680uid 16927,0
    1571815681optionalChildren [
    15719 *536 (CptPort
     15682*535 (CptPort
    1572015683uid 16918,0
    1572115684optionalChildren [
    15722 *537 (Line
     15685*536 (Line
    1572315686uid 16922,0
    1572415687layer 5
     
    1578215745)
    1578315746)
    15784 *538 (CommentGraphic
     15747*537 (CommentGraphic
    1578515748uid 16923,0
    1578615749shape (PolyLine2D
     
    1580115764oxt "7000,7000,7000,8000"
    1580215765)
    15803 *539 (CommentGraphic
     15766*538 (CommentGraphic
    1580415767uid 16925,0
    1580515768shape (CustomPolygon
     
    1584315806stg "VerticalLayoutStrategy"
    1584415807textVec [
    15845 *540 (Text
     15808*539 (Text
    1584615809uid 16930,0
    1584715810va (VaSet
     
    1585315816blo "-81550,150495"
    1585415817)
    15855 *541 (Text
     15818*540 (Text
    1585615819uid 16931,0
    1585715820va (VaSet
     
    1586215825blo "-81550,151495"
    1586315826)
    15864 *542 (Text
     15827*541 (Text
    1586515828uid 16932,0
    1586615829va (VaSet
     
    1590715870)
    1590815871)
    15909 *543 (Net
     15872*542 (Net
    1591015873uid 16937,0
    1591115874decl (Decl
     
    1592015883font "Courier New,8,0"
    1592115884)
    15922 xt "-172000,102000,-146500,102800"
     15885xt "-172000,101200,-146500,102000"
    1592315886st "SIGNAL reset_synch_i                : std_logic"
    1592415887)
    1592515888)
    15926 *544 (Net
     15889*543 (Net
    1592715890uid 16951,0
    1592815891decl (Decl
     
    1593815901font "Courier New,8,0"
    1593915902)
    15940 xt "-172000,118800,-136500,119600"
     15903xt "-172000,118000,-136500,118800"
    1594115904st "SIGNAL time                         : std_logic_vector(31 DOWNTO 0)"
    1594215905)
    1594315906)
    15944 *545 (Net
     15907*544 (Net
    1594515908uid 17001,0
    1594615909decl (Decl
     
    1595815921font "Courier New,8,0"
    1595915922)
    15960 xt "-172000,105200,-132000,106000"
     15923xt "-172000,104400,-132000,105200"
    1596115924st "SIGNAL rs465_data                   : std_logic_vector(55 DOWNTO 0) --7 byte"
    1596215925)
    1596315926)
    15964 *546 (Net
     15927*545 (Net
    1596515928uid 17025,0
    1596615929decl (Decl
     
    1598115944font "Courier New,8,0"
    1598215945)
    15983 xt "-172000,52400,-123500,57200"
     15946xt "-172000,51600,-123500,56400"
    1598415947st "--
    1598515948
     
    1599015953)
    1599115954)
    15992 *547 (Net
     15955*546 (Net
    1599315956uid 17391,0
    1599415957decl (Decl
     
    1600515968font "Courier New,8,0"
    1600615969)
    16007 xt "-172000,62800,-136500,63600"
     15970xt "-172000,62000,-136500,62800"
    1600815971st "SIGNAL c_trigger_mult               : std_logic_vector(15 DOWNTO 0)"
    1600915972)
    1601015973)
    16011 *548 (Net
     15974*547 (Net
    1601215975uid 18457,0
    1601315976lang 2
     
    1602315986font "Courier New,8,0"
    1602415987)
    16025 xt "-172000,66800,-146500,67600"
     15988xt "-172000,66000,-146500,66800"
    1602615989st "SIGNAL data_ram_empty               : std_logic"
    1602715990)
    1602815991)
    16029 *549 (PortIoOut
     15992*548 (PortIoOut
    1603015993uid 18968,0
    1603115994shape (CompositeShape
     
    1607216035)
    1607316036)
    16074 *550 (Net
     16037*549 (Net
    1607516038uid 18980,0
    1607616039lang 2
     
    1609016053)
    1609116054)
    16092 *551 (MWC
     16055*550 (MWC
    1609316056uid 19265,0
    1609416057optionalChildren [
    16095 *552 (CptPort
     16058*551 (CptPort
    1609616059uid 19237,0
    1609716060optionalChildren [
    16098 *553 (Line
     16061*552 (Line
    1609916062uid 19241,0
    1610016063layer 5
     
    1610916072]
    1611016073)
    16111 *554 (Property
     16074*553 (Property
    1611216075uid 19242,0
    1611316076pclass "_MW_GEOM_"
     
    1615416117)
    1615516118)
    16156 *555 (CptPort
     16119*554 (CptPort
    1615716120uid 19243,0
    1615816121optionalChildren [
    16159 *556 (Line
     16122*555 (Line
    1616016123uid 19247,0
    1616116124layer 5
     
    1620916172)
    1621016173)
    16211 *557 (CptPort
     16174*556 (CptPort
    1621216175uid 19248,0
    1621316176optionalChildren [
    16214 *558 (Line
     16177*557 (Line
    1621516178uid 19252,0
    1621616179layer 5
     
    1626316226)
    1626416227)
    16265 *559 (CommentGraphic
     16228*558 (CommentGraphic
    1626616229uid 19253,0
    1626716230optionalChildren [
    16268 *560 (Property
     16231*559 (Property
    1626916232uid 19255,0
    1627016233pclass "_MW_GEOM_"
     
    1629116254oxt "-125000,62000,-125000,62000"
    1629216255)
    16293 *561 (CommentGraphic
     16256*560 (CommentGraphic
    1629416257uid 19256,0
    1629516258optionalChildren [
    16296 *562 (Property
     16259*561 (Property
    1629716260uid 19258,0
    1629816261pclass "_MW_GEOM_"
     
    1631916282oxt "-125000,66000,-125000,66000"
    1632016283)
    16321 *563 (Grouping
     16284*562 (Grouping
    1632216285uid 19259,0
    1632316286optionalChildren [
    16324 *564 (CommentGraphic
     16287*563 (CommentGraphic
    1632516288uid 19261,0
    1632616289shape (PolyLine2D
     
    1634416307oxt "-127000,62000,-125000,66000"
    1634516308)
    16346 *565 (CommentGraphic
     16309*564 (CommentGraphic
    1634716310uid 19263,0
    1634816311shape (Arc2D
     
    1640016363stg "VerticalLayoutStrategy"
    1640116364textVec [
    16402 *566 (Text
     16365*565 (Text
    1640316366uid 19268,0
    1640416367va (VaSet
     
    1641016373blo "-49500,37300"
    1641116374)
    16412 *567 (Text
     16375*566 (Text
    1641316376uid 19269,0
    1641416377va (VaSet
     
    1641916382blo "-49500,38300"
    1642016383)
    16421 *568 (Text
     16384*567 (Text
    1642216385uid 19270,0
    1642316386va (VaSet
     
    1646416427)
    1646516428)
    16466 *569 (Net
     16429*568 (Net
    1646716430uid 20151,0
    1646816431lang 10
     
    1647916442font "Courier New,8,0"
    1648016443)
    16481 xt "-172000,64400,-120000,65200"
     16444xt "-172000,63600,-120000,64400"
    1648216445st "SIGNAL current_dac_array            : dac_array_type                               := ( others => 0)"
    1648316446)
    1648416447)
    16485 *570 (Net
     16448*569 (Net
    1648616449uid 20511,0
    1648716450decl (Decl
     
    1649816461font "Courier New,8,0"
    1649916462)
    16500 xt "-172000,121200,-146500,122000"
     16463xt "-172000,120400,-146500,121200"
    1650116464st "SIGNAL trigger_or_s_trigger         : std_logic"
    1650216465)
    1650316466)
    16504 *571 (Net
     16467*570 (Net
    1650516468uid 20513,0
    1650616469decl (Decl
     
    1651716480font "Courier New,8,0"
    1651816481)
    16519 xt "-172000,90000,-146500,90800"
     16482xt "-172000,89200,-146500,90000"
    1652016483st "SIGNAL enabled_trigger_or_s_trigger : std_logic"
    1652116484)
    1652216485)
    16523 *572 (Net
     16486*571 (Net
    1652416487uid 20519,0
    1652516488decl (Decl
     
    1653416497font "Courier New,8,0"
    1653516498)
    16536 xt "-172000,63600,-146500,64400"
     16499xt "-172000,62800,-146500,63600"
    1653716500st "SIGNAL cont_trigger                 : std_logic"
    1653816501)
    1653916502)
    16540 *573 (Net
     16503*572 (Net
    1654116504uid 20521,0
    1654216505decl (Decl
     
    1655116514font "Courier New,8,0"
    1655216515)
    16553 xt "-172000,108400,-146500,109200"
     16516xt "-172000,107600,-146500,108400"
    1655416517st "SIGNAL s_trigger_or_cont_trigger    : std_logic"
    1655516518)
    1655616519)
    16557 *574 (Net
     16520*573 (Net
    1655816521uid 20921,0
    1655916522decl (Decl
     
    1657116534font "Courier New,8,0"
    1657216535)
    16573 xt "-172000,65200,-98500,66000"
     16536xt "-172000,64400,-98500,65200"
    1657416537st "SIGNAL dac_setting                  : dac_array_type                               := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd"
    1657516538)
    1657616539)
    16577 *575 (Net
     16540*574 (Net
    1657816541uid 20937,0
    1657916542decl (Decl
     
    1658816551font "Courier New,8,0"
    1658916552)
    16590 xt "-172000,104400,-144000,105200"
     16553xt "-172000,103600,-144000,104400"
    1659116554st "SIGNAL roi_setting                  : roi_array_type"
    1659216555)
    1659316556)
    16594 *576 (Net
     16557*575 (Net
    1659516558uid 21033,0
    1659616559decl (Decl
     
    1660616569font "Courier New,8,0"
    1660716570)
    16608 xt "-172000,91600,-125500,92400"
     16571xt "-172000,90800,-125500,91600"
    1660916572st "SIGNAL memory_manager_config_start  : std_logic                                    := '0'"
    1661016573)
    1661116574)
    16612 *577 (Net
     16575*576 (Net
    1661316576uid 21037,0
    1661416577decl (Decl
     
    1662316586font "Courier New,8,0"
    1662416587)
    16625 xt "-172000,92400,-146500,93200"
     16588xt "-172000,91600,-146500,92400"
    1662616589st "SIGNAL memory_manager_config_valid  : std_logic"
    1662716590)
    1662816591)
    16629 *578 (Net
     16592*577 (Net
    1663016593uid 21039,0
    1663116594decl (Decl
     
    1664116604font "Courier New,8,0"
    1664216605)
    16643 xt "-172000,114000,-125500,114800"
     16606xt "-172000,113200,-125500,114000"
    1664416607st "SIGNAL spi_interface_config_start   : std_logic                                    := '0'"
    1664516608)
    1664616609)
    16647 *579 (Net
     16610*578 (Net
    1664816611uid 21043,0
    1664916612decl (Decl
     
    1665816621font "Courier New,8,0"
    1665916622)
    16660 xt "-172000,114800,-146500,115600"
     16623xt "-172000,114000,-146500,114800"
    1666116624st "SIGNAL spi_interface_config_valid   : std_logic"
    1666216625)
    1666316626)
    16664 *580 (MWC
     16627*579 (MWC
    1666516628uid 21806,0
    1666616629optionalChildren [
    16667 *581 (CptPort
     16630*580 (CptPort
    1666816631uid 21784,0
    1666916632optionalChildren [
    16670 *582 (Property
     16633*581 (Property
    1667116634uid 21788,0
    1667216635pclass "_MW_GEOM_"
     
    1667416637ptn "String"
    1667516638)
    16676 *583 (Property
     16639*582 (Property
    1667716640uid 21789,0
    1667816641pclass "_MW_GEOM_"
     
    1671916682)
    1672016683)
    16721 *584 (CommentText
     16684*583 (CommentText
    1672216685uid 21790,0
    1672316686shape (Rectangle
     
    1675316716position 1
    1675416717)
    16755 *585 (CommentText
     16718*584 (CommentText
    1675616719uid 21793,0
    1675716720shape (Rectangle
     
    1678716750position 1
    1678816751)
    16789 *586 (CommentGraphic
     16752*585 (CommentGraphic
    1679016753uid 21796,0
    1679116754shape (PolyLine2D
     
    1680816771oxt "6000,8000,8000,8000"
    1680916772)
    16810 *587 (CommentGraphic
     16773*586 (CommentGraphic
    1681116774uid 21798,0
    1681216775optionalChildren [
    16813 *588 (Property
     16776*587 (Property
    1681416777uid 21800,0
    1681516778pclass "_MW_GEOM_"
     
    1683716800oxt "10000,9000,10000,9000"
    1683816801)
    16839 *589 (CommentGraphic
     16802*588 (CommentGraphic
    1684016803uid 21801,0
    1684116804optionalChildren [
    16842 *590 (Property
     16805*589 (Property
    1684316806uid 21803,0
    1684416807pclass "_MW_GEOM_"
     
    1686616829oxt "10000,7000,10000,7000"
    1686716830)
    16868 *591 (CommentGraphic
     16831*590 (CommentGraphic
    1686916832uid 21804,0
    1687016833shape (CustomPolygon
     
    1688816851oxt "8000,7000,10000,9000"
    1688916852)
    16890 *592 (CptPort
     16853*591 (CptPort
    1689116854uid 21827,0
    1689216855ps "OnEdgeStrategy"
     
    1692816891)
    1692916892)
    16930 *593 (CptPort
     16893*592 (CptPort
    1693116894uid 21831,0
    1693216895ps "OnEdgeStrategy"
     
    1696816931)
    1696916932)
    16970 *594 (CptPort
     16933*593 (CptPort
    1697116934uid 21835,0
    1697216935ps "OnEdgeStrategy"
     
    1700716970)
    1700816971)
    17009 *595 (CptPort
     16972*594 (CptPort
    1701016973uid 21839,0
    1701116974ps "OnEdgeStrategy"
     
    1706517028stg "VerticalLayoutStrategy"
    1706617029textVec [
    17067 *596 (Text
     17030*595 (Text
    1706817031uid 21809,0
    1706917032va (VaSet
     
    1707517038blo "-86100,123800"
    1707617039)
    17077 *597 (Text
     17040*596 (Text
    1707817041uid 21810,0
    1707917042va (VaSet
     
    1708417047blo "-86100,124800"
    1708517048)
    17086 *598 (Text
     17049*597 (Text
    1708717050uid 21811,0
    1708817051va (VaSet
     
    1712817091)
    1712917092)
    17130 *599 (MWC
     17093*598 (MWC
    1713117094uid 21871,0
    1713217095optionalChildren [
    17133 *600 (CptPort
     17096*599 (CptPort
    1713417097uid 21843,0
    1713517098optionalChildren [
    17136 *601 (Line
     17099*600 (Line
    1713717100uid 21847,0
    1713817101layer 5
     
    1714717110]
    1714817111)
    17149 *602 (Property
     17112*601 (Property
    1715017113uid 21848,0
    1715117114pclass "_MW_GEOM_"
     
    1719217155)
    1719317156)
    17194 *603 (CommentGraphic
     17157*602 (CommentGraphic
    1719517158uid 21859,0
    1719617159optionalChildren [
    17197 *604 (Property
     17160*603 (Property
    1719817161uid 21861,0
    1719917162pclass "_MW_GEOM_"
     
    1721917182oxt "7000,10000,7000,10000"
    1722017183)
    17221 *605 (CommentGraphic
     17184*604 (CommentGraphic
    1722217185uid 21862,0
    1722317186optionalChildren [
    17224 *606 (Property
     17187*605 (Property
    1722517188uid 21864,0
    1722617189pclass "_MW_GEOM_"
     
    1724617209oxt "7000,6000,7000,6000"
    1724717210)
    17248 *607 (Grouping
     17211*606 (Grouping
    1724917212uid 21865,0
    1725017213optionalChildren [
    17251 *608 (CommentGraphic
     17214*607 (CommentGraphic
    1725217215uid 21867,0
    1725317216shape (PolyLine2D
     
    1727017233oxt "7000,6000,9000,10000"
    1727117234)
    17272 *609 (CommentGraphic
     17235*608 (CommentGraphic
    1727317236uid 21869,0
    1727417237shape (Arc2D
     
    1730417267oxt "7000,6000,11000,10000"
    1730517268)
    17306 *610 (CptPort
     17269*609 (CptPort
    1730717270uid 21895,0
    1730817271optionalChildren [
    17309 *611 (Line
     17272*610 (Line
    1731017273uid 21899,0
    1731117274sl 0
     
    1735617319)
    1735717320)
    17358 *612 (CptPort
     17321*611 (CptPort
    1735917322uid 21900,0
    1736017323optionalChildren [
    17361 *613 (Line
     17324*612 (Line
    1736217325uid 21904,0
    1736317326sl 0
     
    1740817371)
    1740917372)
    17410 *614 (CptPort
     17373*613 (CptPort
    1741117374uid 21905,0
    1741217375optionalChildren [
    17413 *615 (Line
     17376*614 (Line
    1741417377uid 21913,0
    1741517378layer 5
     
    1746017423)
    1746117424)
    17462 *616 (CptPort
     17425*615 (CptPort
    1746317426uid 21909,0
    1746417427optionalChildren [
    17465 *617 (Line
     17428*616 (Line
    1746617429uid 21914,0
    1746717430layer 5
     
    1753117494stg "VerticalLayoutStrategy"
    1753217495textVec [
    17533 *618 (Text
     17496*617 (Text
    1753417497uid 21874,0
    1753517498va (VaSet
     
    1754117504blo "-79500,122300"
    1754217505)
    17543 *619 (Text
     17506*618 (Text
    1754417507uid 21875,0
    1754517508va (VaSet
     
    1755017513blo "-79500,123300"
    1755117514)
    17552 *620 (Text
     17515*619 (Text
    1755317516uid 21876,0
    1755417517va (VaSet
     
    1759517558)
    1759617559)
    17597 *621 (Net
     17560*620 (Net
    1759817561uid 21915,0
    1759917562decl (Decl
     
    1760817571font "Courier New,8,0"
    1760917572)
    17610 xt "-172000,74000,-146500,74800"
     17573xt "-172000,73200,-146500,74000"
    1761117574st "SIGNAL dout0                        : STD_LOGIC"
    1761217575)
    1761317576)
    17614 *622 (Net
     17577*621 (Net
    1761517578uid 21921,0
    1761617579decl (Decl
     
    1762517588font "Courier New,8,0"
    1762617589)
    17627 xt "-172000,74800,-146500,75600"
     17590xt "-172000,74000,-146500,74800"
    1762817591st "SIGNAL dout1                        : STD_LOGIC"
    1762917592)
    1763017593)
    17631 *623 (Net
     17594*622 (Net
    1763217595uid 21927,0
    1763317596decl (Decl
     
    1764217605font "Courier New,8,0"
    1764317606)
    17644 xt "-172000,75600,-146500,76400"
     17607xt "-172000,74800,-146500,75600"
    1764517608st "SIGNAL dout2                        : STD_LOGIC"
    1764617609)
    1764717610)
    17648 *624 (Net
     17611*623 (Net
    1764917612uid 21933,0
    1765017613decl (Decl
     
    1765917622font "Courier New,8,0"
    1766017623)
    17661 xt "-172000,76400,-146500,77200"
     17624xt "-172000,75600,-146500,76400"
    1766217625st "SIGNAL dout3                        : STD_LOGIC"
    1766317626)
    1766417627)
    17665 *625 (MWC
     17628*624 (MWC
    1766617629uid 21975,0
    1766717630optionalChildren [
    17668 *626 (CptPort
     17631*625 (CptPort
    1766917632uid 21944,0
    1767017633optionalChildren [
    17671 *627 (Property
     17634*626 (Property
    1767217635uid 21948,0
    1767317636pclass "_MW_GEOM_"
     
    1767517638ptn "String"
    1767617639)
    17677 *628 (Line
     17640*627 (Line
    1767817641uid 21949,0
    1767917642layer 5
     
    1772717690)
    1772817691)
    17729 *629 (CommentGraphic
     17692*628 (CommentGraphic
    1773017693uid 21955,0
    1773117694shape (Arc2D
     
    1774817711oxt "7000,6003,11000,8000"
    1774917712)
    17750 *630 (CommentGraphic
     17713*629 (CommentGraphic
    1775117714uid 21957,0
    1775217715shape (Arc2D
     
    1776917732oxt "6996,8005,11000,10000"
    1777017733)
    17771 *631 (Grouping
     17734*630 (Grouping
    1777217735uid 21959,0
    1777317736optionalChildren [
    17774 *632 (CommentGraphic
     17737*631 (CommentGraphic
    1777517738uid 21961,0
    1777617739optionalChildren [
    17777 *633 (Property
     17740*632 (Property
    1777817741uid 21963,0
    1777917742pclass "_MW_GEOM_"
     
    1780617769oxt "7000,6000,11000,9998"
    1780717770)
    17808 *634 (CommentGraphic
     17771*633 (CommentGraphic
    1780917772uid 21964,0
    1781017773optionalChildren [
    17811 *635 (Property
     17774*634 (Property
    1781217775uid 21966,0
    1781317776pclass "_MW_GEOM_"
     
    1785117814oxt "7000,6000,11000,10000"
    1785217815)
    17853 *636 (CommentGraphic
     17816*635 (CommentGraphic
    1785417817uid 21967,0
    1785517818shape (PolyLine2D
     
    1787017833oxt "11000,8000,11000,8000"
    1787117834)
    17872 *637 (CommentGraphic
     17835*636 (CommentGraphic
    1787317836uid 21969,0
    1787417837optionalChildren [
    17875 *638 (Property
     17838*637 (Property
    1787617839uid 21971,0
    1787717840pclass "_MW_GEOM_"
     
    1789717860oxt "7000,6000,7000,6000"
    1789817861)
    17899 *639 (CommentGraphic
     17862*638 (CommentGraphic
    1790017863uid 21972,0
    1790117864optionalChildren [
    17902 *640 (Property
     17865*639 (Property
    1790317866uid 21974,0
    1790417867pclass "_MW_GEOM_"
     
    1792417887oxt "7000,10000,7000,10000"
    1792517888)
    17926 *641 (CptPort
     17889*640 (CptPort
    1792717890uid 22078,0
    1792817891optionalChildren [
    17929 *642 (Line
     17892*641 (Line
    1793017893uid 22082,0
    1793117894sl 0
     
    1797617939)
    1797717940)
    17978 *643 (CptPort
     17941*642 (CptPort
    1797917942uid 22083,0
    1798017943optionalChildren [
    17981 *644 (Line
     17944*643 (Line
    1798217945uid 22087,0
    1798317946sl 0
     
    1804718010stg "VerticalLayoutStrategy"
    1804818011textVec [
    18049 *645 (Text
     18012*644 (Text
    1805018013uid 21978,0
    1805118014va (VaSet
     
    1805718020blo "-64500,125300"
    1805818021)
    18059 *646 (Text
     18022*645 (Text
    1806018023uid 21979,0
    1806118024va (VaSet
     
    1806618029blo "-64500,126300"
    1806718030)
    18068 *647 (Text
     18031*646 (Text
    1806918032uid 21980,0
    1807018033va (VaSet
     
    1811118074)
    1811218075)
    18113 *648 (Net
     18076*647 (Net
    1811418077uid 21984,0
    1811518078decl (Decl
     
    1812418087font "Courier New,8,0"
    1812518088)
    18126 xt "-172000,73200,-146500,74000"
     18089xt "-172000,72400,-146500,73200"
    1812718090st "SIGNAL dout                         : STD_LOGIC"
    1812818091)
    1812918092)
    18130 *649 (Net
     18093*648 (Net
    1813118094uid 21998,0
    1813218095decl (Decl
     
    1814118104font "Courier New,8,0"
    1814218105)
    18143 xt "-172000,57200,-146500,58000"
     18106xt "-172000,56400,-146500,57200"
    1814418107st "SIGNAL I_really_want_dwrite         : STD_LOGIC"
    1814518108)
    1814618109)
    18147 *650 (Net
     18110*649 (Net
    1814818111uid 22043,0
    1814918112decl (Decl
     
    1815918122font "Courier New,8,0"
    1816018123)
    18161 xt "-172000,86800,-125500,87600"
     18124xt "-172000,86000,-125500,86800"
    1816218125st "SIGNAL dwrite_enable_w5300          : std_logic                                    := '1'"
    1816318126)
    1816418127)
    18165 *651 (Net
     18128*650 (Net
    1816618129uid 22076,0
    1816718130decl (Decl
     
    1817718140font "Courier New,8,0"
    1817818141)
    18179 xt "-172000,87600,-125500,88400"
     18142xt "-172000,86800,-125500,87600"
    1818018143st "SIGNAL dwrite_global_enable         : std_logic                                    := '1'"
    1818118144)
    1818218145)
    18183 *652 (MWC
     18146*651 (MWC
    1818418147uid 22116,0
    1818518148optionalChildren [
    18186 *653 (CptPort
     18149*652 (CptPort
    1818718150uid 22088,0
    1818818151optionalChildren [
    18189 *654 (Line
     18152*653 (Line
    1819018153uid 22092,0
    1819118154layer 5
     
    1820018163]
    1820118164)
    18202 *655 (Property
     18165*654 (Property
    1820318166uid 22093,0
    1820418167pclass "_MW_GEOM_"
     
    1824618209)
    1824718210)
    18248 *656 (CptPort
     18211*655 (CptPort
    1824918212uid 22094,0
    1825018213optionalChildren [
    18251 *657 (Line
     18214*656 (Line
    1825218215uid 22098,0
    1825318216layer 5
     
    1830018263)
    1830118264)
    18302 *658 (CptPort
     18265*657 (CptPort
    1830318266uid 22099,0
    1830418267optionalChildren [
    18305 *659 (Line
     18268*658 (Line
    1830618269uid 22103,0
    1830718270layer 5
     
    1835318316)
    1835418317)
    18355 *660 (CommentGraphic
     18318*659 (CommentGraphic
    1835618319uid 22104,0
    1835718320optionalChildren [
    18358 *661 (Property
     18321*660 (Property
    1835918322uid 22106,0
    1836018323pclass "_MW_GEOM_"
     
    1838018343oxt "7000,10000,7000,10000"
    1838118344)
    18382 *662 (CommentGraphic
     18345*661 (CommentGraphic
    1838318346uid 22107,0
    1838418347optionalChildren [
    18385 *663 (Property
     18348*662 (Property
    1838618349uid 22109,0
    1838718350pclass "_MW_GEOM_"
     
    1840718370oxt "7000,6000,7000,6000"
    1840818371)
    18409 *664 (Grouping
     18372*663 (Grouping
    1841018373uid 22110,0
    1841118374optionalChildren [
    18412 *665 (CommentGraphic
     18375*664 (CommentGraphic
    1841318376uid 22112,0
    1841418377shape (PolyLine2D
     
    1843118394oxt "7000,6000,9000,10000"
    1843218395)
    18433 *666 (CommentGraphic
     18396*665 (CommentGraphic
    1843418397uid 22114,0
    1843518398shape (Arc2D
     
    1848418447stg "VerticalLayoutStrategy"
    1848518448textVec [
    18486 *667 (Text
     18449*666 (Text
    1848718450uid 22119,0
    1848818451va (VaSet
     
    1849418457blo "-55500,124300"
    1849518458)
    18496 *668 (Text
     18459*667 (Text
    1849718460uid 22120,0
    1849818461va (VaSet
     
    1850318466blo "-55500,125300"
    1850418467)
    18505 *669 (Text
     18468*668 (Text
    1850618469uid 22121,0
    1850718470va (VaSet
     
    1854818511)
    1854918512)
    18550 *670 (Net
     18513*669 (Net
    1855118514uid 22125,0
    1855218515decl (Decl
     
    1856118524font "Courier New,8,0"
    1856218525)
    18563 xt "-172000,77200,-146500,78000"
     18526xt "-172000,76400,-146500,77200"
    1856418527st "SIGNAL dout4                        : STD_LOGIC"
    1856518528)
    1856618529)
    18567 *671 (Net
     18530*670 (Net
    1856818531uid 22131,0
    1856918532decl (Decl
     
    1857918542font "Courier New,8,0"
    1858018543)
    18581 xt "-172000,88400,-125500,89200"
     18544xt "-172000,87600,-125500,88400"
    1858218545st "SIGNAL dwrite_trigger_manager       : std_logic                                    := '1'"
    1858318546)
    1858418547)
    18585 *672 (MWC
     18548*671 (MWC
    1858618549uid 23004,0
    1858718550optionalChildren [
    18588 *673 (CptPort
     18551*672 (CptPort
    1858918552uid 22993,0
    1859018553optionalChildren [
    18591 *674 (Line
     18554*673 (Line
    1859218555uid 22997,0
    1859318556layer 5
     
    1865118614)
    1865218615)
    18653 *675 (Grouping
     18616*674 (Grouping
    1865418617uid 22998,0
    1865518618optionalChildren [
    18656 *676 (CommentGraphic
     18619*675 (CommentGraphic
    1865718620uid 23000,0
    1865818621shape (PolyLine2D
     
    1867618639oxt "7000,6000,7000,8000"
    1867718640)
    18678 *677 (CommentGraphic
     18641*676 (CommentGraphic
    1867918642uid 23002,0
    1868018643shape (PolyLine2D
     
    1873218695stg "VerticalLayoutStrategy"
    1873318696textVec [
    18734 *678 (Text
     18697*677 (Text
    1873518698uid 23007,0
    1873618699va (VaSet
     
    1874218705blo "-93650,125900"
    1874318706)
    18744 *679 (Text
     18707*678 (Text
    1874518708uid 23008,0
    1874618709va (VaSet
     
    1875118714blo "-93650,126900"
    1875218715)
    18753 *680 (Text
     18716*679 (Text
    1875418717uid 23009,0
    1875518718va (VaSet
     
    1879618759)
    1879718760)
    18798 *681 (MWC
     18761*680 (MWC
    1879918762uid 23034,0
    1880018763optionalChildren [
    18801 *682 (CptPort
     18764*681 (CptPort
    1880218765uid 23014,0
    1880318766optionalChildren [
    18804 *683 (Line
     18767*682 (Line
    1880518768uid 23018,0
    1880618769layer 5
     
    1886818831)
    1886918832)
    18870 *684 (CptPort
     18833*683 (CptPort
    1887118834uid 23019,0
    1887218835optionalChildren [
    18873 *685 (Line
     18836*684 (Line
    1887418837uid 23023,0
    1887518838layer 5
     
    1893618899)
    1893718900)
    18938 *686 (CommentGraphic
     18901*685 (CommentGraphic
    1893918902uid 23024,0
    1894018903shape (PolyLine2D
     
    1895718920oxt "6000,6000,7000,7000"
    1895818921)
    18959 *687 (CommentGraphic
     18922*686 (CommentGraphic
    1896018923uid 23026,0
    1896118924shape (PolyLine2D
     
    1897818941oxt "6000,7000,7000,8000"
    1897918942)
    18980 *688 (CommentGraphic
     18943*687 (CommentGraphic
    1898118944uid 23028,0
    1898218945shape (PolyLine2D
     
    1899918962oxt "6988,7329,7988,7329"
    1900018963)
    19001 *689 (CommentGraphic
     18964*688 (CommentGraphic
    1900218965uid 23030,0
    1900318966shape (PolyLine2D
     
    1901818981oxt "8000,7000,9000,7000"
    1901918982)
    19020 *690 (CommentGraphic
     18983*689 (CommentGraphic
    1902118984uid 23032,0
    1902218985shape (PolyLine2D
     
    1905919022stg "VerticalLayoutStrategy"
    1906019023textVec [
    19061 *691 (Text
     19024*690 (Text
    1906219025uid 23037,0
    1906319026va (VaSet
     
    1906919032blo "173350,80900"
    1907019033)
    19071 *692 (Text
     19034*691 (Text
    1907219035uid 23038,0
    1907319036va (VaSet
     
    1907819041blo "173350,81900"
    1907919042)
    19080 *693 (Text
     19043*692 (Text
    1908119044uid 23039,0
    1908219045va (VaSet
     
    1912319086)
    1912419087)
    19125 *694 (Net
     19088*693 (Net
    1912619089uid 23051,0
    1912719090decl (Decl
     
    1913919102font "Courier New,8,0"
    1914019103)
    19141 xt "-172000,69200,-112000,70000"
     19104xt "-172000,68400,-112000,69200"
    1914219105st "SIGNAL denable_sig                  : std_logic                                    := '0' -- default domino wave off"
    1914319106)
    1914419107)
    19145 *695 (Net
     19108*694 (Net
    1914619109uid 23341,0
    1914719110decl (Decl
     
    1915619119font "Courier New,8,0"
    1915719120)
    19158 xt "-172000,50800,-146500,51600"
     19121xt "-172000,50000,-146500,50800"
    1915919122st "SIGNAL DCM_locked_status            : std_logic"
    1916019123)
    1916119124)
    19162 *696 (Net
     19125*695 (Net
    1916319126uid 23349,0
    1916419127decl (Decl
     
    1917319136font "Courier New,8,0"
    1917419137)
    19175 xt "-172000,51600,-146500,52400"
     19138xt "-172000,50800,-146500,51600"
    1917619139st "SIGNAL DCM_ready_status             : std_logic"
    1917719140)
    1917819141)
    19179 *697 (Net
     19142*696 (Net
    1918019143uid 24076,0
    1918119144decl (Decl
     
    1919119154font "Courier New,8,0"
    1919219155)
    19193 xt "-172000,40000,-129000,40800"
     19156xt "-172000,39200,-129000,40000"
    1919419157st "trigger_veto                 : std_logic                                    := '1'"
    1919519158)
    1919619159)
    19197 *698 (PortIoOut
     19160*697 (PortIoOut
    1919819161uid 24084,0
    1919919162shape (CompositeShape
     
    1923919202)
    1924019203)
    19241 *699 (SaComponent
     19204*698 (SaComponent
    1924219205uid 24570,0
    1924319206optionalChildren [
    19244 *700 (CptPort
     19207*699 (CptPort
    1924519208uid 24538,0
    1924619209ps "OnEdgeStrategy"
     
    1927519238)
    1927619239)
    19277 *701 (CptPort
     19240*700 (CptPort
    1927819241uid 24542,0
    1927919242ps "OnEdgeStrategy"
     
    1930919272)
    1931019273)
    19311 *702 (CptPort
     19274*701 (CptPort
    1931219275uid 24546,0
    1931319276ps "OnEdgeStrategy"
     
    1934419307)
    1934519308)
    19346 *703 (CptPort
     19309*702 (CptPort
    1934719310uid 24550,0
    1934819311ps "OnEdgeStrategy"
     
    1937919342)
    1938019343)
    19381 *704 (CptPort
     19344*703 (CptPort
    1938219345uid 24554,0
    1938319346ps "OnEdgeStrategy"
     
    1941419377)
    1941519378)
    19416 *705 (CptPort
     19379*704 (CptPort
    1941719380uid 24558,0
    1941819381ps "OnEdgeStrategy"
     
    1944819411)
    1944919412)
    19450 *706 (CptPort
     19413*705 (CptPort
    1945119414uid 24562,0
    1945219415ps "OnEdgeStrategy"
     
    1948519448)
    1948619449)
    19487 *707 (CptPort
     19450*706 (CptPort
    1948819451uid 24566,0
    1948919452ps "OnEdgeStrategy"
     
    1952119484)
    1952219485)
    19523 *708 (CptPort
     19486*707 (CptPort
    1952419487uid 24732,0
    1952519488ps "OnEdgeStrategy"
     
    1957419537stg "VerticalLayoutStrategy"
    1957519538textVec [
    19576 *709 (Text
     19539*708 (Text
    1957719540uid 24573,0
    1957819541va (VaSet
     
    1958419547tm "BdLibraryNameMgr"
    1958519548)
    19586 *710 (Text
     19549*709 (Text
    1958719550uid 24574,0
    1958819551va (VaSet
     
    1959419557tm "CptNameMgr"
    1959519558)
    19596 *711 (Text
     19559*710 (Text
    1959719560uid 24575,0
    1959819561va (VaSet
    1959919562font "Arial,8,1"
    1960019563)
    19601 xt "-15000,159000,-13200,160000"
    19602 st "U_7"
     19564xt "-15000,159000,-7200,160000"
     19565st "Inst_rs485_receiver"
    1960319566blo "-15000,159800"
    1960419567tm "InstanceNameMgr"
     
    1965519618archFileType "UNKNOWN"
    1965619619)
    19657 *712 (PortIoOut
     19620*711 (PortIoOut
    1965819621uid 24652,0
    1965919622shape (CompositeShape
     
    1969919662)
    1970019663)
    19701 *713 (PortIoIn
     19664*712 (PortIoIn
    1970219665uid 24666,0
    1970319666shape (CompositeShape
     
    1974319706)
    1974419707)
    19745 *714 (PortIoOut
     19708*713 (PortIoOut
    1974619709uid 24680,0
    1974719710shape (CompositeShape
     
    1978719750)
    1978819751)
    19789 *715 (PortIoOut
     19752*714 (PortIoOut
    1979019753uid 24694,0
    1979119754shape (CompositeShape
     
    1983119794)
    1983219795)
    19833 *716 (Net
     19796*715 (Net
    1983419797uid 24700,0
    1983519798decl (Decl
     
    1984819811)
    1984919812)
    19850 *717 (Net
     19813*716 (Net
    1985119814uid 24702,0
    1985219815decl (Decl
     
    1986519828)
    1986619829)
    19867 *718 (Net
     19830*717 (Net
    1986819831uid 24704,0
    1986919832decl (Decl
     
    1988219845)
    1988319846)
    19884 *719 (Net
     19847*718 (Net
    1988519848uid 24706,0
    1988619849decl (Decl
     
    1989919862)
    1990019863)
    19901 *720 (Net
     19864*719 (Net
    1990219865uid 24736,0
    1990319866decl (Decl
     
    1991319876font "Courier New,8,0"
    1991419877)
    19915 xt "-172000,101200,-125500,102000"
     19878xt "-172000,100400,-125500,101200"
    1991619879st "SIGNAL rec_timeout_occured          : std_logic                                    := '0'"
    1991719880)
    1991819881)
    19919 *721 (Net
     19882*720 (Net
    1992019883uid 25027,0
    1992119884decl (Decl
     
    1993119894font "Courier New,8,0"
    1993219895)
    19933 xt "-172000,102800,-125500,103600"
     19896xt "-172000,102000,-125500,102800"
    1993419897st "SIGNAL reset_trigger_id             : std_logic                                    := '0'"
    1993519898)
    1993619899)
    19937 *722 (PortIoOut
     19900*721 (PortIoOut
    1993819901uid 25304,0
    1993919902shape (CompositeShape
     
    1997919942)
    1998019943)
    19981 *723 (Net
     19944*722 (Net
    1998219945uid 25310,0
    1998319946decl (Decl
     
    1999519958font "Courier New,8,0"
    1999619959)
    19997 xt "-172000,40800,-115000,41600"
     19960xt "-172000,40000,-115000,40800"
    1999819961st "w5300_state                  : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging."
    1999919962)
    2000019963)
    20001 *724 (Net
     19964*723 (Net
    2000219965uid 25541,0
    2000319966decl (Decl
     
    2001619979)
    2001719980)
    20018 *725 (PortIoOut
     19981*724 (PortIoOut
    2001919982uid 25549,0
    2002019983shape (CompositeShape
     
    2006020023)
    2006120024)
    20062 *726 (Net
     20025*725 (Net
    2006320026uid 25555,0
    2006420027decl (Decl
     
    2007720040)
    2007820041)
    20079 *727 (PortIoOut
     20042*726 (PortIoOut
    2008020043uid 25563,0
    2008120044shape (CompositeShape
     
    2012120084)
    2012220085)
    20123 *728 (MWC
     20086*727 (MWC
    2012420087uid 25830,0
    2012520088optionalChildren [
    20126 *729 (CptPort
     20089*728 (CptPort
    2012720090uid 25821,0
    2012820091optionalChildren [
    20129 *730 (Line
     20092*729 (Line
    2013020093uid 25825,0
    2013120094layer 5
     
    2018920152)
    2019020153)
    20191 *731 (CommentGraphic
     20154*730 (CommentGraphic
    2019220155uid 25826,0
    2019320156shape (PolyLine2D
     
    2020820171oxt "7000,7000,7000,8000"
    2020920172)
    20210 *732 (CommentGraphic
     20173*731 (CommentGraphic
    2021120174uid 25828,0
    2021220175shape (CustomPolygon
     
    2025020213stg "VerticalLayoutStrategy"
    2025120214textVec [
    20252 *733 (Text
     20215*732 (Text
    2025320216uid 25833,0
    2025420217va (VaSet
     
    2026020223blo "-40550,78495"
    2026120224)
    20262 *734 (Text
     20225*733 (Text
    2026320226uid 25834,0
    2026420227va (VaSet
     
    2026920232blo "-40550,79495"
    2027020233)
    20271 *735 (Text
     20234*734 (Text
    2027220235uid 25835,0
    2027320236va (VaSet
     
    2031420277)
    2031520278)
    20316 *736 (Net
     20279*735 (Net
    2031720280uid 25840,0
    2031820281decl (Decl
     
    2032720290font "Courier New,8,0"
    2032820291)
    20329 xt "-172000,113200,-146500,114000"
     20292xt "-172000,112400,-146500,113200"
    2033020293st "SIGNAL software_trigger_in          : std_logic"
    2033120294)
    2033220295)
    20333 *737 (PortIoOut
     20296*736 (PortIoOut
    2033420297uid 26079,0
    2033520298shape (CompositeShape
     
    2037520338)
    2037620339)
    20377 *738 (Net
     20340*737 (Net
    2037820341uid 26085,0
    2037920342lang 2
     
    2039620359)
    2039720360)
    20398 *739 (Net
     20361*738 (Net
    2039920362uid 26334,0
    2040020363decl (Decl
     
    2040920372font "Courier New,8,0"
    2041020373)
    20411 xt "-172000,90800,-146500,91600"
     20374xt "-172000,90000,-146500,90800"
    2041220375st "SIGNAL is_idle                      : std_logic"
    2041320376)
    2041420377)
    20415 *740 (PortIoOut
     20378*739 (PortIoOut
    2041620379uid 26350,0
    2041720380shape (CompositeShape
     
    2045720420)
    2045820421)
    20459 *741 (Net
     20422*740 (Net
    2046020423uid 26591,0
    2046120424decl (Decl
     
    2047820441)
    2047920442)
    20480 *742 (SaComponent
     20443*741 (SaComponent
    2048120444uid 27117,0
    2048220445optionalChildren [
    20483 *743 (CptPort
     20446*742 (CptPort
    2048420447uid 27041,0
    2048520448ps "OnEdgeStrategy"
     
    2051720480)
    2051820481)
    20519 *744 (CptPort
     20482*743 (CptPort
    2052020483uid 27045,0
    2052120484ps "OnEdgeStrategy"
     
    2055220515)
    2055320516)
    20554 *745 (CptPort
     20517*744 (CptPort
    2055520518uid 27049,0
    2055620519ps "OnEdgeStrategy"
     
    2058520548)
    2058620549)
    20587 *746 (CptPort
     20550*745 (CptPort
    2058820551uid 27053,0
    2058920552ps "OnEdgeStrategy"
     
    2061820581)
    2061920582)
    20620 *747 (CptPort
     20583*746 (CptPort
    2062120584uid 27057,0
    2062220585ps "OnEdgeStrategy"
     
    2065120614)
    2065220615)
    20653 *748 (CptPort
     20616*747 (CptPort
    2065420617uid 27061,0
    2065520618ps "OnEdgeStrategy"
     
    2068620649)
    2068720650)
    20688 *749 (CptPort
     20651*748 (CptPort
    2068920652uid 27065,0
    2069020653ps "OnEdgeStrategy"
     
    2072120684)
    2072220685)
    20723 *750 (CptPort
     20686*749 (CptPort
    2072420687uid 27069,0
    2072520688ps "OnEdgeStrategy"
     
    2075620719)
    2075720720)
    20758 *751 (CptPort
     20721*750 (CptPort
    2075920722uid 27073,0
    2076020723ps "OnEdgeStrategy"
     
    2079220755)
    2079320756)
    20794 *752 (CptPort
     20757*751 (CptPort
    2079520758uid 27077,0
    2079620759ps "OnEdgeStrategy"
     
    2082920792)
    2083020793)
    20831 *753 (CptPort
     20794*752 (CptPort
    2083220795uid 27081,0
    2083320796ps "OnEdgeStrategy"
     
    2086620829)
    2086720830)
    20868 *754 (CptPort
     20831*753 (CptPort
    2086920832uid 27085,0
    2087020833ps "OnEdgeStrategy"
     
    2090320866)
    2090420867)
    20905 *755 (CptPort
     20868*754 (CptPort
    2090620869uid 27089,0
    2090720870ps "OnEdgeStrategy"
     
    2093920902)
    2094020903)
    20941 *756 (CptPort
     20904*755 (CptPort
    2094220905uid 27093,0
    2094320906ps "OnEdgeStrategy"
     
    2097520938)
    2097620939)
    20977 *757 (CptPort
     20940*756 (CptPort
    2097820941uid 27097,0
    2097920942ps "OnEdgeStrategy"
     
    2101120974)
    2101220975)
    21013 *758 (CptPort
     20976*757 (CptPort
    2101420977uid 27109,0
    2101520978ps "OnEdgeStrategy"
     
    2104721010)
    2104821011)
    21049 *759 (CptPort
     21012*758 (CptPort
    2105021013uid 27113,0
    2105121014ps "OnEdgeStrategy"
     
    2108221045)
    2108321046)
    21084 *760 (CptPort
     21047*759 (CptPort
    2108521048uid 27127,0
    2108621049ps "OnEdgeStrategy"
     
    2111621079)
    2111721080)
    21118 *761 (CptPort
     21081*760 (CptPort
    2111921082uid 27139,0
    2112021083ps "OnEdgeStrategy"
     
    2114921112)
    2115021113)
    21151 *762 (CptPort
     21114*761 (CptPort
    2115221115uid 27143,0
    2115321116ps "OnEdgeStrategy"
     
    2120121164stg "VerticalLayoutStrategy"
    2120221165textVec [
    21203 *763 (Text
     21166*762 (Text
    2120421167uid 27120,0
    2120521168va (VaSet
     
    2121121174tm "BdLibraryNameMgr"
    2121221175)
    21213 *764 (Text
     21176*763 (Text
    2121421177uid 27121,0
    2121521178va (VaSet
     
    2122121184tm "CptNameMgr"
    2122221185)
    21223 *765 (Text
     21186*764 (Text
    2122421187uid 27122,0
    2122521188va (VaSet
     
    2128021243archFileType "UNKNOWN"
    2128121244)
    21282 *766 (Net
     21245*765 (Net
    2128321246uid 27131,0
    2128421247decl (Decl
     
    2129421257font "Courier New,8,0"
    2129521258)
    21296 xt "-172000,67600,-125500,68400"
     21259xt "-172000,66800,-125500,67600"
    2129721260st "SIGNAL data_valid_ack               : std_logic                                    := '0'"
    2129821261)
    2129921262)
    21300 *767 (Net
     21263*766 (Net
    2130121264uid 27147,0
    2130221265decl (Decl
     
    2131221275font "Courier New,8,0"
    2131321276)
    21314 xt "-172000,70800,-125500,71600"
     21277xt "-172000,70000,-125500,70800"
    2131521278st "SIGNAL dg_start_config              : std_logic                                    := '0'"
    2131621279)
    2131721280)
    21318 *768 (Net
     21281*767 (Net
    2131921282uid 27153,0
    2132021283decl (Decl
     
    2132921292font "Courier New,8,0"
    2133021293)
    21331 xt "-172000,70000,-146500,70800"
     21294xt "-172000,69200,-146500,70000"
    2133221295st "SIGNAL dg_config_done               : std_logic"
    2133321296)
    2133421297)
    21335 *769 (Net
     21298*768 (Net
    2133621299uid 27603,0
    2133721300decl (Decl
     
    2135021313font "Courier New,8,0"
    2135121314)
    21352 xt "-172000,106000,-136500,107600"
     21315xt "-172000,105200,-136500,106800"
    2135321316st "-- EVT HEADER - part 6
    2135421317SIGNAL runnumber                    : std_logic_vector(31 downto 0)"
    2135521318)
    2135621319)
    21357 *770 (Net
     21320*769 (Net
    2135821321uid 28276,0
    2135921322decl (Decl
     
    2137521338)
    2137621339)
    21377 *771 (PortIoOut
     21340*770 (PortIoOut
    2137821341uid 28284,0
    2137921342shape (CompositeShape
     
    2141921382)
    2142021383)
    21421 *772 (MWC
     21384*771 (MWC
    2142221385uid 29010,0
    2142321386optionalChildren [
    21424 *773 (CptPort
     21387*772 (CptPort
    2142521388uid 29019,0
    2142621389optionalChildren [
    21427 *774 (Line
     21390*773 (Line
    2142821391uid 29023,0
    2142921392layer 5
     
    2147421437)
    2147521438)
    21476 *775 (CptPort
     21439*774 (CptPort
    2147721440uid 29024,0
    2147821441optionalChildren [
    21479 *776 (Property
     21442*775 (Property
    2148021443uid 29028,0
    2148121444pclass "_MW_GEOM_"
     
    2148321446ptn "String"
    2148421447)
    21485 *777 (Line
     21448*776 (Line
    2148621449uid 29029,0
    2148721450layer 5
     
    2153521498)
    2153621499)
    21537 *778 (CptPort
     21500*777 (CptPort
    2153821501uid 29030,0
    2153921502optionalChildren [
    21540 *779 (Line
     21503*778 (Line
    2154121504uid 29034,0
    2154221505layer 5
     
    2158821551)
    2158921552)
    21590 *780 (CommentGraphic
     21553*779 (CommentGraphic
    2159121554uid 29035,0
    2159221555shape (Arc2D
     
    2161021573oxt "43000,182000,47000,183997"
    2161121574)
    21612 *781 (CommentGraphic
     21575*780 (CommentGraphic
    2161321576uid 29037,0
    2161421577shape (Arc2D
     
    2163221595oxt "42996,180000,47000,181995"
    2163321596)
    21634 *782 (Grouping
     21597*781 (Grouping
    2163521598uid 29039,0
    2163621599optionalChildren [
    21637 *783 (CommentGraphic
     21600*782 (CommentGraphic
    2163821601uid 29041,0
    2163921602optionalChildren [
    21640 *784 (Property
     21603*783 (Property
    2164121604uid 29043,0
    2164221605pclass "_MW_GEOM_"
     
    2167021633oxt "43000,180002,47000,184000"
    2167121634)
    21672 *785 (CommentGraphic
     21635*784 (CommentGraphic
    2167321636uid 29044,0
    2167421637optionalChildren [
    21675 *786 (Property
     21638*785 (Property
    2167621639uid 29046,0
    2167721640pclass "_MW_GEOM_"
     
    2171721680oxt "43000,180000,47000,184000"
    2171821681)
    21719 *787 (CommentGraphic
     21682*786 (CommentGraphic
    2172021683uid 29047,0
    2172121684shape (PolyLine2D
     
    2173721700oxt "47000,182000,47000,182000"
    2173821701)
    21739 *788 (CommentGraphic
     21702*787 (CommentGraphic
    2174021703uid 29049,0
    2174121704optionalChildren [
    21742 *789 (Property
     21705*788 (Property
    2174321706uid 29051,0
    2174421707pclass "_MW_GEOM_"
     
    2176521728oxt "43000,184000,43000,184000"
    2176621729)
    21767 *790 (CommentGraphic
     21730*789 (CommentGraphic
    2176821731uid 29052,0
    2176921732optionalChildren [
    21770 *791 (Property
     21733*790 (Property
    2177121734uid 29054,0
    2177221735pclass "_MW_GEOM_"
     
    2181321776stg "VerticalLayoutStrategy"
    2181421777textVec [
    21815 *792 (Text
     21778*791 (Text
    2181621779uid 29013,0
    2181721780va (VaSet
     
    2182321786blo "25500,96300"
    2182421787)
    21825 *793 (Text
     21788*792 (Text
    2182621789uid 29014,0
    2182721790va (VaSet
     
    2183221795blo "25500,97300"
    2183321796)
    21834 *794 (Text
     21797*793 (Text
    2183521798uid 29015,0
    2183621799va (VaSet
     
    2187721840)
    2187821841)
    21879 *795 (MWC
     21842*794 (MWC
    2188021843uid 29055,0
    2188121844optionalChildren [
    21882 *796 (CptPort
     21845*795 (CptPort
    2188321846uid 29064,0
    2188421847optionalChildren [
    21885 *797 (Line
     21848*796 (Line
    2188621849uid 29069,0
    2188721850layer 5
     
    2194121904)
    2194221905)
    21943 *798 (CptPort
     21906*797 (CptPort
    2194421907uid 29070,0
    2194521908optionalChildren [
    21946 *799 (Line
     21909*798 (Line
    2194721910uid 29075,0
    2194821911layer 5
     
    2195721920]
    2195821921)
    21959 *800 (Circle
     21922*799 (Circle
    2196021923uid 29076,0
    2196121924va (VaSet
     
    2201521978)
    2201621979)
    22017 *801 (CommentGraphic
     21980*800 (CommentGraphic
    2201821981uid 29077,0
    2201921982shape (CustomPolygon
     
    2205722020stg "VerticalLayoutStrategy"
    2205822021textVec [
    22059 *802 (Text
     22022*801 (Text
    2206022023uid 29058,0
    2206122024va (VaSet
     
    2206722030blo "16350,90900"
    2206822031)
    22069 *803 (Text
     22032*802 (Text
    2207022033uid 29059,0
    2207122034va (VaSet
     
    2207622039blo "16350,91900"
    2207722040)
    22078 *804 (Text
     22041*803 (Text
    2207922042uid 29060,0
    2208022043va (VaSet
     
    2212122084)
    2212222085)
    22123 *805 (Net
     22086*804 (Net
    2212422087uid 29085,0
    2212522088decl (Decl
     
    2213422097font "Courier New,8,0"
    2213522098)
    22136 xt "-172000,78000,-146500,78800"
     22099xt "-172000,77200,-146500,78000"
    2213722100st "SIGNAL dout5                        : std_logic"
    2213822101)
    2213922102)
    22140 *806 (Net
     22103*805 (Net
    2214122104uid 29091,0
    2214222105decl (Decl
     
    2215222115font "Courier New,8,0"
    2215322116)
    22154 xt "-172000,122800,-125500,123600"
     22117xt "-172000,122000,-125500,122800"
    2215522118st "SIGNAL trigger_veto1                : std_logic                                    := '1'"
    2215622119)
    2215722120)
    22158 *807 (PortIoOut
    22159 uid 29796,0
    22160 shape (CompositeShape
    22161 uid 29797,0
    22162 va (VaSet
    22163 vasetType 1
    22164 fg "0,0,32768"
    22165 )
    22166 optionalChildren [
    22167 (Pentagon
    22168 uid 29798,0
    22169 sl 0
    22170 ro 270
    22171 xt "9500,127625,11000,128375"
    22172 )
    22173 (Line
    22174 uid 29799,0
    22175 sl 0
    22176 ro 270
    22177 xt "9000,128000,9500,128000"
    22178 pts [
    22179 "9000,128000"
    22180 "9500,128000"
    22181 ]
    22182 )
    22183 ]
    22184 )
    22185 stc 0
    22186 sf 1
    22187 tg (WTG
    22188 uid 29800,0
    22189 ps "PortIoTextPlaceStrategy"
    22190 stg "STSignalDisplayStrategy"
    22191 f (Text
    22192 uid 29801,0
    22193 va (VaSet
    22194 )
    22195 xt "12000,127500,19100,128500"
    22196 st "spi_debug_16bit"
    22197 blo "12000,128300"
    22198 tm "WireNameMgr"
    22199 )
    22200 )
    22201 )
    22202 *808 (Net
    22203 uid 29802,0
    22204 decl (Decl
    22205 n "spi_debug_16bit"
    22206 t "std_logic_vector"
    22207 b "( 15 DOWNTO 0 )"
    22208 o 146
    22209 suid 430,0
    22210 )
    22211 declText (MLText
    22212 uid 29803,0
    22213 va (VaSet
    22214 font "Courier New,8,0"
    22215 )
    22216 xt "-172000,39200,-139000,40000"
    22217 st "spi_debug_16bit              : std_logic_vector( 15 DOWNTO 0 )"
    22218 )
    22219 )
    22220 *809 (Wire
     22121*806 (Wire
    2222122122uid 322,0
    2222222123shape (OrthoPolyLine
     
    2223422135)
    2223522136start &63
    22236 end &290
     22137end &289
    2223722138ss 0
    2223822139sat 32
     
    2225822159on &2
    2225922160)
    22260 *810 (Wire
     22161*807 (Wire
    2226122162uid 328,0
    2226222163shape (OrthoPolyLine
     
    2227422175)
    2227522176start &25
    22276 end &289
     22177end &288
    2227722178sat 32
    2227822179eat 32
     
    2229722198on &3
    2229822199)
    22299 *811 (Wire
     22200*808 (Wire
    2230022201uid 334,0
    2230122202shape (OrthoPolyLine
     
    2231322214)
    2231422215start &24
    22315 end &288
     22216end &287
    2231622217sat 32
    2231722218eat 32
     
    2233622237on &4
    2233722238)
    22338 *812 (Wire
     22239*809 (Wire
    2233922240uid 364,0
    2234022241shape (OrthoPolyLine
     
    2235322254)
    2235422255start &97
    22355 end &292
     22256end &291
    2235622257sat 32
    2235722258eat 32
     
    2237622277on &5
    2237722278)
    22378 *813 (Wire
     22279*810 (Wire
    2237922280uid 370,0
    2238022281shape (OrthoPolyLine
     
    2239322294)
    2239422295start &96
    22395 end &293
     22296end &292
    2239622297sat 32
    2239722298eat 32
     
    2241622317on &6
    2241722318)
    22418 *814 (Wire
     22319*811 (Wire
    2241922320uid 376,0
    2242022321shape (OrthoPolyLine
     
    2245422355on &7
    2245522356)
    22456 *815 (Wire
     22357*812 (Wire
    2245722358uid 384,0
    2245822359shape (OrthoPolyLine
     
    2249422395on &8
    2249522396)
    22496 *816 (Wire
     22397*813 (Wire
    2249722398uid 392,0
    2249822399shape (OrthoPolyLine
     
    2253422435on &9
    2253522436)
    22536 *817 (Wire
     22437*814 (Wire
    2253722438uid 400,0
    2253822439shape (OrthoPolyLine
     
    2257222473on &10
    2257322474)
    22574 *818 (Wire
     22475*815 (Wire
    2257522476uid 408,0
    2257622477shape (OrthoPolyLine
     
    2261022511on &11
    2261122512)
    22612 *819 (Wire
     22513*816 (Wire
    2261322514uid 424,0
    2261422515shape (OrthoPolyLine
     
    2264822549on &12
    2264922550)
    22650 *820 (Wire
     22551*817 (Wire
    2265122552uid 432,0
    2265222553shape (OrthoPolyLine
     
    2268622587on &13
    2268722588)
    22688 *821 (Wire
     22589*818 (Wire
    2268922590uid 1411,0
    2269022591shape (OrthoPolyLine
     
    2272522626on &82
    2272622627)
    22727 *822 (Wire
     22628*819 (Wire
    2272822629uid 1425,0
    2272922630shape (OrthoPolyLine
     
    2273922640)
    2274022641start &84
    22741 end &344
     22642end &343
    2274222643es 0
    2274322644sat 32
     
    2276422665on &83
    2276522666)
    22766 *823 (Wire
     22667*820 (Wire
    2276722668uid 1682,0
    2276822669shape (OrthoPolyLine
     
    2280322704on &139
    2280422705)
    22805 *824 (Wire
     22706*821 (Wire
    2280622707uid 2299,0
    2280722708shape (OrthoPolyLine
     
    2281722718]
    2281822719)
    22819 start &758
     22720start &757
    2282022721end &26
    2282122722sat 32
     
    2284122742on &148
    2284222743)
    22843 *825 (Wire
     22744*822 (Wire
    2284422745uid 2476,0
    2284522746shape (OrthoPolyLine
     
    2285422755]
    2285522756)
    22856 start &755
     22757start &754
    2285722758end &98
    2285822759sat 32
     
    2287722778on &149
    2287822779)
    22879 *826 (Wire
     22780*823 (Wire
    2288022781uid 2482,0
    2288122782shape (OrthoPolyLine
     
    2289122792]
    2289222793)
    22893 start &753
     22794start &752
    2289422795end &94
    2289522796sat 32
     
    2291522816on &150
    2291622817)
    22917 *827 (Wire
     22818*824 (Wire
    2291822819uid 2488,0
    2291922820shape (OrthoPolyLine
     
    2292922830]
    2293022831)
    22931 start &752
     22832start &751
    2293222833end &95
    2293322834sat 32
     
    2295322854on &151
    2295422855)
    22955 *828 (Wire
     22856*825 (Wire
    2295622857uid 2494,0
    2295722858shape (OrthoPolyLine
     
    2296722868]
    2296822869)
    22969 start &754
     22870start &753
    2297022871end &100
    2297122872sat 32
     
    2299122892on &152
    2299222893)
    22993 *829 (Wire
     22894*826 (Wire
    2299422895uid 2500,0
    2299522896shape (OrthoPolyLine
     
    2300422905]
    2300522906)
    23006 start &757
     22907start &756
    2300722908end &101
    2300822909sat 32
     
    2302722928on &153
    2302822929)
    23029 *830 (Wire
     22930*827 (Wire
    2303022931uid 2506,0
    2303122932shape (OrthoPolyLine
     
    2304022941]
    2304122942)
    23042 start &756
     22943start &755
    2304322944end &102
    2304422945sat 32
     
    2306322964on &154
    2306422965)
    23065 *831 (Wire
     22966*828 (Wire
    2306622967uid 2576,0
    2306722968optionalChildren [
    23068 *832 (BdJunction
     22969*829 (BdJunction
    2306922970uid 29083,0
    2307022971ps "OnConnectorStrategy"
     
    2309122992)
    2309222993start &29
    23093 end &748
     22994end &747
    2309422995sat 32
    2309522996eat 32
     
    2311323014on &155
    2311423015)
    23115 *833 (Wire
     23016*830 (Wire
    2311623017uid 2582,0
    2311723018shape (OrthoPolyLine
     
    2312723028)
    2312823029start &30
    23129 end &746
     23030end &745
    2313023031sat 32
    2313123032eat 32
     
    2314923050on &156
    2315023051)
    23151 *834 (Wire
     23052*831 (Wire
    2315223053uid 2600,0
    2315323054shape (OrthoPolyLine
     
    2316323064)
    2316423065start &31
    23165 end &750
     23066end &749
    2316623067sat 32
    2316723068eat 32
     
    2318523086on &157
    2318623087)
    23187 *835 (Wire
     23088*832 (Wire
    2318823089uid 2642,0
    2318923090shape (OrthoPolyLine
     
    2320023101)
    2320123102start &33
    23202 end &751
     23103end &750
    2320323104sat 32
    2320423105eat 32
     
    2322323124on &158
    2322423125)
    23225 *836 (Wire
     23126*833 (Wire
    2322623127uid 2778,0
    2322723128shape (OrthoPolyLine
     
    2326123162on &159
    2326223163)
    23263 *837 (Wire
     23164*834 (Wire
    2326423165uid 2786,0
    2326523166shape (OrthoPolyLine
     
    2330123202on &181
    2330223203)
    23303 *838 (Wire
     23204*835 (Wire
    2330423205uid 3984,0
    2330523206optionalChildren [
    23306 *839 (BdJunction
     23207*836 (BdJunction
    2330723208uid 19235,0
    2330823209ps "OnConnectorStrategy"
     
    2333023231)
    2333123232start &176
    23332 end &303
     23233end &302
    2333323234sat 32
    2333423235eat 32
     
    2335423255on &175
    2335523256)
    23356 *840 (Wire
     23257*837 (Wire
    2335723258uid 4042,0
    2335823259shape (OrthoPolyLine
     
    2336823269)
    2336923270start &1
    23370 end &302
     23271end &301
    2337123272sat 32
    2337223273eat 32
     
    2339223293on &180
    2339323294)
    23394 *841 (Wire
     23295*838 (Wire
    2339523296uid 4226,0
    2339623297shape (OrthoPolyLine
     
    2340723308)
    2340823309start &179
    23409 end &300
     23310end &299
    2341023311sat 32
    2341123312eat 32
     
    2343123332on &177
    2343223333)
    23433 *842 (Wire
     23334*839 (Wire
    2343423335uid 4240,0
    2343523336shape (OrthoPolyLine
     
    2347023371on &177
    2347123372)
    23472 *843 (Wire
     23373*840 (Wire
    2347323374uid 4272,0
    2347423375shape (OrthoPolyLine
     
    2350823409on &182
    2350923410)
    23510 *844 (Wire
     23411*841 (Wire
    2351123412uid 4401,0
    2351223413shape (OrthoPolyLine
     
    2354623447on &184
    2354723448)
    23548 *845 (Wire
     23449*842 (Wire
    2354923450uid 4407,0
    2355023451shape (OrthoPolyLine
     
    2358423485on &185
    2358523486)
    23586 *846 (Wire
     23487*843 (Wire
    2358723488uid 4419,0
    2358823489shape (OrthoPolyLine
     
    2362323524on &186
    2362423525)
    23625 *847 (Wire
     23526*844 (Wire
    2362623527uid 4537,0
    2362723528shape (OrthoPolyLine
     
    2366323564on &187
    2366423565)
    23665 *848 (Wire
     23566*845 (Wire
    2366623567uid 4545,0
    2366723568shape (OrthoPolyLine
     
    2367623577]
    2367723578)
    23678 start &269
     23579start &268
    2367923580end &190
    2368023581sat 32
     
    2370023601on &188
    2370123602)
    23702 *849 (Wire
     23603*846 (Wire
    2370323604uid 4671,0
    2370423605shape (OrthoPolyLine
     
    2373823639on &191
    2373923640)
    23740 *850 (Wire
     23641*847 (Wire
    2374123642uid 4679,0
    2374223643shape (OrthoPolyLine
     
    2377623677on &192
    2377723678)
    23778 *851 (Wire
     23679*848 (Wire
    2377923680uid 4687,0
    2378023681shape (OrthoPolyLine
     
    2381423715on &193
    2381523716)
    23816 *852 (Wire
     23717*849 (Wire
    2381723718uid 4695,0
    2381823719shape (OrthoPolyLine
     
    2385223753on &194
    2385323754)
    23854 *853 (Wire
     23755*850 (Wire
    2385523756uid 4743,0
    2385623757shape (OrthoPolyLine
     
    2389023791on &199
    2389123792)
    23892 *854 (Wire
     23793*851 (Wire
    2389323794uid 4948,0
    2389423795shape (OrthoPolyLine
     
    2392823829on &220
    2392923830)
    23930 *855 (Wire
     23831*852 (Wire
    2393123832uid 4962,0
    2393223833shape (OrthoPolyLine
     
    2394123842]
    2394223843)
    23943 start &318
     23844start &317
    2394423845end &223
    2394523846sat 32
     
    2396623867on &222
    2396723868)
    23968 *856 (Wire
     23869*853 (Wire
    2396923870uid 5222,0
    2397023871shape (OrthoPolyLine
     
    2400623907on &224
    2400723908)
    24008 *857 (Wire
     23909*854 (Wire
    2400923910uid 5474,0
    2401023911shape (OrthoPolyLine
     
    2404323944on &225
    2404423945)
    24045 *858 (Wire
     23946*855 (Wire
    2404623947uid 5480,0
    2404723948shape (OrthoPolyLine
     
    2408023981on &226
    2408123982)
    24082 *859 (Wire
     23983*856 (Wire
    2408323984uid 5602,0
    2408423985shape (OrthoPolyLine
     
    2411724018on &178
    2411824019)
    24119 *860 (Wire
     24020*857 (Wire
    2412024021uid 5626,0
    2412124022shape (OrthoPolyLine
     
    2415324054on &228
    2415424055)
    24155 *861 (Wire
     24056*858 (Wire
    2415624057uid 5634,0
    2415724058shape (OrthoPolyLine
     
    2419124092on &227
    2419224093)
    24193 *862 (Wire
     24094*859 (Wire
    2419424095uid 5646,0
    2419524096shape (OrthoPolyLine
     
    2422724128on &175
    2422824129)
    24229 *863 (Wire
     24130*860 (Wire
    2423024131uid 5805,0
    2423124132shape (OrthoPolyLine
     
    2426124162on &177
    2426224163)
    24263 *864 (Wire
     24164*861 (Wire
    2426424165uid 5813,0
    2426524166shape (OrthoPolyLine
     
    2427524176)
    2427624177start &239
    24277 end &260
     24178end &259
    2427824179ss 0
    2427924180sat 32
     
    2429824199)
    2429924200)
    24300 on &256
    24301 )
    24302 *865 (Wire
     24201on &255
     24202)
     24203*862 (Wire
    2430324204uid 5821,0
    2430424205shape (OrthoPolyLine
     
    2431424215)
    2431524216start &249
    24316 end &261
     24217end &260
    2431724218sat 32
    2431824219eat 32
     
    2433624237)
    2433724238)
    24338 on &257
    24339 )
    24340 *866 (Wire
     24239on &256
     24240)
     24241*863 (Wire
    2434124242uid 5829,0
    2434224243shape (OrthoPolyLine
     
    2435224253)
    2435324254start &245
    24354 end &262
     24255end &261
    2435524256sat 32
    2435624257eat 32
     
    2437424275)
    2437524276)
    24376 on &258
    24377 )
    24378 *867 (Wire
     24277on &257
     24278)
     24279*864 (Wire
    2437924280uid 5837,0
    2438024281shape (OrthoPolyLine
     
    2439124292)
    2439224293start &246
    24393 end &263
     24294end &262
    2439424295sat 32
    2439524296eat 32
     
    2441424315)
    2441524316)
    24416 on &259
    24417 )
    24418 *868 (Wire
     24317on &258
     24318)
     24319*865 (Wire
    2441924320uid 6064,0
    2442024321shape (OrthoPolyLine
     
    2444924350)
    2445024351)
    24451 on &569
    24452 )
    24453 *869 (Wire
     24352on &568
     24353)
     24354*866 (Wire
    2445424355uid 6072,0
    2445524356shape (OrthoPolyLine
     
    2446524366]
    2446624367)
    24467 start &301
     24368start &300
    2446824369sat 32
    2446924370eat 16
     
    2448824389on &178
    2448924390)
    24490 *870 (Wire
     24391*867 (Wire
    2449124392uid 6160,0
    2449224393shape (OrthoPolyLine
     
    2450224403)
    2450324404start &248
    24504 end &265
     24405end &264
    2450524406sat 32
    2450624407eat 32
     
    2452424425)
    2452524426)
    24526 on &264
    24527 )
    24528 *871 (Wire
     24427on &263
     24428)
     24429*868 (Wire
    2452924430uid 6276,0
    2453024431shape (OrthoPolyLine
     
    2456024461on &175
    2456124462)
    24562 *872 (Wire
     24463*869 (Wire
    2456324464uid 6362,0
    2456424465shape (OrthoPolyLine
     
    2457424475]
    2457524476)
    24576 start &684
    24577 end &267
     24477start &683
     24478end &266
    2457824479sat 32
    2457924480eat 32
     
    2459824499)
    2459924500)
    24600 on &266
    24601 )
    24602 *873 (Wire
     24501on &265
     24502)
     24503*870 (Wire
    2460324504uid 6452,0
    2460424505shape (OrthoPolyLine
     
    2463424535)
    2463524536)
    24636 on &650
    24637 )
    24638 *874 (Wire
     24537on &649
     24538)
     24539*871 (Wire
    2463924540uid 6540,0
    2464024541shape (OrthoPolyLine
     
    2464924550]
    2465024551)
    24651 start &272
    24652 end &411
     24552start &271
     24553end &410
    2465324554sat 32
    2465424555eat 32
     
    2467124572)
    2467224573)
    24673 on &671
    24674 )
    24675 *875 (Wire
     24574on &670
     24575)
     24576*872 (Wire
    2467624577uid 6548,0
    2467724578shape (OrthoPolyLine
     
    2469024591]
    2469124592)
    24692 start &653
    24693 end &274
     24593start &652
     24594end &273
    2469424595ss 0
    2469524596es 0
     
    2471424615)
    2471524616)
    24716 on &651
    24717 )
    24718 *876 (Wire
     24617on &650
     24618)
     24619*873 (Wire
    2471924620uid 8752,0
    2472024621shape (OrthoPolyLine
     
    2474924650)
    2475024651)
    24751 on &297
    24752 )
    24753 *877 (Wire
     24652on &296
     24653)
     24654*874 (Wire
    2475424655uid 9006,0
    2475524656shape (OrthoPolyLine
     
    2478524686)
    2478624687)
    24787 on &298
    24788 )
    24789 *878 (Wire
     24688on &297
     24689)
     24690*875 (Wire
    2479024691uid 9233,0
    2479124692shape (OrthoPolyLine
     
    2482024721)
    2482124722)
    24822 on &313
    24823 )
    24824 *879 (Wire
     24723on &312
     24724)
     24725*876 (Wire
    2482524726uid 9241,0
    2482624727shape (OrthoPolyLine
     
    2485524756)
    2485624757)
    24857 on &314
    24858 )
    24859 *880 (Wire
     24758on &313
     24759)
     24760*877 (Wire
    2486024761uid 9253,0
    2486124762shape (OrthoPolyLine
     
    2487024771]
    2487124772)
    24872 end &304
     24773end &303
    2487324774sat 16
    2487424775eat 32
     
    2488924790)
    2489024791)
    24891 on &313
    24892 )
    24893 *881 (Wire
     24792on &312
     24793)
     24794*878 (Wire
    2489424795uid 9261,0
    2489524796shape (OrthoPolyLine
     
    2490424805]
    2490524806)
    24906 end &305
     24807end &304
    2490724808sat 16
    2490824809eat 32
     
    2492324824)
    2492424825)
    24925 on &314
    24926 )
    24927 *882 (Wire
     24826on &313
     24827)
     24828*879 (Wire
    2492824829uid 9943,0
    2492924830shape (OrthoPolyLine
     
    2495824859)
    2495924860)
    24960 on &315
    24961 )
    24962 *883 (Wire
     24861on &314
     24862)
     24863*880 (Wire
    2496324864uid 9951,0
    2496424865shape (OrthoPolyLine
     
    2499324894)
    2499424895)
    24995 on &316
    24996 )
    24997 *884 (Wire
     24896on &315
     24897)
     24898*881 (Wire
    2499824899uid 10010,0
    2499924900shape (OrthoPolyLine
     
    2501124912)
    2501224913start &211
    25013 end &321
     24914end &320
    2501424915sat 32
    2501524916eat 32
     
    2503124932)
    2503224933)
    25033 on &335
    25034 )
    25035 *885 (Wire
     24934on &334
     24935)
     24936*882 (Wire
    2503624937uid 10018,0
    2503724938shape (OrthoPolyLine
     
    2504624947]
    2504724948)
    25048 end &323
     24949end &322
    2504924950sat 16
    2505024951eat 32
     
    2506724968)
    2506824969)
    25069 on &316
    25070 )
    25071 *886 (Wire
     24970on &315
     24971)
     24972*883 (Wire
    2507224973uid 10036,0
    2507324974shape (OrthoPolyLine
     
    2508224983]
    2508324984)
    25084 end &306
     24985end &305
    2508524986sat 16
    2508624987eat 32
     
    2510125002)
    2510225003)
    25103 on &315
    25104 )
    25105 *887 (Wire
     25004on &314
     25005)
     25006*884 (Wire
    2510625007uid 10266,0
    2510725008shape (OrthoPolyLine
     
    2513625037)
    2513725038)
    25138 on &336
    25139 )
    25140 *888 (Wire
     25039on &335
     25040)
     25041*885 (Wire
    2514125042uid 10298,0
    2514225043shape (OrthoPolyLine
     
    2517225073)
    2517325074)
    25174 on &337
    25175 )
    25176 *889 (Wire
     25075on &336
     25076)
     25077*886 (Wire
    2517725078uid 10304,0
    2517825079shape (OrthoPolyLine
     
    2520825109)
    2520925110)
    25210 on &338
    25211 )
    25212 *890 (Wire
     25111on &337
     25112)
     25113*887 (Wire
    2521325114uid 10310,0
    2521425115shape (OrthoPolyLine
     
    2524425145)
    2524525146)
    25246 on &339
    25247 )
    25248 *891 (Wire
     25147on &338
     25148)
     25149*888 (Wire
    2524925150uid 10316,0
    2525025151shape (OrthoPolyLine
     
    2528225183)
    2528325184)
    25284 on &340
    25285 )
    25286 *892 (Wire
     25185on &339
     25186)
     25187*889 (Wire
    2528725188uid 10322,0
    2528825189shape (OrthoPolyLine
     
    2529825199)
    2529925200start &213
    25300 end &342
     25201end &341
    2530125202sat 32
    2530225203eat 32
     
    2532025221)
    2532125222)
    25322 on &341
    25323 )
    25324 *893 (Wire
     25223on &340
     25224)
     25225*890 (Wire
    2532525226uid 10431,0
    2532625227shape (OrthoPolyLine
     
    2533525236]
    2533625237)
    25337 end &349
     25238end &348
    2533825239es 0
    2533925240sat 16
     
    2535725258)
    2535825259)
    25359 on &573
    25360 )
    25361 *894 (Wire
     25260on &572
     25261)
     25262*891 (Wire
    2536225263uid 10629,0
    2536325264shape (OrthoPolyLine
     
    2539225293)
    2539325294)
    25394 on &366
    25395 )
    25396 *895 (Wire
     25295on &365
     25296)
     25297*892 (Wire
    2539725298uid 10637,0
    2539825299shape (OrthoPolyLine
     
    2542725328)
    2542825329)
    25429 on &367
    25430 )
    25431 *896 (Wire
     25330on &366
     25331)
     25332*893 (Wire
    2543225333uid 10685,0
    2543325334shape (OrthoPolyLine
     
    2544225343]
    2544325344)
    25444 end &380
     25345end &379
    2544525346sat 16
    2544625347eat 32
     
    2546225363)
    2546325364)
    25464 on &367
    25465 )
    25466 *897 (Wire
     25365on &366
     25366)
     25367*894 (Wire
    2546725368uid 10691,0
    2546825369shape (OrthoPolyLine
     
    2547725378]
    2547825379)
    25479 end &381
     25380end &380
    2548025381sat 16
    2548125382eat 32
     
    2549725398)
    2549825399)
    25499 on &366
    25500 )
    25501 *898 (Wire
     25400on &365
     25401)
     25402*895 (Wire
    2550225403uid 10699,0
    2550325404shape (OrthoPolyLine
     
    2551325414]
    2551425415)
    25515 end &375
     25416end &374
    2551625417sat 16
    2551725418eat 32
     
    2553525436on &177
    2553625437)
    25537 *899 (Wire
     25438*896 (Wire
    2553825439uid 10707,0
    2553925440shape (OrthoPolyLine
     
    2554825449]
    2554925450)
    25550 end &379
     25451end &378
    2555125452sat 16
    2555225453eat 32
     
    2556825469)
    2556925470)
    25570 on &388
    25571 )
    25572 *900 (Wire
     25471on &387
     25472)
     25473*897 (Wire
    2557325474uid 10723,0
    2557425475shape (OrthoPolyLine
     
    2558325484]
    2558425485)
    25585 start &376
    25586 end &369
     25486start &375
     25487end &368
    2558725488sat 32
    2558825489eat 32
     
    2560625507)
    2560725508)
    25608 on &368
    25609 )
    25610 *901 (Wire
     25509on &367
     25510)
     25511*898 (Wire
    2561125512uid 10737,0
    2561225513shape (OrthoPolyLine
     
    2562125522]
    2562225523)
    25623 start &377
    25624 end &371
     25524start &376
     25525end &370
    2562525526sat 32
    2562625527eat 32
     
    2564425545)
    2564525546)
    25646 on &370
    25647 )
    25648 *902 (Wire
     25547on &369
     25548)
     25549*899 (Wire
    2564925550uid 10751,0
    2565025551shape (OrthoPolyLine
     
    2565925560]
    2566025561)
    25661 start &378
    25662 end &373
     25562start &377
     25563end &372
    2566325564sat 32
    2566425565eat 32
     
    2568225583)
    2568325584)
    25684 on &372
    25685 )
    25686 *903 (Wire
     25585on &371
     25586)
     25587*900 (Wire
    2568725588uid 11405,0
    2568825589shape (OrthoPolyLine
     
    2571825619)
    2571925620)
    25720 on &388
    25721 )
    25722 *904 (Wire
     25621on &387
     25622)
     25623*901 (Wire
    2572325624uid 11858,0
    2572425625shape (OrthoPolyLine
     
    2575325654)
    2575425655)
    25755 on &389
    25756 )
    25757 *905 (Wire
     25656on &388
     25657)
     25658*902 (Wire
    2575825659uid 11952,0
    2575925660shape (OrthoPolyLine
     
    2576825669]
    2576925670)
    25770 end &396
     25671end &395
    2577125672sat 16
    2577225673eat 32
     
    2578925690)
    2579025691)
    25791 on &389
    25792 )
    25793 *906 (Wire
     25692on &388
     25693)
     25694*903 (Wire
    2579425695uid 12306,0
    2579525696shape (OrthoPolyLine
     
    2580425705]
    2580525706)
    25806 start &346
    25807 end &394
     25707start &345
     25708end &393
    2580825709sat 32
    2580925710eat 32
     
    2582625727)
    2582725728)
    25828 on &570
    25829 )
    25830 *907 (Wire
     25729on &569
     25730)
     25731*904 (Wire
    2583125732uid 12643,0
    2583225733shape (OrthoPolyLine
     
    2584325744]
    2584425745)
    25845 start &391
    25846 end &409
     25746start &390
     25747end &408
    2584725748sat 32
    2584825749eat 32
     
    2586525766)
    2586625767)
    25867 on &571
    25868 )
    25869 *908 (Wire
     25768on &570
     25769)
     25770*905 (Wire
    2587025771uid 12649,0
    2587125772shape (OrthoPolyLine
     
    2588125782)
    2588225783start &49
    25883 end &412
     25784end &411
    2588425785sat 32
    2588525786eat 32
     
    2590125802)
    2590225803)
    25903 on &418
    25904 )
    25905 *909 (Wire
     25804on &417
     25805)
     25806*906 (Wire
    2590625807uid 12655,0
    2590725808shape (OrthoPolyLine
     
    2591825819)
    2591925820start &50
    25920 end &413
     25821end &412
    2592125822sat 32
    2592225823eat 32
     
    2593825839)
    2593925840)
    25940 on &419
    25941 )
    25942 *910 (Wire
     25841on &418
     25842)
     25843*907 (Wire
    2594325844uid 12687,0
    2594425845shape (OrthoPolyLine
     
    2595625857]
    2595725858)
    25958 end &414
     25859end &413
    2595925860sat 16
    2596025861eat 32
     
    2597825879on &178
    2597925880)
    25980 *911 (Wire
     25881*908 (Wire
    2598125882uid 13143,0
    2598225883shape (OrthoPolyLine
     
    2599125892]
    2599225893)
    25993 end &421
     25894end &420
    2599425895sat 16
    2599525896eat 32
     
    2601325914on &178
    2601425915)
    26015 *912 (Wire
     25916*909 (Wire
    2601625917uid 13159,0
    2601725918shape (OrthoPolyLine
     
    2602925930)
    2603025931start &116
    26031 end &422
     25932end &421
    2603225933sat 32
    2603325934eat 32
     
    2604925950)
    2605025951)
    26051 on &428
    26052 )
    26053 *913 (Wire
     25952on &427
     25953)
     25954*910 (Wire
    2605425955uid 13210,0
    2605525956shape (OrthoPolyLine
     
    2606425965]
    2606525966)
    26066 start &424
    26067 end &430
     25967start &423
     25968end &429
    2606825969sat 32
    2606925970eat 32
     
    2608525986)
    2608625987)
    26087 on &572
    26088 )
    26089 *914 (Wire
     25988on &571
     25989)
     25990*911 (Wire
    2609025991uid 13216,0
    2609125992shape (OrthoPolyLine
     
    2610026001]
    2610126002)
    26102 end &435
     26003end &434
    2610326004sat 16
    2610426005eat 32
     
    2612126022)
    2612226023)
    26123 on &336
    26124 )
    26125 *915 (Wire
     26024on &335
     26025)
     26026*912 (Wire
    2612626027uid 13224,0
    2612726028shape (OrthoPolyLine
     
    2613626037]
    2613726038)
    26138 start &432
     26039start &431
    2613926040sat 32
    2614026041eat 16
     
    2615726058)
    2615826059)
    26159 on &573
    26160 )
    26161 *916 (Wire
     26060on &572
     26061)
     26062*913 (Wire
    2616226063uid 13695,0
    2616326064shape (OrthoPolyLine
     
    2617326074]
    2617426075)
    26175 start &452
     26076start &451
    2617626077end &118
    2617726078sat 32
     
    2619626097)
    2619726098)
    26198 on &453
    26199 )
    26200 *917 (Wire
     26099on &452
     26100)
     26101*914 (Wire
    2620126102uid 13921,0
    2620226103shape (OrthoPolyLine
     
    2623526136on &82
    2623626137)
    26237 *918 (Wire
     26138*915 (Wire
    2623826139uid 13929,0
    2623926140shape (OrthoPolyLine
     
    2627226173on &139
    2627326174)
    26274 *919 (Wire
     26175*916 (Wire
    2627526176uid 14048,0
    2627626177shape (OrthoPolyLine
     
    2628526186]
    2628626187)
    26287 start &454
    26288 end &460
     26188start &453
     26189end &459
    2628926190sat 32
    2629026191eat 32
     
    2630726208)
    2630826209)
    26309 on &455
    26310 )
    26311 *920 (Wire
     26210on &454
     26211)
     26212*917 (Wire
    2631226213uid 14171,0
    2631326214shape (OrthoPolyLine
     
    2632326224]
    2632426225)
    26325 start &456
    26326 end &581
     26226start &455
     26227end &580
    2632726228sat 32
    2632826229eat 32
     
    2634626247)
    2634726248)
    26348 on &457
    26349 )
    26350 *921 (Wire
     26249on &456
     26250)
     26251*918 (Wire
    2635126252uid 14427,0
    2635226253shape (OrthoPolyLine
     
    2636126262]
    2636226263)
    26363 end &459
     26264end &458
    2636426265sat 16
    2636526266eat 32
     
    2638326284on &177
    2638426285)
    26385 *922 (Wire
     26286*919 (Wire
    2638626287uid 14479,0
    2638726288shape (OrthoPolyLine
     
    2639626297]
    2639726298)
    26398 start &462
    26399 end &468
     26299start &461
     26300end &467
    2640026301sat 32
    2640126302eat 32
     
    2641926320)
    2642026321)
    26421 on &467
    26422 )
    26423 *923 (Wire
     26322on &466
     26323)
     26324*920 (Wire
    2642426325uid 14493,0
    2642526326shape (OrthoPolyLine
     
    2643426335]
    2643526336)
    26436 start &463
    26437 end &470
     26337start &462
     26338end &469
    2643826339sat 32
    2643926340eat 32
     
    2645726358)
    2645826359)
    26459 on &469
    26460 )
    26461 *924 (Wire
     26360on &468
     26361)
     26362*921 (Wire
    2646226363uid 14622,0
    2646326364shape (OrthoPolyLine
     
    2647326374]
    2647426375)
    26475 start &461
    26476 end &472
     26376start &460
     26377end &471
    2647726378sat 32
    2647826379eat 32
     
    2649726398)
    2649826399)
    26499 on &471
    26500 )
    26501 *925 (Wire
     26400on &470
     26401)
     26402*922 (Wire
    2650226403uid 15071,0
    2650326404shape (OrthoPolyLine
     
    2651326414)
    2651426415start &105
    26515 end &477
     26416end &476
    2651626417sat 32
    2651726418eat 32
     
    2653326434)
    2653426435)
    26535 on &501
    26536 )
    26537 *926 (Wire
     26436on &500
     26437)
     26438*923 (Wire
    2653826439uid 15081,0
    2653926440shape (OrthoPolyLine
     
    2654826449]
    2654926450)
    26550 start &479
    26551 end &494
     26451start &478
     26452end &493
    2655226453sat 32
    2655326454eat 32
     
    2657126472)
    2657226473)
    26573 on &502
    26574 )
    26575 *927 (Wire
     26474on &501
     26475)
     26476*924 (Wire
    2657626477uid 15130,0
    2657726478shape (OrthoPolyLine
     
    2658626487]
    2658726488)
    26588 end &492
     26489end &491
    2658926490es 0
    2659026491sat 16
     
    2660826509)
    2660926510)
    26610 on &469
    26611 )
    26612 *928 (Wire
     26511on &468
     26512)
     26513*925 (Wire
    2661326514uid 15379,0
    2661426515shape (OrthoPolyLine
     
    2662326524]
    2662426525)
    26625 end &744
     26526end &743
    2662626527sat 16
    2662726528eat 32
     
    2664526546on &178
    2664626547)
    26647 *929 (Wire
     26548*926 (Wire
    2664826549uid 15494,0
    2664926550optionalChildren [
    26650 *930 (BdJunction
     26551*927 (BdJunction
    2665126552uid 15502,0
    2665226553ps "OnConnectorStrategy"
     
    2667226573]
    2667326574)
    26674 start &410
     26575start &409
    2667526576end &75
    2667626577sat 32
     
    2669326594)
    2669426595)
    26695 on &503
    26696 )
    26697 *931 (Wire
     26596on &502
     26597)
     26598*928 (Wire
    2669826599uid 15498,0
    2669926600shape (OrthoPolyLine
     
    2671026611)
    2671126612start &142
    26712 end &930
     26613end &927
    2671326614sat 32
    2671426615eat 32
     
    2673226633)
    2673326634)
    26734 on &503
    26735 )
    26736 *932 (Wire
     26635on &502
     26636)
     26637*929 (Wire
    2673726638uid 15750,0
    2673826639shape (OrthoPolyLine
     
    2677126672)
    2677226673)
    26773 on &504
    26774 )
    26775 *933 (Wire
     26674on &503
     26675)
     26676*930 (Wire
    2677626677uid 16371,0
    2677726678shape (OrthoPolyLine
     
    2680826709)
    2680926710)
    26810 on &505
    26811 )
    26812 *934 (Wire
     26711on &504
     26712)
     26713*931 (Wire
    2681326714uid 16379,0
    2681426715shape (OrthoPolyLine
     
    2682426725]
    2682526726)
    26826 start &307
     26727start &306
    2682726728sat 32
    2682826729eat 16
     
    2684526746)
    2684626747)
    26847 on &505
    26848 )
    26849 *935 (Wire
     26748on &504
     26749)
     26750*932 (Wire
    2685026751uid 16523,0
    2685126752shape (OrthoPolyLine
     
    2688226783)
    2688326784)
    26884 on &471
    26885 )
    26886 *936 (Wire
     26785on &470
     26786)
     26787*933 (Wire
    2688726788uid 16531,0
    2688826789shape (OrthoPolyLine
     
    2691726818)
    2691826819)
    26919 on &467
    26920 )
    26921 *937 (Wire
     26820on &466
     26821)
     26822*934 (Wire
    2692226823uid 16539,0
    2692326824shape (OrthoPolyLine
     
    2695226853)
    2695326854)
    26954 on &469
    26955 )
    26956 *938 (Wire
     26855on &468
     26856)
     26857*935 (Wire
    2695726858uid 16547,0
    2695826859shape (OrthoPolyLine
     
    2696826869]
    2696926870)
    26970 start &508
     26871start &507
    2697126872end &54
    2697226873sat 32
     
    2699026891)
    2699126892)
    26992 on &513
    26993 )
    26994 *939 (Wire
     26893on &512
     26894)
     26895*936 (Wire
    2699526896uid 16556,0
    2699626897shape (OrthoPolyLine
     
    2700526906]
    2700626907)
    27007 end &507
     26908end &506
    2700826909sat 16
    2700926910eat 32
     
    2702626927on &178
    2702726928)
    27028 *940 (Wire
     26929*937 (Wire
    2702926930uid 16564,0
    2703026931shape (OrthoPolyLine
     
    2703926940]
    2704026941)
    27041 start &509
     26942start &508
    2704226943sat 32
    2704326944eat 16
     
    2705926960)
    2706026961)
    27061 on &514
    27062 )
    27063 *941 (Wire
     26962on &513
     26963)
     26964*938 (Wire
    2706426965uid 16877,0
    2706526966shape (OrthoPolyLine
     
    2707426975]
    2707526976)
    27076 end &516
     26977end &515
    2707726978sat 16
    2707826979eat 32
     
    2709626997on &177
    2709726998)
    27098 *942 (Wire
     26999*939 (Wire
    2709927000uid 16885,0
    2710027001shape (OrthoPolyLine
     
    2710927010]
    2711027011)
    27111 end &518
     27012end &517
    2711227013sat 16
    2711327014eat 32
     
    2712927030)
    2713027031)
    27131 on &503
    27132 )
    27133 *943 (Wire
     27032on &502
     27033)
     27034*940 (Wire
    2713427035uid 16914,0
    2713527036shape (OrthoPolyLine
     
    2714427045]
    2714527046)
    27146 start &521
    27147 end &526
     27047start &520
     27048end &525
    2714827049sat 32
    2714927050eat 32
     
    2716527066)
    2716627067)
    27167 on &534
    27168 )
    27169 *944 (Wire
     27068on &533
     27069)
     27070*941 (Wire
    2717027071uid 16939,0
    2717127072shape (OrthoPolyLine
     
    2718027081]
    2718127082)
    27182 start &520
    27183 end &536
     27083start &519
     27084end &535
    2718427085sat 32
    2718527086eat 32
     
    2720127102)
    2720227103)
    27203 on &543
    27204 )
    27205 *945 (Wire
     27104on &542
     27105)
     27106*942 (Wire
    2720627107uid 16945,0
    2720727108shape (OrthoPolyLine
     
    2721727118]
    2721827119)
    27219 start &517
     27120start &516
    2722027121sat 32
    2722127122eat 16
     
    2723827139)
    2723927140)
    27240 on &544
    27241 )
    27242 *946 (Wire
     27141on &543
     27142)
     27143*943 (Wire
    2724327144uid 16955,0
    2724427145shape (OrthoPolyLine
     
    2727527176)
    2727627177)
    27277 on &544
    27278 )
    27279 *947 (Wire
     27178on &543
     27179)
     27180*944 (Wire
    2728027181uid 17003,0
    2728127182shape (OrthoPolyLine
     
    2729127192]
    2729227193)
    27293 start &706
     27194start &705
    2729427195ss 0
    2729527196sat 32
     
    2731327214)
    2731427215)
    27315 on &545
    27316 )
    27317 *948 (Wire
     27216on &544
     27217)
     27218*945 (Wire
    2731827219uid 17011,0
    2731927220shape (OrthoPolyLine
     
    2735027251)
    2735127252)
    27352 on &545
    27353 )
    27354 *949 (Wire
     27253on &544
     27254)
     27255*946 (Wire
    2735527256uid 17019,0
    2735627257shape (OrthoPolyLine
     
    2736527266]
    2736627267)
    27367 start &707
     27268start &706
    2736827269sat 32
    2736927270eat 16
     
    2738527286)
    2738627287)
    27387 on &546
    27388 )
    27389 *950 (Wire
     27288on &545
     27289)
     27290*947 (Wire
    2739027291uid 17027,0
    2739127292shape (OrthoPolyLine
     
    2742027321)
    2742127322)
    27422 on &546
    27423 )
    27424 *951 (Wire
     27323on &545
     27324)
     27325*948 (Wire
    2742527326uid 17393,0
    2742627327shape (OrthoPolyLine
     
    2743927340)
    2744027341start &117
    27441 end &423
     27342end &422
    2744227343sat 32
    2744327344eat 32
     
    2746027361)
    2746127362)
    27462 on &547
    27463 )
    27464 *952 (Wire
     27363on &546
     27364)
     27365*949 (Wire
    2746527366uid 17401,0
    2746627367shape (OrthoPolyLine
     
    2749727398)
    2749827399)
    27499 on &547
    27500 )
    27501 *953 (Wire
     27400on &546
     27401)
     27402*950 (Wire
    2750227403uid 18081,0
    2750327404shape (OrthoPolyLine
     
    2753427435on &178
    2753527436)
    27536 *954 (Wire
     27437*951 (Wire
    2753727438uid 18093,0
    2753827439shape (OrthoPolyLine
     
    2754727448]
    2754827449)
    27549 end &291
     27450end &290
    2755027451sat 16
    2755127452eat 32
     
    2756827469on &177
    2756927470)
    27570 *955 (Wire
     27471*952 (Wire
    2757127472uid 18101,0
    2757227473shape (OrthoPolyLine
     
    2758127482]
    2758227483)
    27583 end &287
     27484end &286
    2758427485sat 16
    2758527486eat 32
     
    2760227503on &178
    2760327504)
    27604 *956 (Wire
     27505*953 (Wire
    2760527506uid 18459,0
    2760627507shape (OrthoPolyLine
     
    2761527516]
    2761627517)
    27617 start &759
     27518start &758
    2761827519end &127
    2761927520es 0
     
    2763727538)
    2763827539)
    27639 on &548
    27640 )
    27641 *957 (Wire
     27540on &547
     27541)
     27542*954 (Wire
    2764227543uid 18974,0
    2764327544shape (OrthoPolyLine
     
    2765227553]
    2765327554)
    27654 start &552
    27655 end &549
     27555start &551
     27556end &548
    2765627557ss 0
    2765727558sat 32
     
    2767527576)
    2767627577)
    27677 on &550
    27678 )
    27679 *958 (Wire
     27578on &549
     27579)
     27580*955 (Wire
    2768027581uid 19231,0
    2768127582shape (OrthoPolyLine
     
    2769127592]
    2769227593)
    27693 start &557
    27694 end &839
     27594start &556
     27595end &836
    2769527596ss 0
    2769627597sat 32
     
    2771627617on &175
    2771727618)
    27718 *959 (Wire
     27619*956 (Wire
    2771927620uid 19276,0
    2772027621shape (OrthoPolyLine
     
    2772927630]
    2773027631)
    27731 end &555
     27632end &554
    2773227633sat 16
    2773327634eat 32
     
    2775027651)
    2775127652)
    27752 on &298
    27753 )
    27754 *960 (Wire
     27653on &297
     27654)
     27655*957 (Wire
    2775527656uid 20153,0
    2775627657shape (OrthoPolyLine
     
    2778527686)
    2778627687)
    27787 on &569
    27788 )
    27789 *961 (Wire
     27688on &568
     27689)
     27690*958 (Wire
    2779027691uid 20923,0
    2779127692shape (OrthoPolyLine
     
    2782027721)
    2782127722)
    27822 on &574
    27823 )
    27824 *962 (Wire
     27723on &573
     27724)
     27725*959 (Wire
    2782527726uid 20931,0
    2782627727shape (OrthoPolyLine
     
    2785527756)
    2785627757)
    27857 on &574
    27858 )
    27859 *963 (Wire
     27758on &573
     27759)
     27760*960 (Wire
    2786027761uid 20939,0
    2786127762shape (OrthoPolyLine
     
    2789027791)
    2789127792)
    27892 on &575
    27893 )
    27894 *964 (Wire
     27793on &574
     27794)
     27795*961 (Wire
    2789527796uid 20945,0
    2789627797shape (OrthoPolyLine
     
    2790527806]
    2790627807)
    27907 end &747
     27808end &746
    2790827809sat 16
    2790927810eat 32
     
    2792527826)
    2792627827)
    27927 on &575
    27928 )
    27929 *965 (Wire
     27828on &574
     27829)
     27830*962 (Wire
    2793027831uid 20953,0
    2793127832shape (OrthoPolyLine
     
    2796027861)
    2796127862)
    27962 on &575
    27963 )
    27964 *966 (Wire
     27863on &574
     27864)
     27865*963 (Wire
    2796527866uid 20987,0
    2796627867shape (OrthoPolyLine
     
    2799527896)
    2799627897)
    27997 on &576
    27998 )
    27999 *967 (Wire
     27898on &575
     27899)
     27900*964 (Wire
    2800027901uid 21003,0
    2800127902shape (OrthoPolyLine
     
    2803027931)
    2803127932)
    28032 on &577
    28033 )
    28034 *968 (Wire
     27933on &576
     27934)
     27935*965 (Wire
    2803527936uid 21011,0
    2803627937shape (OrthoPolyLine
     
    2806527966)
    2806627967)
    28067 on &578
    28068 )
    28069 *969 (Wire
     27968on &577
     27969)
     27970*966 (Wire
    2807027971uid 21027,0
    2807127972shape (OrthoPolyLine
     
    2810028001)
    2810128002)
    28102 on &579
    28103 )
    28104 *970 (Wire
     28003on &578
     28004)
     28005*967 (Wire
    2810528006uid 21049,0
    2810628007shape (OrthoPolyLine
     
    2813528036)
    2813628037)
    28137 on &578
    28138 )
    28139 *971 (Wire
     28038on &577
     28039)
     28040*968 (Wire
    2814028041uid 21061,0
    2814128042shape (OrthoPolyLine
     
    2817028071)
    2817128072)
    28172 on &579
    28173 )
    28174 *972 (Wire
     28073on &578
     28074)
     28075*969 (Wire
    2817528076uid 21067,0
    2817628077shape (OrthoPolyLine
     
    2818528086]
    2818628087)
    28187 start &745
     28088start &744
    2818828089sat 32
    2818928090eat 16
     
    2820528106)
    2820628107)
    28207 on &576
    28208 )
    28209 *973 (Wire
     28108on &575
     28109)
     28110*970 (Wire
    2821028111uid 21083,0
    2821128112shape (OrthoPolyLine
     
    2822028121]
    2822128122)
    28222 end &749
     28123end &748
    2822328124sat 16
    2822428125eat 32
     
    2824028141)
    2824128142)
    28242 on &577
    28243 )
    28244 *974 (Wire
     28143on &576
     28144)
     28145*971 (Wire
    2824528146uid 21559,0
    2824628147shape (OrthoPolyLine
     
    2827628177)
    2827728178)
    28278 on &297
    28279 )
    28280 *975 (Wire
     28179on &296
     28180)
     28181*972 (Wire
    2828128182uid 21768,0
    2828228183shape (OrthoPolyLine
     
    2831328214)
    2831428215)
    28315 on &457
    28316 )
    28317 *976 (Wire
     28216on &456
     28217)
     28218*973 (Wire
    2831828219uid 21917,0
    2831928220shape (OrthoPolyLine
     
    2832828229]
    2832928230)
    28330 start &592
    28331 end &610
     28231start &591
     28232end &609
    2833228233sat 32
    2833328234eat 32
     
    2835128252)
    2835228253)
    28353 on &621
    28354 )
    28355 *977 (Wire
     28254on &620
     28255)
     28256*974 (Wire
    2835628257uid 21923,0
    2835728258shape (OrthoPolyLine
     
    2836628267]
    2836728268)
    28368 start &593
    28369 end &612
     28269start &592
     28270end &611
    2837028271sat 32
    2837128272eat 32
     
    2838928290)
    2839028291)
    28391 on &622
    28392 )
    28393 *978 (Wire
     28292on &621
     28293)
     28294*975 (Wire
    2839428295uid 21929,0
    2839528296shape (OrthoPolyLine
     
    2840428305]
    2840528306)
    28406 start &594
    28407 end &614
     28307start &593
     28308end &613
    2840828309sat 32
    2840928310eat 32
     
    2842728328)
    2842828329)
    28429 on &623
    28430 )
    28431 *979 (Wire
     28330on &622
     28331)
     28332*976 (Wire
    2843228333uid 21935,0
    2843328334shape (OrthoPolyLine
     
    2844228343]
    2844328344)
    28444 start &595
    28445 end &616
     28345start &594
     28346end &615
    2844628347sat 32
    2844728348eat 32
     
    2846528366)
    2846628367)
    28467 on &624
    28468 )
    28469 *980 (Wire
     28368on &623
     28369)
     28370*977 (Wire
    2847028371uid 21986,0
    2847128372shape (OrthoPolyLine
     
    2848028381]
    2848128382)
    28482 start &600
    28483 end &641
     28383start &599
     28384end &640
    2848428385es 0
    2848528386sat 32
     
    2850428405)
    2850528406)
    28506 on &648
    28507 )
    28508 *981 (Wire
     28407on &647
     28408)
     28409*978 (Wire
    2850928410uid 21992,0
    2851028411shape (OrthoPolyLine
     
    2852328424]
    2852428425)
    28525 start &673
    28526 end &643
     28426start &672
     28427end &642
    2852728428ss 0
    2852828429sat 32
     
    2854628447)
    2854728448)
    28548 on &649
    28549 )
    28550 *982 (Wire
     28449on &648
     28450)
     28451*979 (Wire
    2855128452uid 22068,0
    2855228453shape (OrthoPolyLine
     
    2856328464]
    2856428465)
    28565 end &656
     28466end &655
    2856628467sat 16
    2856728468eat 32
     
    2858428485)
    2858528486)
    28586 on &650
    28587 )
    28588 *983 (Wire
     28487on &649
     28488)
     28489*980 (Wire
    2858928490uid 22127,0
    2859028491shape (OrthoPolyLine
     
    2859928500]
    2860028501)
    28601 start &626
    28602 end &658
     28502start &625
     28503end &657
    2860328504sat 32
    2860428505eat 32
     
    2862228523)
    2862328524)
    28624 on &670
    28625 )
    28626 *984 (Wire
     28525on &669
     28526)
     28527*981 (Wire
    2862728528uid 22352,0
    2862828529shape (OrthoPolyLine
     
    2863728538]
    2863828539)
    28639 end &384
     28540end &383
    2864028541es 0
    2864128542sat 16
     
    2865928560)
    2866028561)
    28661 on &469
    28662 )
    28663 *985 (Wire
     28562on &468
     28563)
     28564*982 (Wire
    2866428565uid 22360,0
    2866528566shape (OrthoPolyLine
     
    2867428575]
    2867528576)
    28676 end &383
     28577end &382
    2867728578es 0
    2867828579sat 16
     
    2869628597)
    2869728598)
    28698 on &467
    28699 )
    28700 *986 (Wire
     28599on &466
     28600)
     28601*983 (Wire
    2870128602uid 23047,0
    2870228603shape (OrthoPolyLine
     
    2871228613]
    2871328614)
    28714 start &474
    28715 end &682
     28615start &473
     28616end &681
    2871628617sat 32
    2871728618eat 32
     
    2873428635)
    2873528636)
    28736 on &694
    28737 )
    28738 *987 (Wire
     28637on &693
     28638)
     28639*984 (Wire
    2873928640uid 23055,0
    2874028641shape (OrthoPolyLine
     
    2877128672)
    2877228673)
    28773 on &694
    28774 )
    28775 *988 (Wire
     28674on &693
     28675)
     28676*985 (Wire
    2877628677uid 23063,0
    2877728678shape (OrthoPolyLine
     
    2880728708)
    2880828709)
    28809 on &650
    28810 )
    28811 *989 (Wire
     28710on &649
     28711)
     28712*986 (Wire
    2881228713uid 23343,0
    2881328714shape (OrthoPolyLine
     
    2884228743)
    2884328744)
    28844 on &695
    28845 )
    28846 *990 (Wire
     28745on &694
     28746)
     28747*987 (Wire
    2884728748uid 23351,0
    2884828749shape (OrthoPolyLine
     
    2887728778)
    2887828779)
    28879 on &696
    28880 )
    28881 *991 (Wire
     28780on &695
     28781)
     28782*988 (Wire
    2888228783uid 23357,0
    2888328784shape (OrthoPolyLine
     
    2889228793]
    2889328794)
    28894 start &309
     28795start &308
    2889528796sat 32
    2889628797eat 16
     
    2891228813)
    2891328814)
    28914 on &696
    28915 )
    28916 *992 (Wire
     28815on &695
     28816)
     28817*989 (Wire
    2891728818uid 23365,0
    2891828819shape (OrthoPolyLine
     
    2892728828]
    2892828829)
    28929 start &308
     28830start &307
    2893028831sat 32
    2893128832eat 16
     
    2894728848)
    2894828849)
    28949 on &695
    28950 )
    28951 *993 (Wire
     28850on &694
     28851)
     28852*990 (Wire
    2895228853uid 23600,0
    2895328854shape (OrthoPolyLine
     
    2898228883)
    2898328884)
    28984 on &297
    28985 )
    28986 *994 (Wire
     28885on &296
     28886)
     28887*991 (Wire
    2898728888uid 23833,0
    2898828889shape (OrthoPolyLine
     
    2901728918)
    2901828919)
    29019 on &696
    29020 )
    29021 *995 (Wire
     28920on &695
     28921)
     28922*992 (Wire
    2902228923uid 24078,0
    2902328924shape (OrthoPolyLine
     
    2903228933]
    2903328934)
    29034 start &775
    29035 end &698
     28935start &774
     28936end &697
    2903628937sat 32
    2903728938eat 32
     
    2905528956)
    2905628957)
    29057 on &697
    29058 )
    29059 *996 (Wire
     28958on &696
     28959)
     28960*993 (Wire
    2906028961uid 24646,0
    2906128962shape (OrthoPolyLine
     
    2907028971]
    2907128972)
    29072 start &703
    29073 end &712
     28973start &702
     28974end &711
    2907428975sat 32
    2907528976eat 32
     
    2909328994)
    2909428995)
    29095 on &717
    29096 )
    29097 *997 (Wire
     28996on &716
     28997)
     28998*994 (Wire
    2909828999uid 24660,0
    2909929000shape (OrthoPolyLine
     
    2910829009]
    2910929010)
    29110 start &713
    29111 end &701
     29011start &712
     29012end &700
    2911229013sat 32
    2911329014eat 32
     
    2913129032)
    2913229033)
    29133 on &716
    29134 )
    29135 *998 (Wire
     29034on &715
     29035)
     29036*995 (Wire
    2913629037uid 24674,0
    2913729038shape (OrthoPolyLine
     
    2914629047]
    2914729048)
    29148 start &702
    29149 end &714
     29049start &701
     29050end &713
    2915029051sat 32
    2915129052eat 32
     
    2916929070)
    2917029071)
    29171 on &718
    29172 )
    29173 *999 (Wire
     29072on &717
     29073)
     29074*996 (Wire
    2917429075uid 24688,0
    2917529076shape (OrthoPolyLine
     
    2918429085]
    2918529086)
    29186 start &704
    29187 end &715
     29087start &703
     29088end &714
    2918829089sat 32
    2918929090eat 32
     
    2920729108)
    2920829109)
    29209 on &719
    29210 )
    29211 *1000 (Wire
     29110on &718
     29111)
     29112*997 (Wire
    2921229113uid 24708,0
    2921329114shape (OrthoPolyLine
     
    2922229123]
    2922329124)
    29224 end &700
     29125end &699
    2922529126es 0
    2922629127sat 16
     
    2924529146on &177
    2924629147)
    29247 *1001 (Wire
     29148*998 (Wire
    2924829149uid 24724,0
    2924929150shape (OrthoPolyLine
     
    2925829159]
    2925929160)
    29260 start &705
     29161start &704
    2926129162sat 32
    2926229163eat 16
     
    2927829179)
    2927929180)
    29280 on &388
    29281 )
    29282 *1002 (Wire
     29181on &387
     29182)
     29183*999 (Wire
    2928329184uid 24738,0
    2928429185shape (OrthoPolyLine
     
    2929329194]
    2929429195)
    29295 start &708
     29196start &707
    2929629197sat 32
    2929729198eat 16
     
    2931329214)
    2931429215)
    29315 on &720
    29316 )
    29317 *1003 (Wire
     29216on &719
     29217)
     29218*1000 (Wire
    2931829219uid 24750,0
    2931929220shape (OrthoPolyLine
     
    2934929250)
    2935029251)
    29351 on &720
    29352 )
    29353 *1004 (Wire
     29252on &719
     29253)
     29254*1001 (Wire
    2935429255uid 25029,0
    2935529256shape (OrthoPolyLine
     
    2938429285)
    2938529286)
    29386 on &721
    29387 )
    29388 *1005 (Wire
     29287on &720
     29288)
     29289*1002 (Wire
    2938929290uid 25035,0
    2939029291shape (OrthoPolyLine
     
    2942029321)
    2942129322)
    29422 on &721
    29423 )
    29424 *1006 (Wire
     29323on &720
     29324)
     29325*1003 (Wire
    2942529326uid 25298,0
    2942629327shape (OrthoPolyLine
     
    2943729338)
    2943829339start &131
    29439 end &722
     29340end &721
    2944029341sat 32
    2944129342eat 32
     
    2946029361)
    2946129362)
    29462 on &723
    29463 )
    29464 *1007 (Wire
     29363on &722
     29364)
     29365*1004 (Wire
    2946529366uid 25543,0
    2946629367shape (OrthoPolyLine
     
    2947629377)
    2947729378start &132
    29478 end &725
     29379end &724
    2947929380sat 32
    2948029381eat 32
     
    2949829399)
    2949929400)
    29500 on &724
    29501 )
    29502 *1008 (Wire
     29401on &723
     29402)
     29403*1005 (Wire
    2950329404uid 25557,0
    2950429405shape (OrthoPolyLine
     
    2951429415)
    2951529416start &133
    29516 end &727
     29417end &726
    2951729418sat 32
    2951829419eat 32
     
    2953629437)
    2953729438)
    29538 on &726
    29539 )
    29540 *1009 (Wire
     29439on &725
     29440)
     29441*1006 (Wire
    2954129442uid 25842,0
    2954229443shape (OrthoPolyLine
     
    2955329454)
    2955429455start &76
    29555 end &729
     29456end &728
    2955629457sat 32
    2955729458eat 32
     
    2957329474)
    2957429475)
    29575 on &736
    29576 )
    29577 *1010 (Wire
     29476on &735
     29477)
     29478*1007 (Wire
    2957829479uid 26073,0
    2957929480shape (OrthoPolyLine
     
    2958929490]
    2959029491)
    29591 start &743
    29592 end &737
     29492start &742
     29493end &736
    2959329494sat 32
    2959429495eat 32
     
    2961329514)
    2961429515)
    29615 on &738
    29616 )
    29617 *1011 (Wire
     29516on &737
     29517)
     29518*1008 (Wire
    2961829519uid 26336,0
    2961929520shape (OrthoPolyLine
     
    2964829549)
    2964929550)
    29650 on &739
    29651 )
    29652 *1012 (Wire
     29551on &738
     29552)
     29553*1009 (Wire
    2965329554uid 26344,0
    2965429555shape (OrthoPolyLine
     
    2966529566)
    2966629567start &78
    29667 end &740
     29568end &739
    2966829569sat 32
    2966929570eat 32
     
    2968829589)
    2968929590)
    29690 on &741
    29691 )
    29692 *1013 (Wire
     29591on &740
     29592)
     29593*1010 (Wire
    2969329594uid 26356,0
    2969429595shape (OrthoPolyLine
     
    2972329624)
    2972429625)
    29725 on &739
    29726 )
    29727 *1014 (Wire
     29626on &738
     29627)
     29628*1011 (Wire
    2972829629uid 27133,0
    2972929630shape (OrthoPolyLine
     
    2973929640)
    2974029641start &107
    29741 end &760
     29642end &759
    2974229643sat 32
    2974329644eat 32
     
    2975929660)
    2976029661)
    29761 on &766
    29762 )
    29763 *1015 (Wire
     29662on &765
     29663)
     29664*1012 (Wire
    2976429665uid 27149,0
    2976529666shape (OrthoPolyLine
     
    2977429675]
    2977529676)
    29776 start &762
     29677start &761
    2977729678end &66
    2977829679sat 32
     
    2979529696)
    2979629697)
    29797 on &767
    29798 )
    29799 *1016 (Wire
     29698on &766
     29699)
     29700*1013 (Wire
    2980029701uid 27155,0
    2980129702shape (OrthoPolyLine
     
    2981029711]
    2981129712)
    29812 start &761
     29713start &760
    2981329714end &65
    2981429715sat 32
     
    2983129732)
    2983229733)
    29833 on &768
    29834 )
    29835 *1017 (Wire
     29734on &767
     29735)
     29736*1014 (Wire
    2983629737uid 27605,0
    2983729738shape (OrthoPolyLine
     
    2986829769)
    2986929770)
    29870 on &769
    29871 )
    29872 *1018 (Wire
     29771on &768
     29772)
     29773*1015 (Wire
    2987329774uid 27611,0
    2987429775shape (OrthoPolyLine
     
    2990529806)
    2990629807)
    29907 on &769
    29908 )
    29909 *1019 (Wire
     29808on &768
     29809)
     29810*1016 (Wire
    2991029811uid 28278,0
    2991129812shape (OrthoPolyLine
     
    2992229823)
    2992329824start &135
    29924 end &771
     29825end &770
    2992529826sat 32
    2992629827eat 32
     
    2994529846)
    2994629847)
    29947 on &770
    29948 )
    29949 *1020 (Wire
     29848on &769
     29849)
     29850*1017 (Wire
    2995029851uid 29079,0
    2995129852shape (OrthoPolyLine
     
    2996129862]
    2996229863)
    29963 start &832
    29964 end &796
     29864start &829
     29865end &795
    2996529866sat 32
    2996629867eat 32
     
    2998529886on &155
    2998629887)
    29987 *1021 (Wire
     29888*1018 (Wire
    2998829889uid 29087,0
    2998929890shape (OrthoPolyLine
     
    2999929900]
    3000029901)
    30001 start &798
    30002 end &773
     29902start &797
     29903end &772
    3000329904sat 32
    3000429905eat 32
     
    3002229923)
    3002329924)
    30024 on &805
    30025 )
    30026 *1022 (Wire
     29925on &804
     29926)
     29927*1019 (Wire
    3002729928uid 29093,0
    3002829929shape (OrthoPolyLine
     
    3003929940)
    3004029941start &72
    30041 end &778
     29942end &777
    3004229943sat 32
    3004329944eat 32
     
    3005929960)
    3006029961)
    30061 on &806
    30062 )
    30063 *1023 (Wire
    30064 uid 29790,0
    30065 shape (OrthoPolyLine
    30066 uid 29791,0
    30067 va (VaSet
    30068 vasetType 3
    30069 lineWidth 2
    30070 )
    30071 xt "-2250,128000,9000,128000"
    30072 pts [
    30073 "-2250,128000"
    30074 "9000,128000"
    30075 ]
    30076 )
    30077 start &252
    30078 end &807
    30079 sat 32
    30080 eat 32
    30081 sty 1
    30082 stc 0
    30083 st 0
    30084 sf 1
    30085 si 0
    30086 tg (WTG
    30087 uid 29794,0
    30088 ps "ConnStartEndStrategy"
    30089 stg "STSignalDisplayStrategy"
    30090 f (Text
    30091 uid 29795,0
    30092 va (VaSet
    30093 isHidden 1
    30094 )
    30095 xt "-1000,127000,6100,128000"
    30096 st "spi_debug_16bit"
    30097 blo "-1000,127800"
    30098 tm "WireNameMgr"
    30099 )
    30100 )
    30101 on &808
     29962on &805
    3010229963)
    3010329964]
     
    3011329974color "26368,26368,26368"
    3011429975)
    30115 packageList *1024 (PackageList
     29976packageList *1020 (PackageList
    3011629977uid 41,0
    3011729978stg "VerticalLayoutStrategy"
    3011829979textVec [
    30119 *1025 (Text
     29980*1021 (Text
    3012029981uid 42,0
    3012129982va (VaSet
     
    3012629987blo "-163000,-15200"
    3012729988)
    30128 *1026 (MLText
     29989*1022 (MLText
    3012929990uid 43,0
    3013029991va (VaSet
     
    3015430015stg "VerticalLayoutStrategy"
    3015530016textVec [
    30156 *1027 (Text
     30017*1023 (Text
    3015730018uid 45,0
    3015830019va (VaSet
     
    3016430025blo "20000,800"
    3016530026)
    30166 *1028 (Text
     30027*1024 (Text
    3016730028uid 46,0
    3016830029va (VaSet
     
    3017430035blo "20000,1800"
    3017530036)
    30176 *1029 (MLText
     30037*1025 (MLText
    3017730038uid 47,0
    3017830039va (VaSet
     
    3018430045tm "BdCompilerDirectivesTextMgr"
    3018530046)
    30186 *1030 (Text
     30047*1026 (Text
    3018730048uid 48,0
    3018830049va (VaSet
     
    3019430055blo "20000,4800"
    3019530056)
    30196 *1031 (MLText
     30057*1027 (MLText
    3019730058uid 49,0
    3019830059va (VaSet
     
    3020230063tm "BdCompilerDirectivesTextMgr"
    3020330064)
    30204 *1032 (Text
     30065*1028 (Text
    3020530066uid 50,0
    3020630067va (VaSet
     
    3021230073blo "20000,5800"
    3021330074)
    30214 *1033 (MLText
     30075*1029 (MLText
    3021530076uid 51,0
    3021630077va (VaSet
     
    3022430085)
    3022530086windowSize "0,22,1681,1050"
    30226 viewArea "-59900,89700,36592,148176"
     30087viewArea "-40628,107718,55864,168042"
    3022730088cachedDiagramExtent "-174000,-16000,261100,353300"
    3022830089pageSetupInfo (PageSetupInfo
     
    3025030111hasePageBreakOrigin 1
    3025130112pageBreakOrigin "-73000,0"
    30252 lastUid 29805,0
     30113lastUid 30231,0
    3025330114defaultCommentText (CommentText
    3025430115shape (Rectangle
     
    3031230173stg "VerticalLayoutStrategy"
    3031330174textVec [
    30314 *1034 (Text
     30175*1030 (Text
    3031530176va (VaSet
    3031630177font "Arial,8,1"
     
    3032130182tm "BdLibraryNameMgr"
    3032230183)
    30323 *1035 (Text
     30184*1031 (Text
    3032430185va (VaSet
    3032530186font "Arial,8,1"
     
    3033030191tm "BlkNameMgr"
    3033130192)
    30332 *1036 (Text
     30193*1032 (Text
    3033330194va (VaSet
    3033430195font "Arial,8,1"
     
    3038130242stg "VerticalLayoutStrategy"
    3038230243textVec [
    30383 *1037 (Text
     30244*1033 (Text
    3038430245va (VaSet
    3038530246font "Arial,8,1"
     
    3038930250blo "550,4300"
    3039030251)
    30391 *1038 (Text
     30252*1034 (Text
    3039230253va (VaSet
    3039330254font "Arial,8,1"
     
    3039730258blo "550,5300"
    3039830259)
    30399 *1039 (Text
     30260*1035 (Text
    3040030261va (VaSet
    3040130262font "Arial,8,1"
     
    3044630307stg "VerticalLayoutStrategy"
    3044730308textVec [
    30448 *1040 (Text
     30309*1036 (Text
    3044930310va (VaSet
    3045030311font "Arial,8,1"
     
    3045530316tm "BdLibraryNameMgr"
    3045630317)
    30457 *1041 (Text
     30318*1037 (Text
    3045830319va (VaSet
    3045930320font "Arial,8,1"
     
    3046430325tm "CptNameMgr"
    3046530326)
    30466 *1042 (Text
     30327*1038 (Text
    3046730328va (VaSet
    3046830329font "Arial,8,1"
     
    3051830379stg "VerticalLayoutStrategy"
    3051930380textVec [
    30520 *1043 (Text
     30381*1039 (Text
    3052130382va (VaSet
    3052230383font "Arial,8,1"
     
    3052630387blo "500,4300"
    3052730388)
    30528 *1044 (Text
     30389*1040 (Text
    3052930390va (VaSet
    3053030391font "Arial,8,1"
     
    3053430395blo "500,5300"
    3053530396)
    30536 *1045 (Text
     30397*1041 (Text
    3053730398va (VaSet
    3053830399font "Arial,8,1"
     
    3057930440stg "VerticalLayoutStrategy"
    3058030441textVec [
    30581 *1046 (Text
     30442*1042 (Text
    3058230443va (VaSet
    3058330444font "Arial,8,1"
     
    3058730448blo "50,4300"
    3058830449)
    30589 *1047 (Text
     30450*1043 (Text
    3059030451va (VaSet
    3059130452font "Arial,8,1"
     
    3059530456blo "50,5300"
    3059630457)
    30597 *1048 (Text
     30458*1044 (Text
    3059830459va (VaSet
    3059930460font "Arial,8,1"
     
    3063630497stg "VerticalLayoutStrategy"
    3063730498textVec [
    30638 *1049 (Text
     30499*1045 (Text
    3063930500va (VaSet
    3064030501font "Arial,8,1"
     
    3064530506tm "HdlTextNameMgr"
    3064630507)
    30647 *1050 (Text
     30508*1046 (Text
    3064830509va (VaSet
    3064930510font "Arial,8,1"
     
    3104830909stg "VerticalLayoutStrategy"
    3104930910textVec [
    31050 *1051 (Text
     30911*1047 (Text
    3105130912va (VaSet
    3105230913font "Arial,8,1"
     
    3105630917blo "14100,20800"
    3105730918)
    31058 *1052 (MLText
     30919*1048 (MLText
    3105930920va (VaSet
    3106030921)
     
    3110830969stg "VerticalLayoutStrategy"
    3110930970textVec [
    31110 *1053 (Text
     30971*1049 (Text
    3111130972va (VaSet
    3111230973font "Arial,8,1"
     
    3111630977blo "14100,20800"
    3111730978)
    31118 *1054 (MLText
     30979*1050 (MLText
    3111930980va (VaSet
    3112030981)
     
    3121531076font "Arial,8,1"
    3121631077)
    31217 xt "-174000,47200,-170200,48200"
     31078xt "-174000,46400,-170200,47400"
    3121831079st "Pre User:"
    31219 blo "-174000,48000"
     31080blo "-174000,47200"
    3122031081)
    3122131082preUserText (MLText
     
    3123231093font "Arial,8,1"
    3123331094)
    31234 xt "-174000,48200,-166900,49200"
     31095xt "-174000,47400,-166900,48400"
    3123531096st "Diagram Signals:"
    31236 blo "-174000,49000"
     31097blo "-174000,48200"
    3123731098)
    3123831099postUserLabel (Text
     
    3124131102font "Arial,8,1"
    3124231103)
    31243 xt "-174000,129200,-169300,130200"
     31104xt "-174000,128400,-169300,129400"
    3124431105st "Post User:"
    31245 blo "-174000,130000"
     31106blo "-174000,129200"
    3124631107)
    3124731108postUserText (MLText
     
    3125831119suid 430,0
    3125931120usingSuid 1
    31260 emptyRow *1055 (LEmptyRow
     31121emptyRow *1051 (LEmptyRow
    3126131122)
    3126231123uid 54,0
    3126331124optionalChildren [
    31264 *1056 (RefLabelRowHdr
    31265 )
    31266 *1057 (TitleRowHdr
    31267 )
    31268 *1058 (FilterRowHdr
    31269 )
    31270 *1059 (RefLabelColHdr
     31125*1052 (RefLabelRowHdr
     31126)
     31127*1053 (TitleRowHdr
     31128)
     31129*1054 (FilterRowHdr
     31130)
     31131*1055 (RefLabelColHdr
    3127131132tm "RefLabelColHdrMgr"
    3127231133)
    31273 *1060 (RowExpandColHdr
     31134*1056 (RowExpandColHdr
    3127431135tm "RowExpandColHdrMgr"
    3127531136)
    31276 *1061 (GroupColHdr
     31137*1057 (GroupColHdr
    3127731138tm "GroupColHdrMgr"
    3127831139)
    31279 *1062 (NameColHdr
     31140*1058 (NameColHdr
    3128031141tm "BlockDiagramNameColHdrMgr"
    3128131142)
    31282 *1063 (ModeColHdr
     31143*1059 (ModeColHdr
    3128331144tm "BlockDiagramModeColHdrMgr"
    3128431145)
    31285 *1064 (TypeColHdr
     31146*1060 (TypeColHdr
    3128631147tm "BlockDiagramTypeColHdrMgr"
    3128731148)
    31288 *1065 (BoundsColHdr
     31149*1061 (BoundsColHdr
    3128931150tm "BlockDiagramBoundsColHdrMgr"
    3129031151)
    31291 *1066 (InitColHdr
     31152*1062 (InitColHdr
    3129231153tm "BlockDiagramInitColHdrMgr"
    3129331154)
    31294 *1067 (EolColHdr
     31155*1063 (EolColHdr
    3129531156tm "BlockDiagramEolColHdrMgr"
    3129631157)
    31297 *1068 (LeafLogPort
     31158*1064 (LeafLogPort
    3129831159port (LogicalPort
    3129931160m 4
     
    3130931170uid 516,0
    3131031171)
    31311 *1069 (LeafLogPort
     31172*1065 (LeafLogPort
    3131231173port (LogicalPort
    3131331174m 4
     
    3132231183uid 518,0
    3132331184)
    31324 *1070 (LeafLogPort
     31185*1066 (LeafLogPort
    3132531186port (LogicalPort
    3132631187m 4
     
    3133531196uid 520,0
    3133631197)
    31337 *1071 (LeafLogPort
     31198*1067 (LeafLogPort
    3133831199port (LogicalPort
    3133931200m 4
     
    3134831209uid 530,0
    3134931210)
    31350 *1072 (LeafLogPort
     31211*1068 (LeafLogPort
    3135131212port (LogicalPort
    3135231213m 4
     
    3136131222uid 532,0
    3136231223)
    31363 *1073 (LeafLogPort
     31224*1069 (LeafLogPort
    3136431225port (LogicalPort
    3136531226m 1
     
    3137431235uid 534,0
    3137531236)
    31376 *1074 (LeafLogPort
     31237*1070 (LeafLogPort
    3137731238port (LogicalPort
    3137831239m 1
     
    3138731248uid 536,0
    3138831249)
    31389 *1075 (LeafLogPort
     31250*1071 (LeafLogPort
    3139031251port (LogicalPort
    3139131252m 2
     
    3140031261uid 538,0
    3140131262)
    31402 *1076 (LeafLogPort
     31263*1072 (LeafLogPort
    3140331264port (LogicalPort
    3140431265m 1
     
    3141331274uid 540,0
    3141431275)
    31415 *1077 (LeafLogPort
     31276*1073 (LeafLogPort
    3141631277port (LogicalPort
    3141731278m 1
     
    3142631287uid 542,0
    3142731288)
    31428 *1078 (LeafLogPort
     31289*1074 (LeafLogPort
    3142931290port (LogicalPort
    3143031291m 1
     
    3143931300uid 546,0
    3144031301)
    31441 *1079 (LeafLogPort
     31302*1075 (LeafLogPort
    3144231303port (LogicalPort
    3144331304decl (Decl
     
    3145031311uid 548,0
    3145131312)
    31452 *1080 (LeafLogPort
     31313*1076 (LeafLogPort
    3145331314port (LogicalPort
    3145431315decl (Decl
     
    3146231323uid 1455,0
    3146331324)
    31464 *1081 (LeafLogPort
     31325*1077 (LeafLogPort
    3146531326port (LogicalPort
    3146631327decl (Decl
     
    3147531336uid 1457,0
    3147631337)
    31477 *1082 (LeafLogPort
     31338*1078 (LeafLogPort
    3147831339port (LogicalPort
    3147931340decl (Decl
     
    3148731348uid 1694,0
    3148831349)
    31489 *1083 (LeafLogPort
     31350*1079 (LeafLogPort
    3149031351port (LogicalPort
    3149131352m 4
     
    3150231363uid 2305,0
    3150331364)
    31504 *1084 (LeafLogPort
     31365*1080 (LeafLogPort
    3150531366port (LogicalPort
    3150631367lang 2
     
    3151631377uid 2512,0
    3151731378)
    31518 *1085 (LeafLogPort
     31379*1081 (LeafLogPort
    3151931380port (LogicalPort
    3152031381lang 2
     
    3153131392uid 2514,0
    3153231393)
    31533 *1086 (LeafLogPort
     31394*1082 (LeafLogPort
    3153431395port (LogicalPort
    3153531396lang 2
     
    3154731408uid 2516,0
    3154831409)
    31549 *1087 (LeafLogPort
     31410*1083 (LeafLogPort
    3155031411port (LogicalPort
    3155131412lang 2
     
    3156231423uid 2518,0
    3156331424)
    31564 *1088 (LeafLogPort
     31425*1084 (LeafLogPort
    3156531426port (LogicalPort
    3156631427lang 2
     
    3157631437uid 2520,0
    3157731438)
    31578 *1089 (LeafLogPort
     31439*1085 (LeafLogPort
    3157931440port (LogicalPort
    3158031441lang 2
     
    3159031451uid 2522,0
    3159131452)
    31592 *1090 (LeafLogPort
     31453*1086 (LeafLogPort
    3159331454port (LogicalPort
    3159431455m 4
     
    3160231463uid 2604,0
    3160331464)
    31604 *1091 (LeafLogPort
     31465*1087 (LeafLogPort
    3160531466port (LogicalPort
    3160631467m 4
     
    3161531476uid 2606,0
    3161631477)
    31617 *1092 (LeafLogPort
     31478*1088 (LeafLogPort
    3161831479port (LogicalPort
    3161931480m 4
     
    3162731488uid 2612,0
    3162831489)
    31629 *1093 (LeafLogPort
     31490*1089 (LeafLogPort
    3163031491port (LogicalPort
    3163131492m 4
     
    3164031501uid 2646,0
    3164131502)
    31642 *1094 (LeafLogPort
     31503*1090 (LeafLogPort
    3164331504port (LogicalPort
    3164431505m 1
     
    3165331514uid 2812,0
    3165431515)
    31655 *1095 (LeafLogPort
     31516*1091 (LeafLogPort
    3165631517port (LogicalPort
    3165731518m 1
     
    3166531526uid 3902,0
    3166631527)
    31667 *1096 (LeafLogPort
     31528*1092 (LeafLogPort
    3166831529port (LogicalPort
    3166931530m 1
     
    3167931540uid 4070,0
    3168031541)
    31681 *1097 (LeafLogPort
     31542*1093 (LeafLogPort
    3168231543port (LogicalPort
    3168331544m 4
     
    3169331554uid 4212,0
    3169431555)
    31695 *1098 (LeafLogPort
     31556*1094 (LeafLogPort
    3169631557port (LogicalPort
    3169731558decl (Decl
     
    3170431565uid 4234,0
    3170531566)
    31706 *1099 (LeafLogPort
     31567*1095 (LeafLogPort
    3170731568port (LogicalPort
    3170831569decl (Decl
     
    3171631577uid 4262,0
    3171731578)
    31718 *1100 (LeafLogPort
     31579*1096 (LeafLogPort
    3171931580port (LogicalPort
    3172031581decl (Decl
     
    3172731588uid 4276,0
    3172831589)
    31729 *1101 (LeafLogPort
     31590*1097 (LeafLogPort
    3173031591port (LogicalPort
    3173131592m 4
     
    3174031601uid 4563,0
    3174131602)
    31742 *1102 (LeafLogPort
     31603*1098 (LeafLogPort
    3174331604port (LogicalPort
    3174431605m 4
     
    3175231613uid 4565,0
    3175331614)
    31754 *1103 (LeafLogPort
     31615*1099 (LeafLogPort
    3175531616port (LogicalPort
    3175631617m 4
     
    3176531626uid 4569,0
    3176631627)
    31767 *1104 (LeafLogPort
     31628*1100 (LeafLogPort
    3176831629port (LogicalPort
    3176931630m 1
     
    3177931640uid 4585,0
    3178031641)
    31781 *1105 (LeafLogPort
     31642*1101 (LeafLogPort
    3178231643port (LogicalPort
    3178331644m 1
     
    3179231653uid 4587,0
    3179331654)
     31655*1102 (LeafLogPort
     31656port (LogicalPort
     31657decl (Decl
     31658n "SROUT_in_0"
     31659t "std_logic"
     31660o 4
     31661suid 112,0
     31662)
     31663)
     31664uid 4733,0
     31665)
     31666*1103 (LeafLogPort
     31667port (LogicalPort
     31668decl (Decl
     31669n "SROUT_in_1"
     31670t "std_logic"
     31671o 5
     31672suid 113,0
     31673)
     31674)
     31675uid 4735,0
     31676)
     31677*1104 (LeafLogPort
     31678port (LogicalPort
     31679decl (Decl
     31680n "SROUT_in_2"
     31681t "std_logic"
     31682o 6
     31683suid 114,0
     31684)
     31685)
     31686uid 4737,0
     31687)
     31688*1105 (LeafLogPort
     31689port (LogicalPort
     31690decl (Decl
     31691n "SROUT_in_3"
     31692t "std_logic"
     31693o 7
     31694suid 115,0
     31695)
     31696)
     31697uid 4739,0
     31698)
    3179431699*1106 (LeafLogPort
    3179531700port (LogicalPort
    31796 decl (Decl
    31797 n "SROUT_in_0"
    31798 t "std_logic"
    31799 o 4
    31800 suid 112,0
    31801 )
    31802 )
    31803 uid 4733,0
    31804 )
    31805 *1107 (LeafLogPort
    31806 port (LogicalPort
    31807 decl (Decl
    31808 n "SROUT_in_1"
    31809 t "std_logic"
    31810 o 5
    31811 suid 113,0
    31812 )
    31813 )
    31814 uid 4735,0
    31815 )
    31816 *1108 (LeafLogPort
    31817 port (LogicalPort
    31818 decl (Decl
    31819 n "SROUT_in_2"
    31820 t "std_logic"
    31821 o 6
    31822 suid 114,0
    31823 )
    31824 )
    31825 uid 4737,0
    31826 )
    31827 *1109 (LeafLogPort
    31828 port (LogicalPort
    31829 decl (Decl
    31830 n "SROUT_in_3"
    31831 t "std_logic"
    31832 o 7
    31833 suid 115,0
    31834 )
    31835 )
    31836 uid 4739,0
    31837 )
    31838 *1110 (LeafLogPort
    31839 port (LogicalPort
    3184031701m 4
    3184131702decl (Decl
     
    3184831709uid 4749,0
    3184931710)
    31850 *1111 (LeafLogPort
     31711*1107 (LeafLogPort
    3185131712port (LogicalPort
    3185231713m 1
     
    3186131722uid 4974,0
    3186231723)
    31863 *1112 (LeafLogPort
     31724*1108 (LeafLogPort
    3186431725port (LogicalPort
    3186531726m 1
     
    3187431735uid 4976,0
    3187531736)
    31876 *1113 (LeafLogPort
     31737*1109 (LeafLogPort
    3187731738port (LogicalPort
    3187831739m 1
     
    3188931750uid 5226,0
    3189031751)
    31891 *1114 (LeafLogPort
     31752*1110 (LeafLogPort
    3189231753port (LogicalPort
    3189331754m 4
     
    3190131762uid 5502,0
    3190231763)
    31903 *1115 (LeafLogPort
     31764*1111 (LeafLogPort
    3190431765port (LogicalPort
    3190531766m 4
     
    3191331774uid 5504,0
    3191431775)
    31915 *1116 (LeafLogPort
     31776*1112 (LeafLogPort
    3191631777port (LogicalPort
    3191731778lang 10
     
    3192731788uid 5642,0
    3192831789)
    31929 *1117 (LeafLogPort
     31790*1113 (LeafLogPort
    3193031791port (LogicalPort
    3193131792m 4
     
    3193931800uid 5644,0
    3194031801)
    31941 *1118 (LeafLogPort
     31802*1114 (LeafLogPort
    3194231803port (LogicalPort
    3194331804m 1
     
    3195131812uid 5867,0
    3195231813)
    31953 *1119 (LeafLogPort
     31814*1115 (LeafLogPort
    3195431815port (LogicalPort
    3195531816m 2
     
    3196531826uid 5869,0
    3196631827)
    31967 *1120 (LeafLogPort
     31828*1116 (LeafLogPort
    3196831829port (LogicalPort
    3196931830m 1
     
    3197731838uid 5871,0
    3197831839)
    31979 *1121 (LeafLogPort
     31840*1117 (LeafLogPort
    3198031841port (LogicalPort
    3198131842m 1
     
    3199031851uid 5873,0
    3199131852)
    31992 *1122 (LeafLogPort
     31853*1118 (LeafLogPort
    3199331854port (LogicalPort
    3199431855m 1
     
    3200331864uid 6172,0
    3200431865)
    32005 *1123 (LeafLogPort
     31866*1119 (LeafLogPort
    3200631867port (LogicalPort
    3200731868m 1
     
    3201831879uid 6374,0
    3201931880)
    32020 *1124 (LeafLogPort
     31881*1120 (LeafLogPort
    3202131882port (LogicalPort
    3202231883m 4
     
    3203031891uid 8760,0
    3203131892)
    32032 *1125 (LeafLogPort
     31893*1121 (LeafLogPort
    3203331894port (LogicalPort
    3203431895lang 2
     
    3204331904uid 9018,0
    3204431905)
    32045 *1126 (LeafLogPort
     31906*1122 (LeafLogPort
    3204631907port (LogicalPort
    3204731908m 4
     
    3205831919uid 9247,0
    3205931920)
    32060 *1127 (LeafLogPort
     31921*1123 (LeafLogPort
    3206131922port (LogicalPort
    3206231923m 4
     
    3207431935uid 9249,0
    3207531936)
    32076 *1128 (LeafLogPort
     31937*1124 (LeafLogPort
    3207731938port (LogicalPort
    3207831939m 4
     
    3208931950uid 10024,0
    3209031951)
     31952*1125 (LeafLogPort
     31953port (LogicalPort
     31954m 4
     31955decl (Decl
     31956n "srclk_enable"
     31957t "std_logic"
     31958o 128
     31959suid 222,0
     31960i "'0'"
     31961)
     31962)
     31963uid 10026,0
     31964)
     31965*1126 (LeafLogPort
     31966port (LogicalPort
     31967m 4
     31968decl (Decl
     31969n "SRCLK1"
     31970t "std_logic"
     31971o 60
     31972suid 224,0
     31973i "'0'"
     31974)
     31975)
     31976uid 10028,0
     31977)
     31978*1127 (LeafLogPort
     31979port (LogicalPort
     31980m 4
     31981decl (Decl
     31982n "s_trigger"
     31983t "std_logic"
     31984o 118
     31985suid 230,0
     31986)
     31987)
     31988uid 10294,0
     31989)
     31990*1128 (LeafLogPort
     31991port (LogicalPort
     31992m 4
     31993decl (Decl
     31994n "start_srin_write_8b"
     31995t "std_logic"
     31996o 131
     31997suid 231,0
     31998)
     31999)
     32000uid 10334,0
     32001)
    3209132002*1129 (LeafLogPort
    3209232003port (LogicalPort
    3209332004m 4
    3209432005decl (Decl
    32095 n "srclk_enable"
    32096 t "std_logic"
    32097 o 128
    32098 suid 222,0
     32006n "srin_write_ack"
     32007t "std_logic"
     32008o 129
     32009suid 232,0
    3209932010i "'0'"
    3210032011)
    3210132012)
    32102 uid 10026,0
     32013uid 10336,0
    3210332014)
    3210432015*1130 (LeafLogPort
     
    3210632017m 4
    3210732018decl (Decl
    32108 n "SRCLK1"
    32109 t "std_logic"
    32110 o 60
    32111 suid 224,0
    32112 i "'0'"
    32113 )
    32114 )
    32115 uid 10028,0
    32116 )
    32117 *1131 (LeafLogPort
    32118 port (LogicalPort
    32119 m 4
    32120 decl (Decl
    32121 n "s_trigger"
    32122 t "std_logic"
    32123 o 118
    32124 suid 230,0
    32125 )
    32126 )
    32127 uid 10294,0
    32128 )
    32129 *1132 (LeafLogPort
    32130 port (LogicalPort
    32131 m 4
    32132 decl (Decl
    32133 n "start_srin_write_8b"
    32134 t "std_logic"
    32135 o 131
    32136 suid 231,0
    32137 )
    32138 )
    32139 uid 10334,0
    32140 )
    32141 *1133 (LeafLogPort
    32142 port (LogicalPort
    32143 m 4
    32144 decl (Decl
    32145 n "srin_write_ack"
    32146 t "std_logic"
    32147 o 129
    32148 suid 232,0
    32149 i "'0'"
    32150 )
    32151 )
    32152 uid 10336,0
    32153 )
    32154 *1134 (LeafLogPort
    32155 port (LogicalPort
    32156 m 4
    32157 decl (Decl
    3215832019n "srin_write_ready"
    3215932020t "std_logic"
     
    3216532026uid 10338,0
    3216632027)
    32167 *1135 (LeafLogPort
     32028*1131 (LeafLogPort
    3216832029port (LogicalPort
    3216932030m 4
     
    3217932040uid 10340,0
    3218032041)
     32042*1132 (LeafLogPort
     32043port (LogicalPort
     32044m 1
     32045decl (Decl
     32046n "SRIN_out"
     32047t "std_logic"
     32048o 25
     32049suid 235,0
     32050i "'0'"
     32051)
     32052)
     32053uid 10342,0
     32054)
     32055*1133 (LeafLogPort
     32056port (LogicalPort
     32057m 4
     32058decl (Decl
     32059n "socks_connected"
     32060t "std_logic"
     32061o 123
     32062suid 243,0
     32063)
     32064)
     32065uid 10763,0
     32066)
     32067*1134 (LeafLogPort
     32068port (LogicalPort
     32069m 4
     32070decl (Decl
     32071n "socks_waiting"
     32072t "std_logic"
     32073o 124
     32074suid 244,0
     32075)
     32076)
     32077uid 10765,0
     32078)
     32079*1135 (LeafLogPort
     32080port (LogicalPort
     32081m 1
     32082decl (Decl
     32083n "green"
     32084t "std_logic"
     32085o 37
     32086suid 248,0
     32087)
     32088)
     32089uid 10767,0
     32090)
    3218132091*1136 (LeafLogPort
    3218232092port (LogicalPort
    3218332093m 1
    3218432094decl (Decl
    32185 n "SRIN_out"
    32186 t "std_logic"
    32187 o 25
    32188 suid 235,0
    32189 i "'0'"
    32190 )
    32191 )
    32192 uid 10342,0
     32095n "amber"
     32096t "std_logic"
     32097o 29
     32098suid 249,0
     32099)
     32100)
     32101uid 10769,0
    3219332102)
    3219432103*1137 (LeafLogPort
    3219532104port (LogicalPort
    32196 m 4
    32197 decl (Decl
    32198 n "socks_connected"
    32199 t "std_logic"
    32200 o 123
    32201 suid 243,0
    32202 )
    32203 )
    32204 uid 10763,0
     32105m 1
     32106decl (Decl
     32107n "red"
     32108t "std_logic"
     32109o 41
     32110suid 250,0
     32111)
     32112)
     32113uid 10771,0
    3220532114)
    3220632115*1138 (LeafLogPort
     
    3220832117m 4
    3220932118decl (Decl
    32210 n "socks_waiting"
    32211 t "std_logic"
    32212 o 124
    32213 suid 244,0
    32214 )
    32215 )
    32216 uid 10765,0
     32119n "drs_readout_started"
     32120t "std_logic"
     32121o 90
     32122suid 252,0
     32123)
     32124)
     32125uid 11411,0
    3221732126)
    3221832127*1139 (LeafLogPort
    3221932128port (LogicalPort
    32220 m 1
    32221 decl (Decl
    32222 n "green"
    32223 t "std_logic"
    32224 o 37
    32225 suid 248,0
    32226 )
    32227 )
    32228 uid 10767,0
    32229 )
    32230 *1140 (LeafLogPort
    32231 port (LogicalPort
    32232 m 1
    32233 decl (Decl
    32234 n "amber"
    32235 t "std_logic"
    32236 o 29
    32237 suid 249,0
    32238 )
    32239 )
    32240 uid 10769,0
    32241 )
    32242 *1141 (LeafLogPort
    32243 port (LogicalPort
    32244 m 1
    32245 decl (Decl
    32246 n "red"
    32247 t "std_logic"
    32248 o 41
    32249 suid 250,0
    32250 )
    32251 )
    32252 uid 10771,0
    32253 )
    32254 *1142 (LeafLogPort
    32255 port (LogicalPort
    32256 m 4
    32257 decl (Decl
    32258 n "drs_readout_started"
    32259 t "std_logic"
    32260 o 90
    32261 suid 252,0
    32262 )
    32263 )
    32264 uid 11411,0
    32265 )
    32266 *1143 (LeafLogPort
    32267 port (LogicalPort
    3226832129m 4
    3226932130decl (Decl
     
    3227632137uid 11966,0
    3227732138)
    32278 *1144 (LeafLogPort
     32139*1140 (LeafLogPort
    3227932140port (LogicalPort
    3228032141m 4
     
    3229332154uid 12661,0
    3229432155)
    32295 *1145 (LeafLogPort
     32156*1141 (LeafLogPort
    3229632157port (LogicalPort
    3229732158m 4
     
    3230532166uid 12663,0
    3230632167)
    32307 *1146 (LeafLogPort
     32168*1142 (LeafLogPort
    3230832169port (LogicalPort
    3230932170m 4
     
    3231832179uid 13275,0
    3231932180)
    32320 *1147 (LeafLogPort
     32181*1143 (LeafLogPort
    3232132182port (LogicalPort
    3232232183decl (Decl
     
    3233132192scheme 0
    3233232193)
    32333 *1148 (LeafLogPort
     32194*1144 (LeafLogPort
    3233432195port (LogicalPort
    3233532196decl (Decl
     
    3234432205scheme 0
    3234532206)
    32346 *1149 (LeafLogPort
     32207*1145 (LeafLogPort
    3234732208port (LogicalPort
    3234832209decl (Decl
     
    3235832219scheme 0
    3235932220)
    32360 *1150 (LeafLogPort
     32221*1146 (LeafLogPort
    3236132222port (LogicalPort
    3236232223m 1
     
    3237032231uid 14507,0
    3237132232)
    32372 *1151 (LeafLogPort
     32233*1147 (LeafLogPort
    3237332234port (LogicalPort
    3237432235m 1
     
    3238332244uid 14509,0
    3238432245)
    32385 *1152 (LeafLogPort
     32246*1148 (LeafLogPort
    3238632247port (LogicalPort
    3238732248m 1
     
    3239632257uid 14634,0
    3239732258)
    32398 *1153 (LeafLogPort
     32259*1149 (LeafLogPort
    3239932260port (LogicalPort
    3240032261m 4
     
    3241132272uid 15144,0
    3241232273)
    32413 *1154 (LeafLogPort
     32274*1150 (LeafLogPort
    3241432275port (LogicalPort
    3241532276m 4
     
    3242632287uid 15146,0
    3242732288)
    32428 *1155 (LeafLogPort
     32289*1151 (LeafLogPort
    3242932290port (LogicalPort
    3243032291m 4
     
    3243832299uid 15504,0
    3243932300)
    32440 *1156 (LeafLogPort
     32301*1152 (LeafLogPort
    3244132302port (LogicalPort
    3244232303lang 2
     
    3245432315uid 15754,0
    3245532316)
    32456 *1157 (LeafLogPort
     32317*1153 (LeafLogPort
    3245732318port (LogicalPort
    3245832319m 4
     
    3247032331uid 16386,0
    3247132332)
    32472 *1158 (LeafLogPort
     32333*1154 (LeafLogPort
    3247332334port (LogicalPort
    3247432335m 4
     
    3248632347uid 16571,0
    3248732348)
    32488 *1159 (LeafLogPort
     32349*1155 (LeafLogPort
    3248932350port (LogicalPort
    3249032351m 4
     
    3250132362uid 16573,0
    3250232363)
    32503 *1160 (LeafLogPort
     32364*1156 (LeafLogPort
    3250432365port (LogicalPort
    3250532366m 4
     
    3251332374uid 16961,0
    3251432375)
    32515 *1161 (LeafLogPort
     32376*1157 (LeafLogPort
    3251632377port (LogicalPort
    3251732378m 4
     
    3252532386uid 16963,0
    3252632387)
    32527 *1162 (LeafLogPort
     32388*1158 (LeafLogPort
    3252832389port (LogicalPort
    3252932390m 4
     
    3253832399uid 16965,0
    3253932400)
    32540 *1163 (LeafLogPort
     32401*1159 (LeafLogPort
    3254132402port (LogicalPort
    3254232403m 4
     
    3255332414uid 17033,0
    3255432415)
    32555 *1164 (LeafLogPort
     32416*1160 (LeafLogPort
    3255632417port (LogicalPort
    3255732418m 4
     
    3257132432uid 17035,0
    3257232433)
    32573 *1165 (LeafLogPort
     32434*1161 (LeafLogPort
    3257432435port (LogicalPort
    3257532436m 4
     
    3258532446uid 17397,0
    3258632447)
    32587 *1166 (LeafLogPort
     32448*1162 (LeafLogPort
    3258832449port (LogicalPort
    3258932450lang 2
     
    3259832459uid 18463,0
    3259932460)
    32600 *1167 (LeafLogPort
     32461*1163 (LeafLogPort
    3260132462port (LogicalPort
    3260232463lang 2
     
    3261232473scheme 0
    3261332474)
    32614 *1168 (LeafLogPort
     32475*1164 (LeafLogPort
    3261532476port (LogicalPort
    3261632477lang 10
     
    3262632487uid 20159,0
    3262732488)
    32628 *1169 (LeafLogPort
     32489*1165 (LeafLogPort
    3262932490port (LogicalPort
    3263032491m 4
     
    3264032501uid 20515,0
    3264132502)
    32642 *1170 (LeafLogPort
     32503*1166 (LeafLogPort
    3264332504port (LogicalPort
    3264432505m 4
     
    3265432515uid 20517,0
    3265532516)
    32656 *1171 (LeafLogPort
     32517*1167 (LeafLogPort
    3265732518port (LogicalPort
    3265832519m 4
     
    3266632527uid 20523,0
    3266732528)
    32668 *1172 (LeafLogPort
     32529*1168 (LeafLogPort
    3266932530port (LogicalPort
    3267032531m 4
     
    3267832539uid 20525,0
    3267932540)
    32680 *1173 (LeafLogPort
     32541*1169 (LeafLogPort
    3268132542port (LogicalPort
    3268232543m 4
     
    3269332554uid 21091,0
    3269432555)
    32695 *1174 (LeafLogPort
     32556*1170 (LeafLogPort
    3269632557port (LogicalPort
    3269732558m 4
     
    3270532566uid 21093,0
    3270632567)
    32707 *1175 (LeafLogPort
     32568*1171 (LeafLogPort
    3270832569port (LogicalPort
    3270932570m 4
     
    3271832579uid 21097,0
    3271932580)
    32720 *1176 (LeafLogPort
     32581*1172 (LeafLogPort
    3272132582port (LogicalPort
    3272232583m 4
     
    3273032591uid 21101,0
    3273132592)
    32732 *1177 (LeafLogPort
     32593*1173 (LeafLogPort
    3273332594port (LogicalPort
    3273432595m 4
     
    3274332604uid 21103,0
    3274432605)
    32745 *1178 (LeafLogPort
     32606*1174 (LeafLogPort
    3274632607port (LogicalPort
    3274732608m 4
     
    3275532616uid 21107,0
    3275632617)
    32757 *1179 (LeafLogPort
     32618*1175 (LeafLogPort
    3275832619port (LogicalPort
    3275932620m 4
     
    3276732628uid 22029,0
    3276832629)
    32769 *1180 (LeafLogPort
     32630*1176 (LeafLogPort
    3277032631port (LogicalPort
    3277132632m 4
     
    3277932640uid 22031,0
    3278032641)
    32781 *1181 (LeafLogPort
     32642*1177 (LeafLogPort
    3278232643port (LogicalPort
    3278332644m 4
     
    3279132652uid 22033,0
    3279232653)
    32793 *1182 (LeafLogPort
     32654*1178 (LeafLogPort
    3279432655port (LogicalPort
    3279532656m 4
     
    3280332664uid 22035,0
    3280432665)
    32805 *1183 (LeafLogPort
     32666*1179 (LeafLogPort
    3280632667port (LogicalPort
    3280732668m 4
     
    3281532676uid 22037,0
    3281632677)
    32817 *1184 (LeafLogPort
     32678*1180 (LeafLogPort
    3281832679port (LogicalPort
    3281932680m 4
     
    3282732688uid 22039,0
    3282832689)
    32829 *1185 (LeafLogPort
     32690*1181 (LeafLogPort
    3283032691port (LogicalPort
    3283132692m 4
     
    3284032701uid 22133,0
    3284132702)
    32842 *1186 (LeafLogPort
     32703*1182 (LeafLogPort
    3284332704port (LogicalPort
    3284432705m 4
     
    3285332714uid 22135,0
    3285432715)
    32855 *1187 (LeafLogPort
     32716*1183 (LeafLogPort
    3285632717port (LogicalPort
    3285732718m 4
     
    3286532726uid 22137,0
    3286632727)
    32867 *1188 (LeafLogPort
     32728*1184 (LeafLogPort
    3286832729port (LogicalPort
    3286932730m 4
     
    3287832739uid 22139,0
    3287932740)
    32880 *1189 (LeafLogPort
     32741*1185 (LeafLogPort
    3288132742port (LogicalPort
    3288232743m 4
     
    3289332754uid 23053,0
    3289432755)
     32756*1186 (LeafLogPort
     32757port (LogicalPort
     32758m 4
     32759decl (Decl
     32760n "DCM_locked_status"
     32761t "std_logic"
     32762o 56
     32763suid 382,0
     32764)
     32765)
     32766uid 23373,0
     32767)
     32768*1187 (LeafLogPort
     32769port (LogicalPort
     32770m 4
     32771decl (Decl
     32772n "DCM_ready_status"
     32773t "std_logic"
     32774o 57
     32775suid 383,0
     32776)
     32777)
     32778uid 23375,0
     32779)
     32780*1188 (LeafLogPort
     32781port (LogicalPort
     32782m 1
     32783decl (Decl
     32784n "trigger_veto"
     32785t "std_logic"
     32786o 45
     32787suid 385,0
     32788i "'1'"
     32789)
     32790)
     32791uid 24090,0
     32792)
     32793*1189 (LeafLogPort
     32794port (LogicalPort
     32795decl (Decl
     32796n "FTM_RS485_rx_d"
     32797t "std_logic"
     32798o 3
     32799suid 395,0
     32800)
     32801)
     32802uid 24716,0
     32803)
    3289532804*1190 (LeafLogPort
    3289632805port (LogicalPort
    32897 m 4
    32898 decl (Decl
    32899 n "DCM_locked_status"
    32900 t "std_logic"
    32901 o 56
    32902 suid 382,0
    32903 )
    32904 )
    32905 uid 23373,0
     32806m 1
     32807decl (Decl
     32808n "FTM_RS485_tx_d"
     32809t "std_logic"
     32810o 21
     32811suid 396,0
     32812)
     32813)
     32814uid 24718,0
    3290632815)
    3290732816*1191 (LeafLogPort
    3290832817port (LogicalPort
    32909 m 4
    32910 decl (Decl
    32911 n "DCM_ready_status"
    32912 t "std_logic"
    32913 o 57
    32914 suid 383,0
    32915 )
    32916 )
    32917 uid 23375,0
     32818m 1
     32819decl (Decl
     32820n "FTM_RS485_rx_en"
     32821t "std_logic"
     32822o 20
     32823suid 397,0
     32824)
     32825)
     32826uid 24720,0
    3291832827)
    3291932828*1192 (LeafLogPort
     
    3292132830m 1
    3292232831decl (Decl
    32923 n "trigger_veto"
    32924 t "std_logic"
    32925 o 45
    32926 suid 385,0
    32927 i "'1'"
    32928 )
    32929 )
    32930 uid 24090,0
    32931 )
    32932 *1193 (LeafLogPort
    32933 port (LogicalPort
    32934 decl (Decl
    32935 n "FTM_RS485_rx_d"
    32936 t "std_logic"
    32937 o 3
    32938 suid 395,0
    32939 )
    32940 )
    32941 uid 24716,0
    32942 )
    32943 *1194 (LeafLogPort
    32944 port (LogicalPort
    32945 m 1
    32946 decl (Decl
    32947 n "FTM_RS485_tx_d"
    32948 t "std_logic"
    32949 o 21
    32950 suid 396,0
    32951 )
    32952 )
    32953 uid 24718,0
    32954 )
    32955 *1195 (LeafLogPort
    32956 port (LogicalPort
    32957 m 1
    32958 decl (Decl
    32959 n "FTM_RS485_rx_en"
    32960 t "std_logic"
    32961 o 20
    32962 suid 397,0
    32963 )
    32964 )
    32965 uid 24720,0
    32966 )
    32967 *1196 (LeafLogPort
    32968 port (LogicalPort
    32969 m 1
    32970 decl (Decl
    3297132832n "FTM_RS485_tx_en"
    3297232833t "std_logic"
     
    3297732838uid 24722,0
    3297832839)
    32979 *1197 (LeafLogPort
     32840*1193 (LeafLogPort
    3298032841port (LogicalPort
    3298132842m 4
     
    3299032851uid 24744,0
    3299132852)
    32992 *1198 (LeafLogPort
     32853*1194 (LeafLogPort
    3299332854port (LogicalPort
    3299432855m 4
     
    3300332864uid 25043,0
    3300432865)
    33005 *1199 (LeafLogPort
     32866*1195 (LeafLogPort
    3300632867port (LogicalPort
    3300732868m 1
     
    3301832879uid 25312,0
    3301932880)
    33020 *1200 (LeafLogPort
     32881*1196 (LeafLogPort
    3302132882port (LogicalPort
    3302232883m 1
     
    3303032891uid 25569,0
    3303132892)
    33032 *1201 (LeafLogPort
     32893*1197 (LeafLogPort
    3303332894port (LogicalPort
    3303432895m 1
     
    3304232903uid 25571,0
    3304332904)
    33044 *1202 (LeafLogPort
     32905*1198 (LeafLogPort
    3304532906port (LogicalPort
    3304632907m 4
     
    3305432915uid 25846,0
    3305532916)
    33056 *1203 (LeafLogPort
     32917*1199 (LeafLogPort
    3305732918port (LogicalPort
    3305832919lang 2
     
    3307032931uid 26087,0
    3307132932)
    33072 *1204 (LeafLogPort
     32933*1200 (LeafLogPort
    3307332934port (LogicalPort
    3307432935m 4
     
    3308232943uid 26364,0
    3308332944)
    33084 *1205 (LeafLogPort
     32945*1201 (LeafLogPort
    3308532946port (LogicalPort
    3308632947m 1
     
    3309732958uid 26593,0
    3309832959)
    33099 *1206 (LeafLogPort
     32960*1202 (LeafLogPort
    3310032961port (LogicalPort
    3310132962m 4
     
    3311032971uid 27137,0
    3311132972)
    33112 *1207 (LeafLogPort
     32973*1203 (LeafLogPort
    3311332974port (LogicalPort
    3311432975m 4
     
    3312332984uid 27159,0
    3312432985)
    33125 *1208 (LeafLogPort
     32986*1204 (LeafLogPort
    3312632987port (LogicalPort
    3312732988m 4
     
    3313532996uid 27161,0
    3313632997)
    33137 *1209 (LeafLogPort
     32998*1205 (LeafLogPort
    3313832999port (LogicalPort
    3313933000m 4
     
    3315133012uid 27619,0
    3315233013)
    33153 *1210 (LeafLogPort
     33014*1206 (LeafLogPort
    3315433015port (LogicalPort
    3315533016m 1
     
    3316633027uid 28290,0
    3316733028)
    33168 *1211 (LeafLogPort
     33029*1207 (LeafLogPort
    3316933030port (LogicalPort
    3317033031m 4
     
    3317833039uid 29097,0
    3317933040)
    33180 *1212 (LeafLogPort
     33041*1208 (LeafLogPort
    3318133042port (LogicalPort
    3318233043m 4
     
    3319033051)
    3319133052uid 29099,0
    33192 )
    33193 *1213 (LeafLogPort
    33194 port (LogicalPort
    33195 m 1
    33196 decl (Decl
    33197 n "spi_debug_16bit"
    33198 t "std_logic_vector"
    33199 b "( 15 DOWNTO 0 )"
    33200 o 146
    33201 suid 430,0
    33202 )
    33203 )
    33204 uid 29804,0
    3320533053)
    3320633054]
     
    3321133059uid 67,0
    3321233060optionalChildren [
    33213 *1214 (Sheet
     33061*1209 (Sheet
    3321433062sheetRow (SheetRow
    3321533063headerVa (MVa
     
    3322833076font "Tahoma,10,0"
    3322933077)
    33230 emptyMRCItem *1215 (MRCItem
    33231 litem &1055
    33232 pos 146
     33078emptyMRCItem *1210 (MRCItem
     33079litem &1051
     33080pos 145
    3323333081dimension 20
    3323433082)
    3323533083uid 69,0
    3323633084optionalChildren [
    33237 *1216 (MRCItem
    33238 litem &1056
     33085*1211 (MRCItem
     33086litem &1052
    3323933087pos 0
    3324033088dimension 20
    3324133089uid 70,0
    3324233090)
    33243 *1217 (MRCItem
    33244 litem &1057
     33091*1212 (MRCItem
     33092litem &1053
    3324533093pos 1
    3324633094dimension 23
    3324733095uid 71,0
    3324833096)
    33249 *1218 (MRCItem
    33250 litem &1058
     33097*1213 (MRCItem
     33098litem &1054
    3325133099pos 2
    3325233100hidden 1
     
    3325433102uid 72,0
    3325533103)
    33256 *1219 (MRCItem
    33257 litem &1068
     33104*1214 (MRCItem
     33105litem &1064
    3325833106pos 54
    3325933107dimension 20
    3326033108uid 517,0
    3326133109)
    33262 *1220 (MRCItem
    33263 litem &1069
     33110*1215 (MRCItem
     33111litem &1065
    3326433112pos 55
    3326533113dimension 20
    3326633114uid 519,0
    3326733115)
    33268 *1221 (MRCItem
    33269 litem &1070
     33116*1216 (MRCItem
     33117litem &1066
    3327033118pos 56
    3327133119dimension 20
    3327233120uid 521,0
    3327333121)
    33274 *1222 (MRCItem
    33275 litem &1071
     33122*1217 (MRCItem
     33123litem &1067
    3327633124pos 57
    3327733125dimension 20
    3327833126uid 531,0
    3327933127)
    33280 *1223 (MRCItem
    33281 litem &1072
     33128*1218 (MRCItem
     33129litem &1068
    3328233130pos 58
    3328333131dimension 20
    3328433132uid 533,0
    3328533133)
    33286 *1224 (MRCItem
    33287 litem &1073
     33134*1219 (MRCItem
     33135litem &1069
    3328833136pos 0
    3328933137dimension 20
    3329033138uid 535,0
    3329133139)
    33292 *1225 (MRCItem
    33293 litem &1074
     33140*1220 (MRCItem
     33141litem &1070
    3329433142pos 1
    3329533143dimension 20
    3329633144uid 537,0
    3329733145)
    33298 *1226 (MRCItem
    33299 litem &1075
     33146*1221 (MRCItem
     33147litem &1071
    3330033148pos 2
    3330133149dimension 20
    3330233150uid 539,0
    3330333151)
    33304 *1227 (MRCItem
    33305 litem &1076
     33152*1222 (MRCItem
     33153litem &1072
    3330633154pos 3
    3330733155dimension 20
    3330833156uid 541,0
    3330933157)
    33310 *1228 (MRCItem
    33311 litem &1077
     33158*1223 (MRCItem
     33159litem &1073
    3331233160pos 4
    3331333161dimension 20
    3331433162uid 543,0
    3331533163)
    33316 *1229 (MRCItem
    33317 litem &1078
     33164*1224 (MRCItem
     33165litem &1074
    3331833166pos 5
    3331933167dimension 20
    3332033168uid 547,0
    3332133169)
    33322 *1230 (MRCItem
    33323 litem &1079
     33170*1225 (MRCItem
     33171litem &1075
    3332433172pos 6
    3332533173dimension 20
    3332633174uid 549,0
    3332733175)
    33328 *1231 (MRCItem
    33329 litem &1080
     33176*1226 (MRCItem
     33177litem &1076
    3333033178pos 8
    3333133179dimension 20
    3333233180uid 1456,0
    3333333181)
    33334 *1232 (MRCItem
    33335 litem &1081
     33182*1227 (MRCItem
     33183litem &1077
    3333633184pos 7
    3333733185dimension 20
    3333833186uid 1458,0
    3333933187)
    33340 *1233 (MRCItem
    33341 litem &1082
     33188*1228 (MRCItem
     33189litem &1078
    3334233190pos 9
    3334333191dimension 20
    3334433192uid 1695,0
    3334533193)
    33346 *1234 (MRCItem
    33347 litem &1083
     33194*1229 (MRCItem
     33195litem &1079
    3334833196pos 59
    3334933197dimension 20
    3335033198uid 2306,0
    3335133199)
    33352 *1235 (MRCItem
    33353 litem &1084
     33200*1230 (MRCItem
     33201litem &1080
    3335433202pos 60
    3335533203dimension 20
    3335633204uid 2513,0
    3335733205)
    33358 *1236 (MRCItem
    33359 litem &1085
     33206*1231 (MRCItem
     33207litem &1081
    3336033208pos 61
    3336133209dimension 20
    3336233210uid 2515,0
    3336333211)
    33364 *1237 (MRCItem
    33365 litem &1086
     33212*1232 (MRCItem
     33213litem &1082
    3336633214pos 62
    3336733215dimension 20
    3336833216uid 2517,0
    3336933217)
    33370 *1238 (MRCItem
    33371 litem &1087
     33218*1233 (MRCItem
     33219litem &1083
    3337233220pos 63
    3337333221dimension 20
    3337433222uid 2519,0
    3337533223)
    33376 *1239 (MRCItem
    33377 litem &1088
     33224*1234 (MRCItem
     33225litem &1084
    3337833226pos 64
    3337933227dimension 20
    3338033228uid 2521,0
    3338133229)
    33382 *1240 (MRCItem
    33383 litem &1089
     33230*1235 (MRCItem
     33231litem &1085
    3338433232pos 65
    3338533233dimension 20
    3338633234uid 2523,0
    3338733235)
    33388 *1241 (MRCItem
    33389 litem &1090
     33236*1236 (MRCItem
     33237litem &1086
    3339033238pos 66
    3339133239dimension 20
    3339233240uid 2605,0
    3339333241)
    33394 *1242 (MRCItem
    33395 litem &1091
     33242*1237 (MRCItem
     33243litem &1087
    3339633244pos 67
    3339733245dimension 20
    3339833246uid 2607,0
    3339933247)
    33400 *1243 (MRCItem
    33401 litem &1092
     33248*1238 (MRCItem
     33249litem &1088
    3340233250pos 68
    3340333251dimension 20
    3340433252uid 2613,0
    3340533253)
    33406 *1244 (MRCItem
    33407 litem &1093
     33254*1239 (MRCItem
     33255litem &1089
    3340833256pos 69
    3340933257dimension 20
    3341033258uid 2647,0
    3341133259)
    33412 *1245 (MRCItem
    33413 litem &1094
     33260*1240 (MRCItem
     33261litem &1090
    3341433262pos 10
    3341533263dimension 20
    3341633264uid 2813,0
    3341733265)
    33418 *1246 (MRCItem
    33419 litem &1095
     33266*1241 (MRCItem
     33267litem &1091
    3342033268pos 11
    3342133269dimension 20
    3342233270uid 3903,0
    3342333271)
    33424 *1247 (MRCItem
    33425 litem &1096
     33272*1242 (MRCItem
     33273litem &1092
    3342633274pos 12
    3342733275dimension 20
    3342833276uid 4071,0
    3342933277)
    33430 *1248 (MRCItem
    33431 litem &1097
     33278*1243 (MRCItem
     33279litem &1093
    3343233280pos 70
    3343333281dimension 20
    3343433282uid 4213,0
    3343533283)
    33436 *1249 (MRCItem
    33437 litem &1098
     33284*1244 (MRCItem
     33285litem &1094
    3343833286pos 13
    3343933287dimension 20
    3344033288uid 4235,0
    3344133289)
    33442 *1250 (MRCItem
    33443 litem &1099
     33290*1245 (MRCItem
     33291litem &1095
    3344433292pos 14
    3344533293dimension 20
    3344633294uid 4263,0
    3344733295)
    33448 *1251 (MRCItem
    33449 litem &1100
     33296*1246 (MRCItem
     33297litem &1096
    3345033298pos 15
    3345133299dimension 20
    3345233300uid 4277,0
    3345333301)
    33454 *1252 (MRCItem
    33455 litem &1101
     33302*1247 (MRCItem
     33303litem &1097
    3345633304pos 71
    3345733305dimension 20
    3345833306uid 4564,0
    3345933307)
    33460 *1253 (MRCItem
    33461 litem &1102
     33308*1248 (MRCItem
     33309litem &1098
    3346233310pos 72
    3346333311dimension 20
    3346433312uid 4566,0
    3346533313)
    33466 *1254 (MRCItem
    33467 litem &1103
     33314*1249 (MRCItem
     33315litem &1099
    3346833316pos 73
    3346933317dimension 20
    3347033318uid 4570,0
    3347133319)
    33472 *1255 (MRCItem
    33473 litem &1104
     33320*1250 (MRCItem
     33321litem &1100
    3347433322pos 16
    3347533323dimension 20
    3347633324uid 4586,0
    3347733325)
    33478 *1256 (MRCItem
    33479 litem &1105
     33326*1251 (MRCItem
     33327litem &1101
    3348033328pos 17
    3348133329dimension 20
    3348233330uid 4588,0
    3348333331)
    33484 *1257 (MRCItem
    33485 litem &1106
     33332*1252 (MRCItem
     33333litem &1102
    3348633334pos 18
    3348733335dimension 20
    3348833336uid 4734,0
    3348933337)
    33490 *1258 (MRCItem
    33491 litem &1107
     33338*1253 (MRCItem
     33339litem &1103
    3349233340pos 19
    3349333341dimension 20
    3349433342uid 4736,0
    3349533343)
    33496 *1259 (MRCItem
    33497 litem &1108
     33344*1254 (MRCItem
     33345litem &1104
    3349833346pos 20
    3349933347dimension 20
    3350033348uid 4738,0
    3350133349)
    33502 *1260 (MRCItem
    33503 litem &1109
     33350*1255 (MRCItem
     33351litem &1105
    3350433352pos 21
    3350533353dimension 20
    3350633354uid 4740,0
    3350733355)
    33508 *1261 (MRCItem
    33509 litem &1110
     33356*1256 (MRCItem
     33357litem &1106
    3351033358pos 74
    3351133359dimension 20
    3351233360uid 4750,0
    3351333361)
    33514 *1262 (MRCItem
    33515 litem &1111
     33362*1257 (MRCItem
     33363litem &1107
    3351633364pos 22
    3351733365dimension 20
    3351833366uid 4975,0
    3351933367)
    33520 *1263 (MRCItem
    33521 litem &1112
     33368*1258 (MRCItem
     33369litem &1108
    3352233370pos 23
    3352333371dimension 20
    3352433372uid 4977,0
    3352533373)
    33526 *1264 (MRCItem
    33527 litem &1113
     33374*1259 (MRCItem
     33375litem &1109
    3352833376pos 24
    3352933377dimension 20
    3353033378uid 5227,0
    3353133379)
    33532 *1265 (MRCItem
    33533 litem &1114
     33380*1260 (MRCItem
     33381litem &1110
    3353433382pos 75
    3353533383dimension 20
    3353633384uid 5503,0
    3353733385)
    33538 *1266 (MRCItem
    33539 litem &1115
     33386*1261 (MRCItem
     33387litem &1111
    3354033388pos 76
    3354133389dimension 20
    3354233390uid 5505,0
    3354333391)
    33544 *1267 (MRCItem
    33545 litem &1116
     33392*1262 (MRCItem
     33393litem &1112
    3354633394pos 77
    3354733395dimension 20
    3354833396uid 5643,0
    3354933397)
    33550 *1268 (MRCItem
    33551 litem &1117
     33398*1263 (MRCItem
     33399litem &1113
    3355233400pos 78
    3355333401dimension 20
    3355433402uid 5645,0
    3355533403)
    33556 *1269 (MRCItem
    33557 litem &1118
     33404*1264 (MRCItem
     33405litem &1114
    3355833406pos 25
    3355933407dimension 20
    3356033408uid 5868,0
    3356133409)
    33562 *1270 (MRCItem
    33563 litem &1119
     33410*1265 (MRCItem
     33411litem &1115
    3356433412pos 26
    3356533413dimension 20
    3356633414uid 5870,0
    3356733415)
    33568 *1271 (MRCItem
    33569 litem &1120
     33416*1266 (MRCItem
     33417litem &1116
    3357033418pos 27
    3357133419dimension 20
    3357233420uid 5872,0
    3357333421)
    33574 *1272 (MRCItem
    33575 litem &1121
     33422*1267 (MRCItem
     33423litem &1117
    3357633424pos 28
    3357733425dimension 20
    3357833426uid 5874,0
    3357933427)
    33580 *1273 (MRCItem
    33581 litem &1122
     33428*1268 (MRCItem
     33429litem &1118
    3358233430pos 29
    3358333431dimension 20
    3358433432uid 6173,0
    3358533433)
    33586 *1274 (MRCItem
    33587 litem &1123
     33434*1269 (MRCItem
     33435litem &1119
    3358833436pos 30
    3358933437dimension 20
    3359033438uid 6375,0
    3359133439)
    33592 *1275 (MRCItem
    33593 litem &1124
     33440*1270 (MRCItem
     33441litem &1120
    3359433442pos 79
    3359533443dimension 20
    3359633444uid 8761,0
    3359733445)
    33598 *1276 (MRCItem
    33599 litem &1125
     33446*1271 (MRCItem
     33447litem &1121
    3360033448pos 53
    3360133449dimension 20
    3360233450uid 9019,0
    3360333451)
    33604 *1277 (MRCItem
    33605 litem &1126
     33452*1272 (MRCItem
     33453litem &1122
    3360633454pos 80
    3360733455dimension 20
    3360833456uid 9248,0
    3360933457)
    33610 *1278 (MRCItem
    33611 litem &1127
     33458*1273 (MRCItem
     33459litem &1123
    3361233460pos 81
    3361333461dimension 20
    3361433462uid 9250,0
    3361533463)
    33616 *1279 (MRCItem
    33617 litem &1128
     33464*1274 (MRCItem
     33465litem &1124
    3361833466pos 82
    3361933467dimension 20
    3362033468uid 10025,0
    3362133469)
    33622 *1280 (MRCItem
    33623 litem &1129
     33470*1275 (MRCItem
     33471litem &1125
    3362433472pos 83
    3362533473dimension 20
    3362633474uid 10027,0
    3362733475)
    33628 *1281 (MRCItem
    33629 litem &1130
     33476*1276 (MRCItem
     33477litem &1126
    3363033478pos 84
    3363133479dimension 20
    3363233480uid 10029,0
    3363333481)
    33634 *1282 (MRCItem
    33635 litem &1131
     33482*1277 (MRCItem
     33483litem &1127
    3363633484pos 85
    3363733485dimension 20
    3363833486uid 10295,0
    3363933487)
    33640 *1283 (MRCItem
    33641 litem &1132
     33488*1278 (MRCItem
     33489litem &1128
    3364233490pos 86
    3364333491dimension 20
    3364433492uid 10335,0
    3364533493)
    33646 *1284 (MRCItem
    33647 litem &1133
     33494*1279 (MRCItem
     33495litem &1129
    3364833496pos 87
    3364933497dimension 20
    3365033498uid 10337,0
    3365133499)
    33652 *1285 (MRCItem
    33653 litem &1134
     33500*1280 (MRCItem
     33501litem &1130
    3365433502pos 88
    3365533503dimension 20
    3365633504uid 10339,0
    3365733505)
    33658 *1286 (MRCItem
    33659 litem &1135
     33506*1281 (MRCItem
     33507litem &1131
    3366033508pos 89
    3366133509dimension 20
    3366233510uid 10341,0
    3366333511)
    33664 *1287 (MRCItem
    33665 litem &1136
     33512*1282 (MRCItem
     33513litem &1132
    3366633514pos 31
    3366733515dimension 20
    3366833516uid 10343,0
    3366933517)
    33670 *1288 (MRCItem
    33671 litem &1137
     33518*1283 (MRCItem
     33519litem &1133
    3367233520pos 90
    3367333521dimension 20
    3367433522uid 10764,0
    3367533523)
    33676 *1289 (MRCItem
    33677 litem &1138
     33524*1284 (MRCItem
     33525litem &1134
    3367833526pos 91
    3367933527dimension 20
    3368033528uid 10766,0
    3368133529)
    33682 *1290 (MRCItem
    33683 litem &1139
     33530*1285 (MRCItem
     33531litem &1135
    3368433532pos 32
    3368533533dimension 20
    3368633534uid 10768,0
    3368733535)
    33688 *1291 (MRCItem
    33689 litem &1140
     33536*1286 (MRCItem
     33537litem &1136
    3369033538pos 33
    3369133539dimension 20
    3369233540uid 10770,0
    3369333541)
    33694 *1292 (MRCItem
    33695 litem &1141
     33542*1287 (MRCItem
     33543litem &1137
    3369633544pos 34
    3369733545dimension 20
    3369833546uid 10772,0
    3369933547)
    33700 *1293 (MRCItem
    33701 litem &1142
     33548*1288 (MRCItem
     33549litem &1138
    3370233550pos 92
    3370333551dimension 20
    3370433552uid 11412,0
    3370533553)
    33706 *1294 (MRCItem
    33707 litem &1143
     33554*1289 (MRCItem
     33555litem &1139
    3370833556pos 93
    3370933557dimension 20
    3371033558uid 11967,0
    3371133559)
    33712 *1295 (MRCItem
    33713 litem &1144
     33560*1290 (MRCItem
     33561litem &1140
    3371433562pos 94
    3371533563dimension 20
    3371633564uid 12662,0
    3371733565)
    33718 *1296 (MRCItem
    33719 litem &1145
     33566*1291 (MRCItem
     33567litem &1141
    3372033568pos 95
    3372133569dimension 20
    3372233570uid 12664,0
    3372333571)
    33724 *1297 (MRCItem
    33725 litem &1146
     33572*1292 (MRCItem
     33573litem &1142
    3372633574pos 96
    3372733575dimension 20
    3372833576uid 13276,0
    3372933577)
    33730 *1298 (MRCItem
    33731 litem &1147
     33578*1293 (MRCItem
     33579litem &1143
    3373233580pos 35
    3373333581dimension 20
    3373433582uid 13688,0
    3373533583)
    33736 *1299 (MRCItem
    33737 litem &1148
     33584*1294 (MRCItem
     33585litem &1144
    3373833586pos 36
    3373933587dimension 20
    3374033588uid 14041,0
    3374133589)
    33742 *1300 (MRCItem
    33743 litem &1149
     33590*1295 (MRCItem
     33591litem &1145
    3374433592pos 37
    3374533593dimension 20
    3374633594uid 14164,0
    3374733595)
    33748 *1301 (MRCItem
    33749 litem &1150
     33596*1296 (MRCItem
     33597litem &1146
    3375033598pos 38
    3375133599dimension 20
    3375233600uid 14508,0
    3375333601)
    33754 *1302 (MRCItem
    33755 litem &1151
     33602*1297 (MRCItem
     33603litem &1147
    3375633604pos 39
    3375733605dimension 20
    3375833606uid 14510,0
    3375933607)
    33760 *1303 (MRCItem
    33761 litem &1152
     33608*1298 (MRCItem
     33609litem &1148
    3376233610pos 40
    3376333611dimension 20
    3376433612uid 14635,0
    3376533613)
    33766 *1304 (MRCItem
    33767 litem &1153
     33614*1299 (MRCItem
     33615litem &1149
    3376833616pos 97
    3376933617dimension 20
    3377033618uid 15145,0
    3377133619)
    33772 *1305 (MRCItem
    33773 litem &1154
     33620*1300 (MRCItem
     33621litem &1150
    3377433622pos 98
    3377533623dimension 20
    3377633624uid 15147,0
    3377733625)
    33778 *1306 (MRCItem
    33779 litem &1155
     33626*1301 (MRCItem
     33627litem &1151
    3378033628pos 99
    3378133629dimension 20
    3378233630uid 15505,0
    3378333631)
    33784 *1307 (MRCItem
    33785 litem &1156
     33632*1302 (MRCItem
     33633litem &1152
    3378633634pos 100
    3378733635dimension 20
    3378833636uid 15755,0
    3378933637)
    33790 *1308 (MRCItem
    33791 litem &1157
     33638*1303 (MRCItem
     33639litem &1153
    3379233640pos 101
    3379333641dimension 20
    3379433642uid 16387,0
    3379533643)
    33796 *1309 (MRCItem
    33797 litem &1158
     33644*1304 (MRCItem
     33645litem &1154
    3379833646pos 102
    3379933647dimension 20
    3380033648uid 16572,0
    3380133649)
    33802 *1310 (MRCItem
    33803 litem &1159
     33650*1305 (MRCItem
     33651litem &1155
    3380433652pos 103
    3380533653dimension 20
    3380633654uid 16574,0
    3380733655)
    33808 *1311 (MRCItem
    33809 litem &1160
     33656*1306 (MRCItem
     33657litem &1156
    3381033658pos 104
    3381133659dimension 20
    3381233660uid 16962,0
    3381333661)
    33814 *1312 (MRCItem
    33815 litem &1161
     33662*1307 (MRCItem
     33663litem &1157
    3381633664pos 105
    3381733665dimension 20
    3381833666uid 16964,0
    3381933667)
    33820 *1313 (MRCItem
    33821 litem &1162
     33668*1308 (MRCItem
     33669litem &1158
    3382233670pos 106
    3382333671dimension 20
    3382433672uid 16966,0
    3382533673)
    33826 *1314 (MRCItem
    33827 litem &1163
     33674*1309 (MRCItem
     33675litem &1159
    3382833676pos 107
    3382933677dimension 20
    3383033678uid 17034,0
    3383133679)
    33832 *1315 (MRCItem
    33833 litem &1164
     33680*1310 (MRCItem
     33681litem &1160
    3383433682pos 108
    3383533683dimension 20
    3383633684uid 17036,0
    3383733685)
    33838 *1316 (MRCItem
    33839 litem &1165
     33686*1311 (MRCItem
     33687litem &1161
    3384033688pos 109
    3384133689dimension 20
    3384233690uid 17398,0
    3384333691)
    33844 *1317 (MRCItem
    33845 litem &1166
     33692*1312 (MRCItem
     33693litem &1162
    3384633694pos 110
    3384733695dimension 20
    3384833696uid 18464,0
    3384933697)
    33850 *1318 (MRCItem
    33851 litem &1167
     33698*1313 (MRCItem
     33699litem &1163
    3385233700pos 41
    3385333701dimension 20
    3385433702uid 18967,0
    3385533703)
    33856 *1319 (MRCItem
    33857 litem &1168
     33704*1314 (MRCItem
     33705litem &1164
    3385833706pos 111
    3385933707dimension 20
    3386033708uid 20160,0
    3386133709)
    33862 *1320 (MRCItem
    33863 litem &1169
     33710*1315 (MRCItem
     33711litem &1165
    3386433712pos 112
    3386533713dimension 20
    3386633714uid 20516,0
    3386733715)
    33868 *1321 (MRCItem
    33869 litem &1170
     33716*1316 (MRCItem
     33717litem &1166
    3387033718pos 113
    3387133719dimension 20
    3387233720uid 20518,0
    3387333721)
    33874 *1322 (MRCItem
    33875 litem &1171
     33722*1317 (MRCItem
     33723litem &1167
    3387633724pos 114
    3387733725dimension 20
    3387833726uid 20524,0
    3387933727)
    33880 *1323 (MRCItem
    33881 litem &1172
     33728*1318 (MRCItem
     33729litem &1168
    3388233730pos 115
    3388333731dimension 20
    3388433732uid 20526,0
    3388533733)
    33886 *1324 (MRCItem
    33887 litem &1173
     33734*1319 (MRCItem
     33735litem &1169
    3388833736pos 116
    3388933737dimension 20
    3389033738uid 21092,0
    3389133739)
    33892 *1325 (MRCItem
    33893 litem &1174
     33740*1320 (MRCItem
     33741litem &1170
    3389433742pos 117
    3389533743dimension 20
    3389633744uid 21094,0
    3389733745)
    33898 *1326 (MRCItem
    33899 litem &1175
     33746*1321 (MRCItem
     33747litem &1171
    3390033748pos 118
    3390133749dimension 20
    3390233750uid 21098,0
    3390333751)
    33904 *1327 (MRCItem
    33905 litem &1176
     33752*1322 (MRCItem
     33753litem &1172
    3390633754pos 119
    3390733755dimension 20
    3390833756uid 21102,0
    3390933757)
    33910 *1328 (MRCItem
    33911 litem &1177
     33758*1323 (MRCItem
     33759litem &1173
    3391233760pos 120
    3391333761dimension 20
    3391433762uid 21104,0
    3391533763)
    33916 *1329 (MRCItem
    33917 litem &1178
     33764*1324 (MRCItem
     33765litem &1174
    3391833766pos 121
    3391933767dimension 20
    3392033768uid 21108,0
    3392133769)
    33922 *1330 (MRCItem
    33923 litem &1179
     33770*1325 (MRCItem
     33771litem &1175
    3392433772pos 122
    3392533773dimension 20
    3392633774uid 22030,0
    3392733775)
    33928 *1331 (MRCItem
    33929 litem &1180
     33776*1326 (MRCItem
     33777litem &1176
    3393033778pos 123
    3393133779dimension 20
    3393233780uid 22032,0
    3393333781)
    33934 *1332 (MRCItem
    33935 litem &1181
     33782*1327 (MRCItem
     33783litem &1177
    3393633784pos 124
    3393733785dimension 20
    3393833786uid 22034,0
    3393933787)
    33940 *1333 (MRCItem
    33941 litem &1182
     33788*1328 (MRCItem
     33789litem &1178
    3394233790pos 125
    3394333791dimension 20
    3394433792uid 22036,0
    3394533793)
    33946 *1334 (MRCItem
    33947 litem &1183
     33794*1329 (MRCItem
     33795litem &1179
    3394833796pos 126
    3394933797dimension 20
    3395033798uid 22038,0
    3395133799)
    33952 *1335 (MRCItem
    33953 litem &1184
     33800*1330 (MRCItem
     33801litem &1180
    3395433802pos 127
    3395533803dimension 20
    3395633804uid 22040,0
    3395733805)
    33958 *1336 (MRCItem
    33959 litem &1185
     33806*1331 (MRCItem
     33807litem &1181
    3396033808pos 128
    3396133809dimension 20
    3396233810uid 22134,0
    3396333811)
    33964 *1337 (MRCItem
    33965 litem &1186
     33812*1332 (MRCItem
     33813litem &1182
    3396633814pos 129
    3396733815dimension 20
    3396833816uid 22136,0
    3396933817)
    33970 *1338 (MRCItem
    33971 litem &1187
     33818*1333 (MRCItem
     33819litem &1183
    3397233820pos 130
    3397333821dimension 20
    3397433822uid 22138,0
    3397533823)
    33976 *1339 (MRCItem
    33977 litem &1188
     33824*1334 (MRCItem
     33825litem &1184
    3397833826pos 131
    3397933827dimension 20
    3398033828uid 22140,0
    3398133829)
    33982 *1340 (MRCItem
    33983 litem &1189
     33830*1335 (MRCItem
     33831litem &1185
    3398433832pos 132
    3398533833dimension 20
    3398633834uid 23054,0
    3398733835)
    33988 *1341 (MRCItem
    33989 litem &1190
     33836*1336 (MRCItem
     33837litem &1186
    3399033838pos 133
    3399133839dimension 20
    3399233840uid 23374,0
    3399333841)
    33994 *1342 (MRCItem
    33995 litem &1191
     33842*1337 (MRCItem
     33843litem &1187
    3399633844pos 134
    3399733845dimension 20
    3399833846uid 23376,0
    3399933847)
    34000 *1343 (MRCItem
    34001 litem &1192
     33848*1338 (MRCItem
     33849litem &1188
    3400233850pos 42
    3400333851dimension 20
    3400433852uid 24091,0
    3400533853)
    34006 *1344 (MRCItem
    34007 litem &1193
     33854*1339 (MRCItem
     33855litem &1189
    3400833856pos 43
    3400933857dimension 20
    3401033858uid 24717,0
    3401133859)
    34012 *1345 (MRCItem
    34013 litem &1194
     33860*1340 (MRCItem
     33861litem &1190
    3401433862pos 44
    3401533863dimension 20
    3401633864uid 24719,0
    3401733865)
    34018 *1346 (MRCItem
    34019 litem &1195
     33866*1341 (MRCItem
     33867litem &1191
    3402033868pos 45
    3402133869dimension 20
    3402233870uid 24721,0
    3402333871)
    34024 *1347 (MRCItem
    34025 litem &1196
     33872*1342 (MRCItem
     33873litem &1192
    3402633874pos 46
    3402733875dimension 20
    3402833876uid 24723,0
    3402933877)
    34030 *1348 (MRCItem
    34031 litem &1197
     33878*1343 (MRCItem
     33879litem &1193
    3403233880pos 135
    3403333881dimension 20
    3403433882uid 24745,0
    3403533883)
    34036 *1349 (MRCItem
    34037 litem &1198
     33884*1344 (MRCItem
     33885litem &1194
    3403833886pos 136
    3403933887dimension 20
    3404033888uid 25044,0
    3404133889)
    34042 *1350 (MRCItem
    34043 litem &1199
     33890*1345 (MRCItem
     33891litem &1195
    3404433892pos 47
    3404533893dimension 20
    3404633894uid 25313,0
    3404733895)
    34048 *1351 (MRCItem
    34049 litem &1200
     33896*1346 (MRCItem
     33897litem &1196
    3405033898pos 48
    3405133899dimension 20
    3405233900uid 25570,0
    3405333901)
    34054 *1352 (MRCItem
    34055 litem &1201
     33902*1347 (MRCItem
     33903litem &1197
    3405633904pos 49
    3405733905dimension 20
    3405833906uid 25572,0
    3405933907)
    34060 *1353 (MRCItem
    34061 litem &1202
     33908*1348 (MRCItem
     33909litem &1198
    3406233910pos 137
    3406333911dimension 20
    3406433912uid 25847,0
    3406533913)
    34066 *1354 (MRCItem
    34067 litem &1203
     33914*1349 (MRCItem
     33915litem &1199
    3406833916pos 50
    3406933917dimension 20
    3407033918uid 26088,0
    3407133919)
    34072 *1355 (MRCItem
    34073 litem &1204
     33920*1350 (MRCItem
     33921litem &1200
    3407433922pos 138
    3407533923dimension 20
    3407633924uid 26365,0
    3407733925)
    34078 *1356 (MRCItem
    34079 litem &1205
     33926*1351 (MRCItem
     33927litem &1201
    3408033928pos 51
    3408133929dimension 20
    3408233930uid 26594,0
    3408333931)
    34084 *1357 (MRCItem
    34085 litem &1206
     33932*1352 (MRCItem
     33933litem &1202
    3408633934pos 139
    3408733935dimension 20
    3408833936uid 27138,0
    3408933937)
    34090 *1358 (MRCItem
    34091 litem &1207
     33938*1353 (MRCItem
     33939litem &1203
    3409233940pos 140
    3409333941dimension 20
    3409433942uid 27160,0
    3409533943)
    34096 *1359 (MRCItem
    34097 litem &1208
     33944*1354 (MRCItem
     33945litem &1204
    3409833946pos 141
    3409933947dimension 20
    3410033948uid 27162,0
    3410133949)
    34102 *1360 (MRCItem
    34103 litem &1209
     33950*1355 (MRCItem
     33951litem &1205
    3410433952pos 142
    3410533953dimension 20
    3410633954uid 27620,0
    3410733955)
    34108 *1361 (MRCItem
    34109 litem &1210
     33956*1356 (MRCItem
     33957litem &1206
    3411033958pos 52
    3411133959dimension 20
    3411233960uid 28291,0
    3411333961)
    34114 *1362 (MRCItem
    34115 litem &1211
     33962*1357 (MRCItem
     33963litem &1207
    3411633964pos 143
    3411733965dimension 20
    3411833966uid 29098,0
    3411933967)
    34120 *1363 (MRCItem
    34121 litem &1212
     33968*1358 (MRCItem
     33969litem &1208
    3412233970pos 144
    3412333971dimension 20
    3412433972uid 29100,0
    34125 )
    34126 *1364 (MRCItem
    34127 litem &1213
    34128 pos 145
    34129 dimension 20
    34130 uid 29805,0
    3413133973)
    3413233974]
     
    3414133983uid 73,0
    3414233984optionalChildren [
    34143 *1365 (MRCItem
    34144 litem &1059
     33985*1359 (MRCItem
     33986litem &1055
    3414533987pos 0
    3414633988dimension 20
    3414733989uid 74,0
    3414833990)
    34149 *1366 (MRCItem
    34150 litem &1061
     33991*1360 (MRCItem
     33992litem &1057
    3415133993pos 1
    3415233994dimension 50
    3415333995uid 75,0
    3415433996)
    34155 *1367 (MRCItem
    34156 litem &1062
     33997*1361 (MRCItem
     33998litem &1058
    3415733999pos 2
    3415834000dimension 100
    3415934001uid 76,0
    3416034002)
    34161 *1368 (MRCItem
    34162 litem &1063
     34003*1362 (MRCItem
     34004litem &1059
    3416334005pos 3
    3416434006dimension 50
    3416534007uid 77,0
    3416634008)
    34167 *1369 (MRCItem
    34168 litem &1064
     34009*1363 (MRCItem
     34010litem &1060
    3416934011pos 4
    3417034012dimension 100
    3417134013uid 78,0
    3417234014)
    34173 *1370 (MRCItem
    34174 litem &1065
     34015*1364 (MRCItem
     34016litem &1061
    3417534017pos 5
    3417634018dimension 100
    3417734019uid 79,0
    3417834020)
    34179 *1371 (MRCItem
    34180 litem &1066
     34021*1365 (MRCItem
     34022litem &1062
    3418134023pos 6
    3418234024dimension 50
    3418334025uid 80,0
    3418434026)
    34185 *1372 (MRCItem
    34186 litem &1067
     34027*1366 (MRCItem
     34028litem &1063
    3418734029pos 7
    3418834030dimension 290
     
    3420434046genericsCommonDM (CommonDM
    3420534047ldm (LogicalDM
    34206 emptyRow *1373 (LEmptyRow
     34048emptyRow *1367 (LEmptyRow
    3420734049)
    3420834050uid 83,0
    3420934051optionalChildren [
    34210 *1374 (RefLabelRowHdr
    34211 )
    34212 *1375 (TitleRowHdr
    34213 )
    34214 *1376 (FilterRowHdr
    34215 )
    34216 *1377 (RefLabelColHdr
     34052*1368 (RefLabelRowHdr
     34053)
     34054*1369 (TitleRowHdr
     34055)
     34056*1370 (FilterRowHdr
     34057)
     34058*1371 (RefLabelColHdr
    3421734059tm "RefLabelColHdrMgr"
    3421834060)
    34219 *1378 (RowExpandColHdr
     34061*1372 (RowExpandColHdr
    3422034062tm "RowExpandColHdrMgr"
    3422134063)
    34222 *1379 (GroupColHdr
     34064*1373 (GroupColHdr
    3422334065tm "GroupColHdrMgr"
    3422434066)
    34225 *1380 (NameColHdr
     34067*1374 (NameColHdr
    3422634068tm "GenericNameColHdrMgr"
    3422734069)
    34228 *1381 (TypeColHdr
     34070*1375 (TypeColHdr
    3422934071tm "GenericTypeColHdrMgr"
    3423034072)
    34231 *1382 (InitColHdr
     34073*1376 (InitColHdr
    3423234074tm "GenericValueColHdrMgr"
    3423334075)
    34234 *1383 (PragmaColHdr
     34076*1377 (PragmaColHdr
    3423534077tm "GenericPragmaColHdrMgr"
    3423634078)
    34237 *1384 (EolColHdr
     34079*1378 (EolColHdr
    3423834080tm "GenericEolColHdrMgr"
    3423934081)
    34240 *1385 (LogGeneric
     34082*1379 (LogGeneric
    3424134083generic (GiElement
    3424234084name "RAMADDRWIDTH64b"
     
    3425334095uid 95,0
    3425434096optionalChildren [
    34255 *1386 (Sheet
     34097*1380 (Sheet
    3425634098sheetRow (SheetRow
    3425734099headerVa (MVa
     
    3427034112font "Tahoma,10,0"
    3427134113)
    34272 emptyMRCItem *1387 (MRCItem
    34273 litem &1373
     34114emptyMRCItem *1381 (MRCItem
     34115litem &1367
    3427434116pos 1
    3427534117dimension 20
     
    3427734119uid 97,0
    3427834120optionalChildren [
    34279 *1388 (MRCItem
    34280 litem &1374
     34121*1382 (MRCItem
     34122litem &1368
    3428134123pos 0
    3428234124dimension 20
    3428334125uid 98,0
    3428434126)
    34285 *1389 (MRCItem
    34286 litem &1375
     34127*1383 (MRCItem
     34128litem &1369
    3428734129pos 1
    3428834130dimension 23
    3428934131uid 99,0
    3429034132)
    34291 *1390 (MRCItem
    34292 litem &1376
     34133*1384 (MRCItem
     34134litem &1370
    3429334135pos 2
    3429434136hidden 1
     
    3429634138uid 100,0
    3429734139)
    34298 *1391 (MRCItem
    34299 litem &1385
     34140*1385 (MRCItem
     34141litem &1379
    3430034142pos 0
    3430134143dimension 20
     
    3431334155uid 101,0
    3431434156optionalChildren [
    34315 *1392 (MRCItem
    34316 litem &1377
     34157*1386 (MRCItem
     34158litem &1371
    3431734159pos 0
    3431834160dimension 20
    3431934161uid 102,0
    3432034162)
    34321 *1393 (MRCItem
    34322 litem &1379
     34163*1387 (MRCItem
     34164litem &1373
    3432334165pos 1
    3432434166dimension 50
    3432534167uid 103,0
    3432634168)
    34327 *1394 (MRCItem
    34328 litem &1380
     34169*1388 (MRCItem
     34170litem &1374
    3432934171pos 2
    3433034172dimension 186
    3433134173uid 104,0
    3433234174)
    34333 *1395 (MRCItem
    34334 litem &1381
     34175*1389 (MRCItem
     34176litem &1375
    3433534177pos 3
    3433634178dimension 96
    3433734179uid 105,0
    3433834180)
    34339 *1396 (MRCItem
    34340 litem &1382
     34181*1390 (MRCItem
     34182litem &1376
    3434134183pos 4
    3434234184dimension 50
    3434334185uid 106,0
    3434434186)
    34345 *1397 (MRCItem
    34346 litem &1383
     34187*1391 (MRCItem
     34188litem &1377
    3434734189pos 5
    3434834190dimension 50
    3434934191uid 107,0
    3435034192)
    34351 *1398 (MRCItem
    34352 litem &1384
     34193*1392 (MRCItem
     34194litem &1378
    3435334195pos 6
    3435434196dimension 80
Note: See TracChangeset for help on using the changeset viewer.