Changeset 11173


Ignore:
Timestamp:
06/24/11 14:15:51 (13 years ago)
Author:
neise
Message:
this is v0206   ---   v0205 had a bug:  
when sending 'enable busy' this was not even parsed in firmware. 
now enable/disable busy is being parsed.
NEW BASE PORT = 31919    (this ist 0x7CAF :-) 
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl
Files:
4 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r11155 r11173  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 13:24:39 23.06.2011
     5--          at - 15:01:19 24.06.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    7676-- Created:
    7777--          by - daqct3.UNKNOWN (IHP110)
    78 --          at - 13:24:39 23.06.2011
     78--          at - 15:01:20 24.06.2011
    7979--
    8080-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd

    r11155 r11173  
    4848  constant MAC_LIST : mac_list_type := (MAC_FAD0,MAC_FAD1,MAC_FAD2);
    4949
    50   constant FIRST_PORT : integer := 5000;
     50  constant FIRST_PORT : integer := 31919;
    5151  constant CAM_IP_PREFIX : ip_type := (10, 0, 0, 0);
    5252  constant IP_offset : integer := 128;
     
    5757        --constant SUBVERSION_NUMBER : std_logic_vector (15 downto 0) := conv_std_logic_vector(str_to_int(SUBVERSION_STRING),16);
    5858        constant PACKAGE_VERSION : std_logic_vector(7 downto 0) := X"02";
    59         constant PACKAGE_SUB_VERSION : std_logic_vector(7 downto 0) := X"05";
     59        constant PACKAGE_SUB_VERSION : std_logic_vector(7 downto 0) := X"06";
    6060        constant PACKAGE_HEADER_LENGTH : integer := 36;
    6161        constant PACKAGE_HEADER_ZEROS : integer := 0; 
     
    9797        constant W5300_S0_DPORTR        : std_logic_vector (9 downto 0) := W5300_BASE_ADR + "1000000000" + X"12";
    9898        constant W5300_S0_DIPR          : std_logic_vector (9 downto 0) := W5300_BASE_ADR + "1000000000" + X"14";
    99         constant W5300_S0_PORTOR        : std_logic_vector (9 downto 0) := W5300_BASE_ADR + "1000000000" + X"1A";  -- HIGH byte is S0_KPALVTR register
     99          constant W5300_S0_KPALVTR  : std_logic_vector (9 downto 0) := W5300_BASE_ADR + "1000000000" + X"1A";  -- HIGH byte is S0_KPALVTR register
    100100        constant W5300_S0_TX_WRSR       : std_logic_vector (9 downto 0) := W5300_BASE_ADR + "1000000000" + X"20";
    101101        constant W5300_S0_TX_FSR        : std_logic_vector (9 downto 0) := W5300_BASE_ADR + "1000000000" + X"24";
     
    171171constant CMD_MODE_ALL_SOCKETS : std_logic_vector    := X"31";
    172172constant CMD_TRIGGER : std_logic_vector                 := X"A0";
    173 constant CMD_TRIGGER_C : std_logic_vector               := X"B0";               -- should be 1F in next revision .. T.B. wants it.
     173constant CMD_TRIGGER_C : std_logic_vector               := X"1F";               -- should be 1F in next revision .. T.B. wants it.
    174174constant CMD_TRIGGER_S : std_logic_vector               := X"20";
    175175
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r11155 r11173  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 13:24:37 23.06.2011
     5--          at - 15:01:18 24.06.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    8383-- Created:
    8484--          by - daqct3.UNKNOWN (IHP110)
    85 --          at - 13:24:38 23.06.2011
     85--          at - 15:01:19 24.06.2011
    8686--
    8787-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    190190   SIGNAL sensor_array                 : sensor_array_type;
    191191   SIGNAL sensor_ready                 : std_logic;
     192   SIGNAL socket_send_mode             : std_logic;
    192193   SIGNAL socks_connected              : std_logic;
    193194   SIGNAL socks_waiting                : std_logic;
     
    328329      denable_enable_in          : IN     std_logic ;
    329330      busy_enable_in             : IN     std_logic ;
     331      trigger_enable_in          : IN     std_logic ;
     332      cont_trigger_en_in         : IN     std_logic ;
     333      socket_send_mode_in        : IN     std_logic ;
    330334      -- EVT HEADER - part 2  --> FTM trigger informaton, comes in late ...
    331335      -- during EVT header wrinting, this field is left out ... and only written into event header,
     
    561565      srclk_enable                  : OUT    std_logic                      := '1';                          -- default SRCLK on.
    562566      busy_enable                   : OUT    std_logic                      := '1';
     567      socket_send_mode_out          : OUT    std_logic ;
    563568      ------------------------------------------------------------------------------
    564569     
     
    772777         denable_enable_in          => denable_sig,
    773778         busy_enable_in             => busy_enable,
     779         trigger_enable_in          => trigger_enable,
     780         cont_trigger_en_in         => c_trigger_enable,
     781         socket_send_mode_in        => socket_send_mode,
    774782         FTM_RS485_ready            => FTM_RS485_ready,
    775783         FTM_trigger_info           => rs465_data,
     
    975983         srclk_enable                  => srclk_enable,
    976984         busy_enable                   => busy_enable,
     985         socket_send_mode_out          => socket_send_mode,
    977986         ps_direction                  => ps_direction,
    978987         ps_do_phase_shift             => ps_do_phase_shift,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd

    r11155 r11173  
    108108type state_init_type is (
    109109        INTERRUPT, RESET, WRITE_REG, READ_REG, WRITE_DATA,
    110         INIT, LOCATE, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
     110        INIT, LOCATE, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1,
     111        TIMEOUT,
     112        --RETRY,
    111113        SI, SI1, SI1b, SI2, SI3, SI4, SI5, SI6, ESTABLISH, EST1,
    112114       
     
    290292signal wait_for_sockets_closed_counter_enable : std_logic := '0';
    291293signal wfscc_1 : integer range 0 to 50000 := 0;
    292 signal wfscc_2 : integer range 0 to 2000 := 0;
     294signal wfscc_2 : integer range 0 to 20000 := 0;
    293295
    294296
     
    714716                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(3),8);
    715717                                                state_init <= WRITE_REG;
     718                                                --next_state <= SI;
     719                                                next_state <= TIMEOUT;
     720                                        when TIMEOUT =>
     721                                                par_addr <=     W5300_RTR;
     722                                                --par_data <= X"07D0"; -- 0x07D0 = 200ms
     723                                                par_data <= X"07D0"; -- unit is 100us, so 0x000A = 10 stnads for 1ms.
     724                                                state_init <= WRITE_REG;
    716725                                                next_state <= SI;
    717 --                                      when TIMEOUT =>
    718 --            par_addr <=       W5300_RTR;
    719 --            par_data <= X"07D0"; -- 0x07D0 = 200ms
    720 --            state_init <= WRITE_REG;
    721 --            next_state <= RETRY;
    722726--          when RETRY =>
    723727--            par_addr <=       W5300_RCR;
     
    741745                                                next_state <= SI1b;
    742746                                        when SI1b =>
    743                                                 par_addr <= W5300_S0_PORTOR + socket_cnt * W5300_S_INC;
    744                                                 par_data <= X"0606"; -- send automatic KEEP ALIVE every 30s.
     747                                                par_addr <= W5300_S0_KPALVTR + socket_cnt * W5300_S_INC;
     748                                                par_data <= X"0200";                    -- send automatic KEEP ALIVE every 10s.
    745749                                                state_init <= WRITE_REG;
    746750                                                next_state <= SI2;                                             
     
    10231027                                                                denable <= '0';
    10241028                                                                state_read_data <= RD_5;
     1029                                                               
     1030                                                        when CMD_BUSY_ON =>
     1031                                                                busy_enable <= '1';
     1032                                                                state_read_data <= RD_5;
     1033                                                        when CMD_BUSY_OFF =>
     1034                                                                busy_enable <= '0';
     1035                                                                state_read_data <= RD_5;
     1036
     1037                                                               
    10251038                                                        when CMD_TRIGGER_C =>
    10261039                                                                c_trigger_enable <= '1';
     
    15311544                                wait_for_sockets_closed_counter_overflow <= '0';
    15321545                                if (wfscc_1 = 50000) then
    1533                                         if (wfscc_2 = 2000) then
     1546                                        if (wfscc_2 = 20000) then
    15341547                                                wait_for_sockets_closed_counter_overflow <= '1';
    1535                                                 wfscc_2 <= 2000;
     1548                                                wfscc_2 <= 20000;
    15361549                                                wfscc_1 <= 50000;
    15371550                                        else
Note: See TracChangeset for help on using the changeset viewer.