Ignore:
Timestamp:
07/21/11 12:14:08 (13 years ago)
Author:
vogler
Message:
lightpulser interface modified to reduce LED current and light output
Location:
firmware/FTM/Lightpulser_interface/Basic_Version
Files:
1 added
3 edited

Legend:

Unmodified
Added
Removed
  • firmware/FTM/Lightpulser_interface/Basic_Version/FM_pulse_generator_Basic.vhd

    r10879 r11513  
    1515--               by Patrick Vogler, Quirin Weitzel
    1616--               -> clean up
     17--
     18-- modified:     July 20 2011
     19--               by Patrick Vogler
     20--               reduce LED current to reduce total light yield
     21--
    1722
    1823
     
    2934ENTITY FM_pulse_generator_Basic IS
    3035   GENERIC(
    31       pulse_length : integer := FLD_PULSE_LENGTH_BASIC   -- 60ns                                                               
     36      pulse_length : integer := FLD_PULSE_LENGTH_FM   -- 60ns                                                               
    3237         );
    3338   PORT(
    3439      clk            : in  std_logic;
    35       pulse_freq     : in  std_logic_vector (5 downto 0);
    36       FM_out         : out std_logic  := '0'
     40      pulse_freq     : in  std_logic_vector (6 downto 0);
     41      FM_out         : out std_logic := '0'
    3742         );
    3843END FM_pulse_generator_Basic;
     
    4449  clk_div: process (clk)
    4550    variable Z   : integer range - FLD_MIN_FREQ_DIV_BASIC to FLD_FD_MAX_RANGE_BASIC;
    46     variable Y   : integer range 0 to FLD_PULSE_LENGTH_BASIC;
     51    variable Y   : integer range 0 to FLD_PULSE_LENGTH_FM;
    4752    variable X   : integer range 0 to FLD_FD_MULT_BASIC ;
    4853     
     
    5055         
    5156    if rising_edge(clk) then       
    52            if (X < FLD_FD_MULT_BASIC) then
     57           if (X < FLD_FD_MULT_BASIC - 1) then
    5358                  X := X+1;
    5459           else
     
    5762                      Z := Z + 1;
    5863                  else
    59                       Z := - FLD_MIN_FREQ_DIV_BASIC;
     64                      Z := - FLD_MIN_FREQ_DIV_BASIC + 1;
    6065                      Y := 0;
    6166                  end if;                 
  • firmware/FTM/Lightpulser_interface/Basic_Version/Lightpulser_interface_Basic.vhd

    r10879 r11513  
    3131--               by Patrick Vogler, Quirin Weitzel
    3232--               -> clean up
     33--
     34-- modified:     July 20 2011
     35--               by Patrick Vogler
     36--               reduce minimal LED light output and increase dynamic range
    3337--
    3438----------------------------------------------------------------------------------
     
    111115  port(
    112116    clk            : in  std_logic;    -- 50 MHz
    113     pulse_freq     : in  std_logic_vector (5 downto 0);
     117    pulse_freq     : in  std_logic_vector (6 downto 0);
    114118    FM_out         : out std_logic  := '0'
    115119  );
     
    198202    port map(
    199203      clk            => clk_50, 
    200       pulse_freq     => LP1_ampl_sig(5 downto 0),
     204      pulse_freq     => LP1_ampl_sig(6 downto 0),
    201205      FM_out         => PWM_sig_1   
    202206    );
     
    206210    port map(
    207211      clk            => clk_50, 
    208       pulse_freq     => LP2_ampl_sig(5 downto 0),
     212      pulse_freq     => LP2_ampl_sig(6 downto 0),
    209213      FM_out         => PWM_sig_2   
    210214    );
  • firmware/FTM/Lightpulser_interface/Basic_Version/single_LP_Basic.vhd

    r10879 r11513  
    3232--               by Patrick Vogler, Quirin Weitzel
    3333--               -> clean up
     34--
     35--
     36-- modified:     July 20 2011
     37--               by Patrick Vogler
     38--               reduce minimal LED light output and increase dynamic range
     39--
    3440----------------------------------------------------------------------------------
    3541----------------------------------------------------------------------------------
     
    7783single_LP_Basic_proc: process (clk_50)
    7884
    79 variable Y   : integer range 0 to FLD_PULSE_LENGTH_BASIC;
     85variable Y   : integer range 0 to FLD_PULSE_LENGTH_Pulse;
    8086
    8187begin 
     
    8995     
    9096       if (Pulse_Flag = '1') then
    91          if (Y < FLD_PULSE_LENGTH_BASIC) then
     97         if (Y < FLD_PULSE_LENGTH_Pulse) then
    9298           Y := Y + 1;
    9399           LP_Pulse_out <= '1';
Note: See TracChangeset for help on using the changeset viewer.