Ignore:
Timestamp:
08/03/11 18:14:56 (13 years ago)
Author:
neise
Message:
version 0x02.13: send data via socket 1 only. sockets 2..7 should be open as usual.
Location:
firmware/FAD/FACT_FAD_lib/hdl
Files:
4 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r11755 r11757  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 11:34:36 03.08.2011
     5--          at - 19:03:20 03.08.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    7676-- Created:
    7777--          by - daqct3.UNKNOWN (IHP110)
    78 --          at - 11:34:36 03.08.2011
     78--          at - 19:03:20 03.08.2011
    7979--
    8080-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
  • firmware/FAD/FACT_FAD_lib/hdl/fad_definitions.vhd

    r11755 r11757  
    5555        --constant SUBVERSION_NUMBER : std_logic_vector (15 downto 0) := conv_std_logic_vector(str_to_int(SUBVERSION_STRING),16);
    5656        constant PACKAGE_VERSION : std_logic_vector(7 downto 0) := X"02";
    57         constant PACKAGE_SUB_VERSION : std_logic_vector(7 downto 0) := X"12";
     57        constant PACKAGE_SUB_VERSION : std_logic_vector(7 downto 0) := X"13";
    5858        constant PACKAGE_HEADER_LENGTH : integer := 36;
    5959        constant PACKAGE_HEADER_ZEROS : integer := 0; 
  • firmware/FAD/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r11755 r11757  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 11:34:33 03.08.2011
     5--          at - 19:03:18 03.08.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    8383-- Created:
    8484--          by - daqct3.UNKNOWN (IHP110)
    85 --          at - 11:34:35 03.08.2011
     85--          at - 19:03:19 03.08.2011
    8686--
    8787-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
  • firmware/FAD/FACT_FAD_lib/hdl/w5300_modul.vhd

    r11755 r11757  
    14291429                                                                if (mod7_valid = '1') then
    14301430                                                                        if (socket_send_mode = '1') then -- send via all sockets
    1431                                                                                                 local_socket_nr <= mod7_result + 1;
     1431                                                                                                local_socket_nr <= "001";
    14321432                                                                        else -- only send via socket 0\
    14331433                                                                                                local_socket_nr <= "000";
Note: See TracChangeset for help on using the changeset viewer.