Ignore:
Timestamp:
08/05/11 18:44:23 (13 years ago)
Author:
neise
Message:
hex: v214; dec: v220.
FAD operates with socket 0 and 1 only. (TX MEM 60kb)
Location:
firmware/FAD/FACT_FAD_lib/hdl
Files:
4 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r11757 r11801  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 19:03:20 03.08.2011
     5--          at - 17:22:06 05.08.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    7676-- Created:
    7777--          by - daqct3.UNKNOWN (IHP110)
    78 --          at - 19:03:20 03.08.2011
     78--          at - 17:22:07 05.08.2011
    7979--
    8080-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
  • firmware/FAD/FACT_FAD_lib/hdl/fad_definitions.vhd

    r11757 r11801  
    5555        --constant SUBVERSION_NUMBER : std_logic_vector (15 downto 0) := conv_std_logic_vector(str_to_int(SUBVERSION_STRING),16);
    5656        constant PACKAGE_VERSION : std_logic_vector(7 downto 0) := X"02";
    57         constant PACKAGE_SUB_VERSION : std_logic_vector(7 downto 0) := X"13";
     57        constant PACKAGE_SUB_VERSION : std_logic_vector(7 downto 0) := X"14";
    5858        constant PACKAGE_HEADER_LENGTH : integer := 36;
    5959        constant PACKAGE_HEADER_ZEROS : integer := 0; 
     
    107107
    108108--
    109   constant W5300_TX_FIFO_SIZE_8B : integer := 15360; -- Socket TX FIFO-Size in Bytes
     109  --constant W5300_TX_FIFO_SIZE_8B : integer := 15360; -- Socket TX FIFO-Size in Bytes
     110  constant W5300_TX_FIFO_SIZE_8B : integer := 61440; -- Socket TX FIFO-Size in Bytes
    110111  constant W5300_TX_FIFO_SIZE : integer := (W5300_TX_FIFO_SIZE_8B / 2); -- Socket TX FIFO-Size in 16 Bit Words
    111112
     
    177178constant CMD_TRIGGER_S : std_logic_vector               := X"20";
    178179
    179 constant CMD_BUSY_ON : std_logic_vector                 := X"24";
    180 constant CMD_BUSY_OFF : std_logic_vector                := X"25";
     180constant CMD_BUSY_FIX_OFF_TRUE : std_logic_vector               := X"24";
     181constant CMD_BUSY_FIX_OFF_FALSE : std_logic_vector              := X"25";
    181182
    182183constant CMD_STATUS : std_logic_vector                  := X"FF";
  • firmware/FAD/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r11757 r11801  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 19:03:18 03.08.2011
     5--          at - 17:22:05 05.08.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    8383-- Created:
    8484--          by - daqct3.UNKNOWN (IHP110)
    85 --          at - 19:03:19 03.08.2011
     85--          at - 17:22:06 05.08.2011
    8686--
    8787-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    571571      sclk_enable                   : OUT    std_logic                      := '1';                          -- default DWRITE HIGH.
    572572      srclk_enable                  : OUT    std_logic                      := '1';                          -- default SRCLK on.
    573       busy_enable                   : OUT    std_logic                      := '0';
     573      busy_enable                   : OUT    std_logic                      := '1';
    574574      socket_send_mode_out          : OUT    std_logic ;
    575575      busy_manual                   : OUT    std_logic                      := '0';
  • firmware/FAD/FACT_FAD_lib/hdl/w5300_modul.vhd

    r11757 r11801  
    8181      sclk_enable : out std_logic := '1'; -- default DWRITE HIGH.
    8282      srclk_enable : out std_logic := '1'; -- default SRCLK on.
    83       busy_enable : out std_logic := '0';
     83      busy_enable : out std_logic := '1';
    8484          socket_send_mode_out : out std_logic;
    8585          busy_manual : out std_logic           := '0';
     
    450450                                                                else
    451451                                                                        socket_cnt <= socket_cnt + 1;
    452                                                                         if (socket_cnt = 7) then
     452                                                                        if (socket_cnt = 1) then
    453453                                                                                state_interrupt_2 <= IR2_05;
    454454                                                                        else
     
    483483                                                                next_state <= INTERRUPT;
    484484                                                                socket_cnt <= socket_cnt + 1;
    485                                                                 if (socket_cnt = 7) then
     485                                                                if (socket_cnt = 1) then
    486486                                                                        socket_cnt <= "000";
    487487                                                                        --state_interrupt_2 <= IR2_06;
     
    506506                                                                else
    507507                                                                        if ( data_read(7 downto 0) = SOCKET_CLOSED ) then
    508                                                                                 if ( socket_cnt = 7 ) then
     508                                                                                if ( socket_cnt = 1 ) then
    509509                                                                                        socket_cnt <= "000";
    510510                                                                                        state_interrupt_2 <= IR2_06;
     
    546546                                                c_trigger_enable <= '0';
    547547                                                trigger_enable_sig <= '0';
    548                                                 busy_enable <= '0';
     548                                                busy_enable <= '1';
    549549                                                busy_manual <= '0';
    550550                                                socket_send_mode <= '0';
     
    674674                                        -- Socket TX Memory Size
    675675                                        when STX =>
    676                                           par_data <= X"0F0F"; -- 15K TX
    677 
    678                                                 par_addr <= W5300_TMS01R;
    679                                                 state_init <=WRITE_REG;
    680                                                 next_state <= STX1;
    681           when STX1 =>
    682             par_addr <= W5300_TMS23R;
    683             state_init <=WRITE_REG;
    684             next_state <= STX2;
    685           when STX2 =>
    686             par_addr <= W5300_TMS45R;
    687             state_init <=WRITE_REG;
    688             next_state <= STX3;
    689           when STX3 =>
    690             par_addr <= W5300_TMS67R;
    691             state_init <=WRITE_REG;
    692             next_state <= SRX;
     676                                                par_data <= X"3C3C"; -- 60K TX
     677                                                par_addr <= W5300_TMS01R;
     678                                                state_init <=WRITE_REG;
     679                                                next_state <= STX1;
     680                                        when STX1 =>
     681                                                par_data <= X"0000"; --- nothing
     682                                                par_addr <= W5300_TMS23R;
     683                                                state_init <=WRITE_REG;
     684                                                next_state <= STX2;
     685                                        when STX2 =>
     686                                                par_data <= X"0000"; --- nothing
     687                                                par_addr <= W5300_TMS45R;
     688                                                state_init <=WRITE_REG;
     689                                                next_state <= STX3;
     690                                        when STX3 =>
     691                                                par_data <= X"0000"; --- nothing
     692                                                par_addr <= W5300_TMS67R;
     693                                                state_init <=WRITE_REG;
     694                                                next_state <= SRX;
    693695                                       
    694           -- Socket RX Memory Size
    695           when SRX =>
    696             par_data <= X"0101"; -- 1K RX
    697              
    698             par_addr <= W5300_RMS01R;
    699             state_init <=WRITE_REG;
    700             next_state <= SRX1;
    701           when SRX1 =>
    702             par_addr <= W5300_RMS23R;
    703             state_init <=WRITE_REG;
    704             next_state <= SRX2;
    705           when SRX2 =>
    706             par_addr <= W5300_RMS45R;
    707             state_init <=WRITE_REG;
    708             next_state <= SRX3;
    709           when SRX3 =>
    710             par_addr <= W5300_RMS67R;
    711             state_init <=WRITE_REG;
    712             next_state <= MAC;
     696                                        -- Socket RX Memory Size
     697                                        when SRX =>
     698                                                par_data <= X"0404"; -- 4K RX
     699                                                par_addr <= W5300_RMS01R;
     700                                                state_init <=WRITE_REG;
     701                                                next_state <= SRX1;
     702                                        when SRX1 =>
     703                                                par_data <= X"0000"; --- nothing
     704                                                par_addr <= W5300_RMS23R;
     705                                                state_init <=WRITE_REG;
     706                                                next_state <= SRX2;
     707                                        when SRX2 =>
     708                                                par_data <= X"0000"; --- nothing
     709                                                par_addr <= W5300_RMS45R;
     710                                                state_init <=WRITE_REG;
     711                                                next_state <= SRX3;
     712                                        when SRX3 =>
     713                                                par_data <= X"0000"; --- nothing
     714                                                par_addr <= W5300_RMS67R;
     715                                                state_init <=WRITE_REG;
     716                                                next_state <= MAC;
    713717         
    714718                                        -- MAC
     
    826830                                                state_init <= WRITE_REG;
    827831                                                socket_cnt <= socket_cnt + 1;
    828                                                 if (socket_cnt = 7) then
     832                                                if (socket_cnt = 1) then
    829833                                                  socket_cnt <= "000";
    830834                                                  next_state <= ESTABLISH; -- All Sockets open
     
    845849                                                case data_read (7 downto 0) is
    846850                                                        when X"17" => -- established
    847                                                                 if (socket_cnt = 7) then
     851                                                                if (socket_cnt = 1) then
    848852                                                                  socket_cnt <= "000";
    849853                                                                  busy <= '0';
     
    875879                                                state_init <= WRITE_REG;
    876880                                                socket_cnt <= socket_cnt + 1;
    877                                                 if (socket_cnt = 7) then
     881                                                if (socket_cnt = 1) then
    878882                                                  socket_cnt <= "000";
    879883                                                  next_state <= SET_Sn_KPALVTR;
     
    887891                                               
    888892                                                socket_cnt <= socket_cnt + 1;
    889                                                 if (socket_cnt = 7) then
     893                                                if (socket_cnt = 1) then
    890894                                                  socket_cnt <= "000";
    891895                                                  next_state <= MAIN;
     
    905909                                                        initial_message_counter <= 0;
    906910                                                        socket_cnt <= socket_cnt + 1;
    907                                                         if (socket_cnt = 7) then
     911                                                        if (socket_cnt = 1) then
    908912                                                          socket_cnt <= "000";
    909913                                                          next_state <= INITIAL_CONNECTION_MESSAGE_SET_SIZE_HIGH_BYTE;
     
    918922                                                next_state <= INITIAL_CONNECTION_MESSAGE_SET_SIZE_HIGH_BYTE;
    919923                                                socket_cnt <= socket_cnt + 1;
    920                                                 if (socket_cnt = 7) then
     924                                                if (socket_cnt = 1) then
    921925                                                        socket_cnt <= "000";
    922926                                                        next_state <= INITIAL_CONNECTION_MESSAGE_SET_SIZE_LOW_BYTE;
     
    930934                                                next_state <= INITIAL_CONNECTION_MESSAGE_SET_SIZE_LOW_BYTE;             
    931935                                                socket_cnt <= socket_cnt + 1;
    932                                                 if (socket_cnt = 7) then
     936                                                if (socket_cnt = 1) then
    933937                                                        socket_cnt <= "000";
    934938                                                        next_state <= INITIAL_CONNECTION_MESSAGE_SEND;
     
    942946                                                next_state <= INITIAL_CONNECTION_MESSAGE_SEND;
    943947                                                socket_cnt <= socket_cnt + 1;
    944                                                 if (socket_cnt = 7) then
     948                                                if (socket_cnt = 1) then
    945949                                                        socket_cnt <= "000";
    946950                                                        next_state <= MAIN;
     
    11971201                                                                state_read_data <= RD_5;
    11981202                                                               
    1199                                                         when CMD_BUSY_ON =>
     1203                                                        when CMD_BUSY_FIX_OFF_TRUE =>
    12001204                                                                busy_enable <= '1';
    12011205                                                                state_read_data <= RD_5;
    1202                                                         when CMD_BUSY_OFF =>
     1206                                                        when CMD_BUSY_FIX_OFF_FALSE =>
    12031207                                                                busy_enable <= '0';
    12041208                                                                state_read_data <= RD_5;
Note: See TracChangeset for help on using the changeset viewer.