Changeset 156 for FPGA/FTU/spi_interface

Timestamp:
02/03/10 13:59:50 (15 years ago)
Author:
qweitzel
Message:
First check-in of VHDL code for FTU: counters, dcm, spi
Location:
FPGA/FTU/spi_interface
Files:
6 added

Note: See TracChangeset for help on using the changeset viewer.