- Timestamp:
- 07/16/10 16:25:44 (14 years ago)
- File:
-
- 1 edited
Legend:
- Unmodified
- Added
- Removed
-
FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_board_struct.vhd
r246 r252 2 2 -- 3 3 -- Created: 4 -- by - dneise.UNKNOWN ( TU-CC4900F8C7D2)5 -- at - 1 2:42:19 02.07.20104 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 15:25:14 14.07.2010 6 6 -- 7 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 27 27 W_INT : IN std_logic; 28 28 X_50M : IN STD_LOGIC; 29 A1_T : OUT std_logic_vector ( 3 DOWNTO 0);29 A1_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0'); 30 30 A_CLK : OUT std_logic_vector (3 DOWNTO 0); 31 31 D0_SRCLK : OUT STD_LOGIC; … … 72 72 -- 73 73 -- Created: 74 -- by - dneise.UNKNOWN ( TU-CC4900F8C7D2)75 -- at - 1 2:42:20 02.07.201074 -- by - dneise.UNKNOWN (E5B-LABOR6) 75 -- at - 15:25:14 14.07.2010 76 76 -- 77 77 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 95 95 SIGNAL CLK_25_PS : std_logic; 96 96 SIGNAL CLK_50 : std_logic; 97 SIGNAL SRCLK : std_logic := '0';97 SIGNAL SRCLK : std_logic := '0'; 98 98 SIGNAL TRG_OR : std_logic; 99 99 SIGNAL adc_data_array : adc_data_array_type; 100 100 SIGNAL board_id : std_logic_vector(3 DOWNTO 0); 101 101 SIGNAL crate_id : std_logic_vector(1 DOWNTO 0); 102 SIGNAL drs_channel_id : std_logic_vector(3 DOWNTO 0) := (others => '0'); 102 103 SIGNAL dummy : std_logic; 103 SIGNAL not_TEST_TRG : STD_LOGIC;104 104 SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0); 105 SIGNAL trigger_out : STD_LOGIC := '0';106 107 -- Implicit buffer signal declarations108 SIGNAL RSRLOAD_internal : std_logic;109 105 110 106 … … 148 144 ); 149 145 END COMPONENT; 150 COMPONENT debouncer151 GENERIC (152 WIDTH : INTEGER := 17153 );154 PORT (155 clk : IN STD_LOGIC ;156 -- rst : in STD_LOGIC;157 trigger_in : IN STD_LOGIC ;158 trigger_out : OUT STD_LOGIC := '0'159 );160 END COMPONENT;161 146 162 147 -- Optional embedded configurations 163 148 -- pragma synthesis_off 164 149 FOR ALL : FAD_main USE ENTITY FACT_FAD_lib.FAD_main; 165 FOR ALL : debouncer USE ENTITY FACT_FAD_lib.debouncer;166 150 -- pragma synthesis_on 167 151 … … 228 212 -- HDL Embedded Text Block 8 eb2 229 213 -- eb2 8 230 A1_T(0) <= dummy; 231 A1_T(1) <= RSRLOAD_internal; 232 A1_T(2) <= D0_SROUT; 233 A1_T(3) <= D1_SROUT; 214 A1_T(3 downto 0) <= drs_channel_id; 215 D_A <= drs_channel_id; 216 A1_T(4) <= TRG_OR; 234 217 235 218 … … 237 220 DAC_CS <= dummy; 238 221 239 -- ModuleWare code(v1.9) for instance 'I1' of 'inv'240 not_TEST_TRG <= NOT(TEST_TRG);241 242 222 -- ModuleWare code(v1.9) for instance 'I2' of 'or' 243 TRG_OR <= TRG OR trigger_out;223 TRG_OR <= TRG OR TEST_TRG; 244 224 245 225 -- Instance port mappings. … … 262 242 CLK_25_PS => CLK_25_PS, 263 243 CLK_50 => CLK_50, 264 RSRLOAD => RSRLOAD _internal,244 RSRLOAD => RSRLOAD, 265 245 SRCLK => SRCLK, 266 246 adc_oeb => OE_ADC, 267 247 dac_cs => dummy, 268 248 denable => DENABLE, 269 drs_channel_id => D_A,249 drs_channel_id => drs_channel_id, 270 250 drs_dwrite => DWRITE, 271 251 led => D_T, … … 281 261 wiz_data => W_D 282 262 ); 283 I_debouncer : debouncer284 GENERIC MAP (285 WIDTH => 17286 )287 PORT MAP (288 clk => CLK_50,289 trigger_in => not_TEST_TRG,290 trigger_out => trigger_out291 );292 293 -- Implicit buffered output assignments294 RSRLOAD <= RSRLOAD_internal;295 263 296 264 END struct;
Note:
See TracChangeset
for help on using the changeset viewer.