Ignore:
Timestamp:
07/16/10 16:25:44 (14 years ago)
Author:
dneise
Message:
DRS addresses may not be set via
sa 44 0 .. 31
File:
1 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r246 r252  
    22--
    33-- Created:
    4 --          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    5 --          at - 12:42:19 02.07.2010
     4--          by - dneise.UNKNOWN (E5B-LABOR6)
     5--          at - 15:25:14 14.07.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    2727      W_INT      : IN     std_logic;
    2828      X_50M      : IN     STD_LOGIC;
    29       A1_T       : OUT    std_logic_vector (3 DOWNTO 0);
     29      A1_T       : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0');
    3030      A_CLK      : OUT    std_logic_vector (3 DOWNTO 0);
    3131      D0_SRCLK   : OUT    STD_LOGIC;
     
    7272--
    7373-- Created:
    74 --          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    75 --          at - 12:42:20 02.07.2010
     74--          by - dneise.UNKNOWN (E5B-LABOR6)
     75--          at - 15:25:14 14.07.2010
    7676--
    7777-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    9595   SIGNAL CLK_25_PS      : std_logic;
    9696   SIGNAL CLK_50         : std_logic;
    97    SIGNAL SRCLK          : std_logic := '0';
     97   SIGNAL SRCLK          : std_logic                    := '0';
    9898   SIGNAL TRG_OR         : std_logic;
    9999   SIGNAL adc_data_array : adc_data_array_type;
    100100   SIGNAL board_id       : std_logic_vector(3 DOWNTO 0);
    101101   SIGNAL crate_id       : std_logic_vector(1 DOWNTO 0);
     102   SIGNAL drs_channel_id : std_logic_vector(3 DOWNTO 0) := (others => '0');
    102103   SIGNAL dummy          : std_logic;
    103    SIGNAL not_TEST_TRG   : STD_LOGIC;
    104104   SIGNAL sensor_cs      : std_logic_vector(3 DOWNTO 0);
    105    SIGNAL trigger_out    : STD_LOGIC := '0';
    106 
    107    -- Implicit buffer signal declarations
    108    SIGNAL RSRLOAD_internal : std_logic;
    109105
    110106
     
    148144   );
    149145   END COMPONENT;
    150    COMPONENT debouncer
    151    GENERIC (
    152       WIDTH : INTEGER := 17
    153    );
    154    PORT (
    155       clk         : IN     STD_LOGIC ;
    156       --           rst : in  STD_LOGIC;
    157       trigger_in  : IN     STD_LOGIC ;
    158       trigger_out : OUT    STD_LOGIC  := '0'
    159    );
    160    END COMPONENT;
    161146
    162147   -- Optional embedded configurations
    163148   -- pragma synthesis_off
    164149   FOR ALL : FAD_main USE ENTITY FACT_FAD_lib.FAD_main;
    165    FOR ALL : debouncer USE ENTITY FACT_FAD_lib.debouncer;
    166150   -- pragma synthesis_on
    167151
     
    228212   -- HDL Embedded Text Block 8 eb2
    229213   -- eb2 8                                       
    230    A1_T(0) <= dummy;
    231    A1_T(1) <= RSRLOAD_internal;
    232    A1_T(2) <= D0_SROUT;
    233    A1_T(3) <= D1_SROUT;
     214   A1_T(3 downto 0) <= drs_channel_id;
     215   D_A <= drs_channel_id;
     216   A1_T(4)  <= TRG_OR;
    234217
    235218
     
    237220   DAC_CS <= dummy;
    238221
    239    -- ModuleWare code(v1.9) for instance 'I1' of 'inv'
    240    not_TEST_TRG <= NOT(TEST_TRG);
    241 
    242222   -- ModuleWare code(v1.9) for instance 'I2' of 'or'
    243    TRG_OR <= TRG OR trigger_out;
     223   TRG_OR <= TRG OR TEST_TRG;
    244224
    245225   -- Instance port mappings.
     
    262242         CLK_25_PS      => CLK_25_PS,
    263243         CLK_50         => CLK_50,
    264          RSRLOAD        => RSRLOAD_internal,
     244         RSRLOAD        => RSRLOAD,
    265245         SRCLK          => SRCLK,
    266246         adc_oeb        => OE_ADC,
    267247         dac_cs         => dummy,
    268248         denable        => DENABLE,
    269          drs_channel_id => D_A,
     249         drs_channel_id => drs_channel_id,
    270250         drs_dwrite     => DWRITE,
    271251         led            => D_T,
     
    281261         wiz_data       => W_D
    282262      );
    283    I_debouncer : debouncer
    284       GENERIC MAP (
    285          WIDTH => 17
    286       )
    287       PORT MAP (
    288          clk         => CLK_50,
    289          trigger_in  => not_TEST_TRG,
    290          trigger_out => trigger_out
    291       );
    292 
    293    -- Implicit buffered output assignments
    294    RSRLOAD <= RSRLOAD_internal;
    295263
    296264END struct;
Note: See TracChangeset for help on using the changeset viewer.