Ignore:
Timestamp:
07/22/10 10:33:08 (14 years ago)
Author:
dneise
Message:
possible to switch off SPI SCLK now.
see new revision of simple_daq in tools/FAD/
File:
1 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_definitions.vhd.bak

    r252 r260  
    105105  --constant DEFAULT_DAC : dac_array_type := (others => 0);
    106106 
    107   constant DEFAULT_DRSADDR : std_logic_vector (3 downto 0):= X"0";
     107  constant DEFAULT_DRSADDR : std_logic_vector (3 downto 0):= "0000";
    108108  constant DEFAULT_DRSADDR_MODE : std_logic := '0';
    109109
     
    124124  constant CMD_DWRITE_RUN : std_logic_vector  := X"08";
    125125  constant CMD_DWRITE_STOP : std_logic_vector := X"09";
     126  constant CMD_SCLK_ON : std_logic_vector     := X"10";
     127  constant CMD_SCLK_ON : std_logic_vector     := X"11";
     128 
     129 
    126130-- Declare functions and procedure
    127131
Note: See TracChangeset for help on using the changeset viewer.