Ignore:
Timestamp:
07/22/10 10:33:08 (14 years ago)
Author:
dneise
Message:
possible to switch off SPI SCLK now.
see new revision of simple_daq in tools/FAD/
File:
1 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r252 r260  
    161161uid 8562,0
    162162)
     163(Instance
     164name "U_1"
     165duLibraryName "moduleware"
     166duName "and"
     167elements [
     168]
     169mwi 1
     170uid 8721,0
     171)
    163172]
    164173libraryRefs [
     
    216225(vvPair
    217226variable "date"
    218 value "12.07.2010"
     227value "21.07.2010"
    219228)
    220229(vvPair
    221230variable "day"
    222 value "Mo"
     231value "Mi"
    223232)
    224233(vvPair
    225234variable "day_long"
    226 value "Montag"
     235value "Mittwoch"
    227236)
    228237(vvPair
    229238variable "dd"
    230 value "12"
     239value "21"
    231240)
    232241(vvPair
     
    256265(vvPair
    257266variable "host"
    258 value "TU-CC4900F8C7D2"
     267value "E5B-LABOR6"
    259268)
    260269(vvPair
     
    368377(vvPair
    369378variable "time"
    370 value "14:21:30"
     379value "11:39:48"
    371380)
    372381(vvPair
     
    459468font "Courier New,8,0"
    460469)
    461 xt "-85000,86600,-41500,87400"
     470xt "-85000,88200,-41500,89000"
    462471st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
    463472"
     
    36383647)
    36393648)
     3649*97 (CptPort
     3650uid 8748,0
     3651ps "OnEdgeStrategy"
     3652shape (Triangle
     3653uid 8749,0
     3654ro 90
     3655va (VaSet
     3656vasetType 1
     3657fg "0,65535,0"
     3658)
     3659xt "148000,76625,148750,77375"
     3660)
     3661tg (CPTG
     3662uid 8750,0
     3663ps "CptPortTextPlaceStrategy"
     3664stg "RightVerticalLayoutStrategy"
     3665f (Text
     3666uid 8751,0
     3667va (VaSet
     3668)
     3669xt "142300,76500,147000,77500"
     3670st "sclk_enable"
     3671ju 2
     3672blo "147000,77300"
     3673)
     3674)
     3675thePort (LogicalPort
     3676m 1
     3677decl (Decl
     3678n "sclk_enable"
     3679t "std_logic"
     3680eolc "-- default DWRITE HIGH."
     3681posAdd 0
     3682o 30
     3683suid 35,0
     3684i "'1'"
     3685)
     3686)
     3687)
    36403688]
    36413689shape (Rectangle
     
    36553703stg "VerticalLayoutStrategy"
    36563704textVec [
    3657 *97 (Text
     3705*98 (Text
    36583706uid 1609,0
    36593707va (VaSet
     
    36653713tm "BdLibraryNameMgr"
    36663714)
    3667 *98 (Text
     3715*99 (Text
    36683716uid 1610,0
    36693717va (VaSet
     
    36753723tm "CptNameMgr"
    36763724)
    3677 *99 (Text
     3725*100 (Text
    36783726uid 1611,0
    36793727va (VaSet
     
    37283776archFileType "UNKNOWN"
    37293777)
    3730 *100 (Net
     3778*101 (Net
    37313779uid 1680,0
    37323780decl (Decl
     
    37473795)
    37483796)
    3749 *101 (SaComponent
     3797*102 (SaComponent
    37503798uid 1768,0
    37513799optionalChildren [
    3752 *102 (CptPort
     3800*103 (CptPort
    37533801uid 1760,0
    37543802ps "OnEdgeStrategy"
     
    37903838)
    37913839)
    3792 *103 (CptPort
     3840*104 (CptPort
    37933841uid 1764,0
    37943842ps "OnEdgeStrategy"
     
    38273875)
    38283876)
    3829 *104 (CptPort
     3877*105 (CptPort
    38303878uid 6207,0
    38313879ps "OnEdgeStrategy"
     
    38793927stg "VerticalLayoutStrategy"
    38803928textVec [
    3881 *105 (Text
     3929*106 (Text
    38823930uid 1771,0
    38833931va (VaSet
     
    38893937tm "BdLibraryNameMgr"
    38903938)
    3891 *106 (Text
     3939*107 (Text
    38923940uid 1772,0
    38933941va (VaSet
     
    38993947tm "CptNameMgr"
    39003948)
    3901 *107 (Text
     3949*108 (Text
    39023950uid 1773,0
    39033951va (VaSet
     
    39473995archFileType "UNKNOWN"
    39483996)
    3949 *108 (Net
     3997*109 (Net
    39503998uid 1981,0
    39513999lang 2
     
    39644012font "Courier New,8,0"
    39654013)
    3966 xt "-85000,79400,-52500,80200"
     4014xt "-85000,81000,-52500,81800"
    39674015st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
    39684016"
    39694017)
    39704018)
    3971 *109 (Net
     4019*110 (Net
    39724020uid 2297,0
    39734021decl (Decl
     
    39904038)
    39914039)
    3992 *110 (SaComponent
     4040*111 (SaComponent
    39934041uid 2311,0
    39944042optionalChildren [
    3995 *111 (CptPort
     4043*112 (CptPort
    39964044uid 2307,0
    39974045ps "OnEdgeStrategy"
     
    40344082)
    40354083)
    4036 *112 (CptPort
     4084*113 (CptPort
    40374085uid 2351,0
    40384086ps "OnEdgeStrategy"
     
    40704118)
    40714119)
    4072 *113 (CptPort
     4120*114 (CptPort
    40734121uid 2361,0
    40744122ps "OnEdgeStrategy"
     
    41084156)
    41094157)
    4110 *114 (CptPort
     4158*115 (CptPort
    41114159uid 2365,0
    41124160ps "OnEdgeStrategy"
     
    41444192)
    41454193)
    4146 *115 (CptPort
     4194*116 (CptPort
    41474195uid 2369,0
    41484196ps "OnEdgeStrategy"
     
    41824230)
    41834231)
    4184 *116 (CptPort
     4232*117 (CptPort
    41854233uid 2373,0
    41864234ps "OnEdgeStrategy"
     
    42184266)
    42194267)
    4220 *117 (CptPort
     4268*118 (CptPort
    42214269uid 2377,0
    42224270ps "OnEdgeStrategy"
     
    42574305)
    42584306)
    4259 *118 (CptPort
     4307*119 (CptPort
    42604308uid 2381,0
    42614309ps "OnEdgeStrategy"
     
    42944342)
    42954343)
    4296 *119 (CptPort
     4344*120 (CptPort
    42974345uid 2385,0
    42984346ps "OnEdgeStrategy"
     
    43344382)
    43354383)
    4336 *120 (CptPort
     4384*121 (CptPort
    43374385uid 2389,0
    43384386ps "OnEdgeStrategy"
     
    43754423)
    43764424)
    4377 *121 (CptPort
     4425*122 (CptPort
    43784426uid 2393,0
    43794427ps "OnEdgeStrategy"
     
    44144462)
    44154463)
    4416 *122 (CptPort
     4464*123 (CptPort
    44174465uid 2397,0
    44184466ps "OnEdgeStrategy"
     
    44534501)
    44544502)
    4455 *123 (CptPort
     4503*124 (CptPort
    44564504uid 2401,0
    44574505ps "OnEdgeStrategy"
     
    44924540)
    44934541)
    4494 *124 (CptPort
     4542*125 (CptPort
    44954543uid 2405,0
    44964544ps "OnEdgeStrategy"
     
    45324580)
    45334581)
    4534 *125 (CptPort
     4582*126 (CptPort
    45354583uid 2454,0
    45364584ps "OnEdgeStrategy"
     
    45684616)
    45694617)
    4570 *126 (CptPort
     4618*127 (CptPort
    45714619uid 2628,0
    45724620ps "OnEdgeStrategy"
     
    46074655)
    46084656)
    4609 *127 (CptPort
     4657*128 (CptPort
    46104658uid 5991,0
    46114659ps "OnEdgeStrategy"
     
    46454693)
    46464694)
    4647 *128 (CptPort
     4695*129 (CptPort
    46484696uid 8410,0
    46494697ps "OnEdgeStrategy"
     
    46994747stg "VerticalLayoutStrategy"
    47004748textVec [
    4701 *129 (Text
     4749*130 (Text
    47024750uid 2314,0
    47034751va (VaSet
     
    47094757tm "BdLibraryNameMgr"
    47104758)
    4711 *130 (Text
     4759*131 (Text
    47124760uid 2315,0
    47134761va (VaSet
     
    47194767tm "CptNameMgr"
    47204768)
    4721 *131 (Text
     4769*132 (Text
    47224770uid 2316,0
    47234771va (VaSet
     
    47784826archFileType "UNKNOWN"
    47794827)
    4780 *132 (Net
     4828*133 (Net
    47814829uid 2468,0
    47824830lang 2
     
    47924840font "Courier New,8,0"
    47934841)
    4794 xt "-85000,81000,-62500,81800"
     4842xt "-85000,82600,-62500,83400"
    47954843st "SIGNAL wiz_busy               : std_logic
    47964844"
    47974845)
    47984846)
    4799 *133 (Net
     4847*134 (Net
    48004848uid 2474,0
    48014849lang 2
     
    48124860font "Courier New,8,0"
    48134861)
    4814 xt "-85000,83400,-41500,84200"
     4862xt "-85000,85000,-41500,85800"
    48154863st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
    48164864"
    48174865)
    48184866)
    4819 *134 (Net
     4867*135 (Net
    48204868uid 2480,0
    48214869lang 2
     
    48334881font "Courier New,8,0"
    48344882)
    4835 xt "-85000,85800,-35500,86600"
     4883xt "-85000,87400,-35500,88200"
    48364884st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
    48374885"
    48384886)
    48394887)
    4840 *135 (Net
     4888*136 (Net
    48414889uid 2486,0
    48424890lang 2
     
    48554903font "Courier New,8,0"
    48564904)
    4857 xt "-85000,82600,-35500,83400"
     4905xt "-85000,84200,-35500,85000"
    48584906st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
    48594907"
    48604908)
    48614909)
    4862 *136 (Net
     4910*137 (Net
    48634911uid 2492,0
    48644912lang 2
     
    48764924font "Courier New,8,0"
    48774925)
    4878 xt "-85000,81800,-35500,82600"
     4926xt "-85000,83400,-35500,84200"
    48794927st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
    48804928"
    48814929)
    48824930)
    4883 *137 (Net
     4931*138 (Net
    48844932uid 2498,0
    48854933lang 2
     
    48964944font "Courier New,8,0"
    48974945)
    4898 xt "-85000,84200,-41500,85000"
     4946xt "-85000,85800,-41500,86600"
    48994947st "SIGNAL wiz_write_end          : std_logic                                    := '0'
    49004948"
    49014949)
    49024950)
    4903 *138 (Net
     4951*139 (Net
    49044952uid 2504,0
    49054953lang 2
     
    49164964font "Courier New,8,0"
    49174965)
    4918 xt "-85000,85000,-41500,85800"
     4966xt "-85000,86600,-41500,87400"
    49194967st "SIGNAL wiz_write_header       : std_logic                                    := '0'
    49204968"
    49214969)
    49224970)
    4923 *139 (Net
     4971*140 (Net
    49244972uid 2574,0
    49254973decl (Decl
     
    49394987)
    49404988)
    4941 *140 (Net
     4989*141 (Net
    49424990uid 2580,0
    49434991decl (Decl
     
    49585006)
    49595007)
    4960 *141 (Net
     5008*142 (Net
    49615009uid 2586,0
    49625010decl (Decl
     
    49775025)
    49785026)
    4979 *142 (Net
     5027*143 (Net
    49805028uid 2592,0
    49815029decl (Decl
     
    49955043)
    49965044)
    4997 *143 (Net
     5045*144 (Net
    49985046uid 2598,0
    49995047decl (Decl
     
    50135061)
    50145062)
    5015 *144 (Net
     5063*145 (Net
    50165064uid 2640,0
    50175065decl (Decl
     
    50325080)
    50335081)
    5034 *145 (Net
     5082*146 (Net
    50355083uid 2776,0
    50365084decl (Decl
     
    50515099)
    50525100)
    5053 *146 (PortIoOut
     5101*147 (PortIoOut
    50545102uid 2798,0
    50555103shape (CompositeShape
     
    50965144)
    50975145)
    5098 *147 (PortIoIn
     5146*148 (PortIoIn
    50995147uid 2804,0
    51005148shape (CompositeShape
     
    51415189)
    51425190)
    5143 *148 (Net
     5191*149 (Net
    51445192uid 2924,0
    51455193decl (Decl
     
    51595207)
    51605208)
    5161 *149 (PortIoIn
     5209*150 (PortIoIn
    51625210uid 2950,0
    51635211shape (CompositeShape
     
    52045252)
    52055253)
    5206 *150 (PortIoIn
     5254*151 (PortIoIn
    52075255uid 2956,0
    52085256shape (CompositeShape
     
    52495297)
    52505298)
    5251 *151 (Grouping
     5299*152 (Grouping
    52525300uid 3137,0
    52535301optionalChildren [
    5254 *152 (CommentText
     5302*153 (CommentText
    52555303uid 3139,0
    52565304shape (Rectangle
     
    52835331titleBlock 1
    52845332)
    5285 *153 (CommentText
     5333*154 (CommentText
    52865334uid 3142,0
    52875335shape (Rectangle
     
    53145362titleBlock 1
    53155363)
    5316 *154 (CommentText
     5364*155 (CommentText
    53175365uid 3145,0
    53185366shape (Rectangle
     
    53455393titleBlock 1
    53465394)
    5347 *155 (CommentText
     5395*156 (CommentText
    53485396uid 3148,0
    53495397shape (Rectangle
     
    53765424titleBlock 1
    53775425)
    5378 *156 (CommentText
     5426*157 (CommentText
    53795427uid 3151,0
    53805428shape (Rectangle
     
    54065454titleBlock 1
    54075455)
    5408 *157 (CommentText
     5456*158 (CommentText
    54095457uid 3154,0
    54105458shape (Rectangle
     
    54375485titleBlock 1
    54385486)
    5439 *158 (CommentText
     5487*159 (CommentText
    54405488uid 3157,0
    54415489shape (Rectangle
     
    54695517titleBlock 1
    54705518)
    5471 *159 (CommentText
     5519*160 (CommentText
    54725520uid 3160,0
    54735521shape (Rectangle
     
    55005548titleBlock 1
    55015549)
    5502 *160 (CommentText
     5550*161 (CommentText
    55035551uid 3163,0
    55045552shape (Rectangle
     
    55315579titleBlock 1
    55325580)
    5533 *161 (CommentText
     5581*162 (CommentText
    55345582uid 3166,0
    55355583shape (Rectangle
     
    55755623oxt "14000,66000,55000,71000"
    55765624)
    5577 *162 (Net
     5625*163 (Net
    55785626uid 3894,0
    55795627decl (Decl
     
    55935641)
    55945642)
    5595 *163 (PortIoOut
     5643*164 (PortIoOut
    55965644uid 3978,0
    55975645shape (CompositeShape
     
    56385686)
    56395687)
    5640 *164 (Net
     5688*165 (Net
    56415689uid 4068,0
    56425690decl (Decl
     
    56565704)
    56575705)
    5658 *165 (SaComponent
     5706*166 (SaComponent
    56595707uid 4194,0
    56605708optionalChildren [
    5661 *166 (CptPort
     5709*167 (CptPort
    56625710uid 4178,0
    56635711ps "OnEdgeStrategy"
     
    56965744)
    56975745)
    5698 *167 (CptPort
     5746*168 (CptPort
    56995747uid 4182,0
    57005748ps "OnEdgeStrategy"
     
    57335781)
    57345782)
    5735 *168 (CptPort
     5783*169 (CptPort
    57365784uid 4186,0
    57375785ps "OnEdgeStrategy"
     
    57705818)
    57715819)
    5772 *169 (CptPort
     5820*170 (CptPort
    57735821uid 4190,0
    57745822ps "OnEdgeStrategy"
     
    58225870stg "VerticalLayoutStrategy"
    58235871textVec [
    5824 *170 (Text
     5872*171 (Text
    58255873uid 4197,0
    58265874va (VaSet
     
    58325880tm "BdLibraryNameMgr"
    58335881)
    5834 *171 (Text
     5882*172 (Text
    58355883uid 4198,0
    58365884va (VaSet
     
    58425890tm "CptNameMgr"
    58435891)
    5844 *172 (Text
     5892*173 (Text
    58455893uid 4199,0
    58465894va (VaSet
     
    58895937archFileType "UNKNOWN"
    58905938)
    5891 *173 (Net
     5939*174 (Net
    58925940uid 4204,0
    58935941decl (Decl
     
    59075955)
    59085956)
    5909 *174 (PortIoOut
     5957*175 (PortIoOut
    59105958uid 4220,0
    59115959shape (CompositeShape
     
    59526000)
    59536001)
    5954 *175 (Net
     6002*176 (Net
    59556003uid 4232,0
    59566004decl (Decl
     
    59706018)
    59716019)
    5972 *176 (Net
     6020*177 (Net
    59736021uid 4260,0
    59746022decl (Decl
     
    59896037)
    59906038)
    5991 *177 (Net
     6039*178 (Net
    59926040uid 4270,0
    59936041decl (Decl
     
    60076055)
    60086056)
    6009 *178 (PortIoIn
     6057*179 (PortIoIn
    60106058uid 4307,0
    60116059shape (CompositeShape
     
    60526100)
    60536101)
    6054 *179 (Net
     6102*180 (Net
    60556103uid 4399,0
    60566104decl (Decl
     
    60716119)
    60726120)
    6073 *180 (Net
     6121*181 (Net
    60746122uid 4405,0
    60756123decl (Decl
     
    60896137)
    60906138)
    6091 *181 (Net
     6139*182 (Net
    60926140uid 4417,0
    60936141decl (Decl
     
    61086156)
    61096157)
    6110 *182 (Net
     6158*183 (Net
    61116159uid 4535,0
    61126160decl (Decl
     
    61286176)
    61296177)
    6130 *183 (Net
     6178*184 (Net
    61316179uid 4543,0
    61326180decl (Decl
     
    61476195)
    61486196)
    6149 *184 (PortIoOut
     6197*185 (PortIoOut
    61506198uid 4551,0
    61516199shape (CompositeShape
     
    61926240)
    61936241)
    6194 *185 (PortIoOut
     6242*186 (PortIoOut
    61956243uid 4557,0
    61966244shape (CompositeShape
     
    62376285)
    62386286)
    6239 *186 (Net
     6287*187 (Net
    62406288uid 4669,0
    62416289decl (Decl
     
    62556303)
    62566304)
    6257 *187 (Net
     6305*188 (Net
    62586306uid 4677,0
    62596307decl (Decl
     
    62736321)
    62746322)
    6275 *188 (Net
     6323*189 (Net
    62766324uid 4685,0
    62776325decl (Decl
     
    62916339)
    62926340)
    6293 *189 (Net
     6341*190 (Net
    62946342uid 4693,0
    62956343decl (Decl
     
    63096357)
    63106358)
    6311 *190 (PortIoIn
     6359*191 (PortIoIn
    63126360uid 4701,0
    63136361shape (CompositeShape
     
    63546402)
    63556403)
    6356 *191 (PortIoIn
     6404*192 (PortIoIn
    63576405uid 4707,0
    63586406shape (CompositeShape
     
    63996447)
    64006448)
    6401 *192 (PortIoIn
     6449*193 (PortIoIn
    64026450uid 4713,0
    64036451shape (CompositeShape
     
    64446492)
    64456493)
    6446 *193 (PortIoIn
     6494*194 (PortIoIn
    64476495uid 4719,0
    64486496shape (CompositeShape
     
    64896537)
    64906538)
    6491 *194 (Net
     6539*195 (Net
    64926540uid 4741,0
    64936541decl (Decl
     
    65076555)
    65086556)
    6509 *195 (SaComponent
     6557*196 (SaComponent
    65106558uid 4903,0
    65116559optionalChildren [
    6512 *196 (CptPort
     6560*197 (CptPort
    65136561uid 4867,0
    65146562ps "OnEdgeStrategy"
     
    65436591)
    65446592)
    6545 *197 (CptPort
     6593*198 (CptPort
    65466594uid 4871,0
    65476595ps "OnEdgeStrategy"
     
    65776625)
    65786626)
    6579 *198 (CptPort
     6627*199 (CptPort
    65806628uid 4875,0
    65816629ps "OnEdgeStrategy"
     
    66116659)
    66126660)
    6613 *199 (CptPort
     6661*200 (CptPort
    66146662uid 4879,0
    66156663ps "OnEdgeStrategy"
     
    66446692)
    66456693)
    6646 *200 (CptPort
     6694*201 (CptPort
    66476695uid 4883,0
    66486696ps "OnEdgeStrategy"
     
    66776725)
    66786726)
    6679 *201 (CptPort
     6727*202 (CptPort
    66806728uid 4887,0
    66816729ps "OnEdgeStrategy"
     
    67106758)
    67116759)
    6712 *202 (CptPort
     6760*203 (CptPort
    67136761uid 4891,0
    67146762ps "OnEdgeStrategy"
     
    67436791)
    67446792)
    6745 *203 (CptPort
     6793*204 (CptPort
    67466794uid 4895,0
    67476795ps "OnEdgeStrategy"
     
    67786826)
    67796827)
    6780 *204 (CptPort
     6828*205 (CptPort
    67816829uid 4899,0
    67826830ps "OnEdgeStrategy"
     
    68146862)
    68156863)
    6816 *205 (CptPort
     6864*206 (CptPort
    68176865uid 4938,0
    68186866ps "OnEdgeStrategy"
     
    68496897)
    68506898)
    6851 *206 (CptPort
     6899*207 (CptPort
    68526900uid 4942,0
    68536901ps "OnEdgeStrategy"
     
    69016949stg "VerticalLayoutStrategy"
    69026950textVec [
    6903 *207 (Text
     6951*208 (Text
    69046952uid 4906,0
    69056953va (VaSet
     
    69116959tm "BdLibraryNameMgr"
    69126960)
    6913 *208 (Text
     6961*209 (Text
    69146962uid 4907,0
    69156963va (VaSet
     
    69216969tm "CptNameMgr"
    69226970)
    6923 *209 (Text
     6971*210 (Text
    69246972uid 4908,0
    69256973va (VaSet
     
    69687016archFileType "UNKNOWN"
    69697017)
    6970 *210 (Net
     7018*211 (Net
    69717019uid 4946,0
    69727020decl (Decl
     
    69877035)
    69887036)
    6989 *211 (PortIoOut
     7037*212 (PortIoOut
    69907038uid 4954,0
    69917039shape (CompositeShape
     
    70327080)
    70337081)
    7034 *212 (Net
     7082*213 (Net
    70357083uid 4960,0
    70367084decl (Decl
     
    70517099)
    70527100)
    7053 *213 (PortIoOut
     7101*214 (PortIoOut
    70547102uid 4968,0
    70557103shape (CompositeShape
     
    70967144)
    70977145)
    7098 *214 (SaComponent
     7146*215 (SaComponent
    70997147uid 5072,0
    71007148optionalChildren [
    7101 *215 (CptPort
     7149*216 (CptPort
    71027150uid 5028,0
    71037151ps "OnEdgeStrategy"
     
    71337181)
    71347182)
    7135 *216 (CptPort
     7183*217 (CptPort
    71367184uid 5032,0
    71377185ps "OnEdgeStrategy"
     
    71697217)
    71707218)
    7171 *217 (CptPort
     7219*218 (CptPort
    71727220uid 5036,0
    71737221ps "OnEdgeStrategy"
     
    72057253)
    72067254)
    7207 *218 (CptPort
     7255*219 (CptPort
    72087256uid 5040,0
    72097257ps "OnEdgeStrategy"
     
    72417289)
    72427290)
    7243 *219 (CptPort
     7291*220 (CptPort
    72447292uid 5044,0
    72457293ps "OnEdgeStrategy"
     
    72787326)
    72797327)
    7280 *220 (CptPort
     7328*221 (CptPort
    72817329uid 5048,0
    72827330ps "OnEdgeStrategy"
     
    73137361)
    73147362)
    7315 *221 (CptPort
     7363*222 (CptPort
    73167364uid 5052,0
    73177365ps "OnEdgeStrategy"
     
    73487396)
    73497397)
    7350 *222 (CptPort
     7398*223 (CptPort
    73517399uid 5056,0
    73527400ps "OnEdgeStrategy"
     
    73837431)
    73847432)
    7385 *223 (CptPort
     7433*224 (CptPort
    73867434uid 5060,0
    73877435ps "OnEdgeStrategy"
     
    74187466)
    74197467)
    7420 *224 (CptPort
     7468*225 (CptPort
    74217469uid 5064,0
    74227470ps "OnEdgeStrategy"
     
    74527500)
    74537501)
    7454 *225 (CptPort
     7502*226 (CptPort
    74557503uid 5068,0
    74567504ps "OnEdgeStrategy"
     
    74877535)
    74887536)
    7489 *226 (CptPort
     7537*227 (CptPort
    74907538uid 5995,0
    74917539ps "OnEdgeStrategy"
     
    75237571)
    75247572)
    7525 *227 (CptPort
     7573*228 (CptPort
    75267574uid 8500,0
    75277575ps "OnEdgeStrategy"
     
    75607608)
    75617609)
    7562 *228 (CptPort
     7610*229 (CptPort
    75637611uid 8504,0
    75647612ps "OnEdgeStrategy"
     
    76137661stg "VerticalLayoutStrategy"
    76147662textVec [
    7615 *229 (Text
     7663*230 (Text
    76167664uid 5075,0
    76177665va (VaSet
     
    76237671tm "BdLibraryNameMgr"
    76247672)
    7625 *230 (Text
     7673*231 (Text
    76267674uid 5076,0
    76277675va (VaSet
     
    76337681tm "CptNameMgr"
    76347682)
    7635 *231 (Text
     7683*232 (Text
    76367684uid 5077,0
    76377685va (VaSet
     
    76797727archFileType "UNKNOWN"
    76807728)
    7681 *232 (Net
     7729*233 (Net
    76827730uid 5088,0
    76837731decl (Decl
     
    76987746)
    76997747)
    7700 *233 (Net
     7748*234 (Net
    77017749uid 5096,0
    77027750decl (Decl
     
    77167764)
    77177765)
    7718 *234 (Net
     7766*235 (Net
    77197767uid 5104,0
    77207768decl (Decl
     
    77347782)
    77357783)
    7736 *235 (Net
     7784*236 (Net
    77377785uid 5112,0
    77387786decl (Decl
     
    77537801)
    77547802)
    7755 *236 (Net
     7803*237 (Net
    77567804uid 5120,0
    77577805decl (Decl
     
    77717819)
    77727820)
    7773 *237 (Net
     7821*238 (Net
    77747822uid 5128,0
    77757823decl (Decl
     
    77897837)
    77907838)
    7791 *238 (Net
     7839*239 (Net
    77927840uid 5144,0
    77937841decl (Decl
     
    78077855)
    78087856)
    7809 *239 (Net
     7857*240 (Net
    78107858uid 5194,0
    78117859decl (Decl
     
    78257873)
    78267874)
    7827 *240 (Net
     7875*241 (Net
    78287876uid 5196,0
    78297877decl (Decl
     
    78437891)
    78447892)
    7845 *241 (Net
     7893*242 (Net
    78467894uid 5220,0
    78477895decl (Decl
     
    78647912)
    78657913)
    7866 *242 (Net
     7914*243 (Net
    78677915uid 5279,0
    78687916decl (Decl
     
    78837931)
    78847932)
    7885 *243 (Net
     7933*244 (Net
    78867934uid 5472,0
    78877935decl (Decl
     
    78967944font "Courier New,8,0"
    78977945)
    7898 xt "-85000,78600,-62500,79400"
     7946xt "-85000,80200,-62500,81000"
    78997947st "SIGNAL sensor_ready           : std_logic
    79007948"
    79017949)
    79027950)
    7903 *244 (Net
     7951*245 (Net
    79047952uid 5478,0
    79057953decl (Decl
     
    79147962font "Courier New,8,0"
    79157963)
    7916 xt "-85000,77800,-58500,78600"
     7964xt "-85000,79400,-58500,80200"
    79177965st "SIGNAL sensor_array           : sensor_array_type
    79187966"
    79197967)
    79207968)
    7921 *245 (Net
     7969*246 (Net
    79227970uid 5588,0
    79237971decl (Decl
     
    79377985)
    79387986)
    7939 *246 (Net
     7987*247 (Net
    79407988uid 5632,0
    79417989lang 10
     
    79578005)
    79588006)
    7959 *247 (Net
     8007*248 (Net
    79608008uid 5640,0
    79618009decl (Decl
     
    79758023)
    79768024)
    7977 *248 (SaComponent
     8025*249 (SaComponent
    79788026uid 5678,0
    79798027optionalChildren [
    7980 *249 (CptPort
     8028*250 (CptPort
    79818029uid 5658,0
    79828030ps "OnEdgeStrategy"
     
    80138061)
    80148062)
    8015 *250 (CptPort
     8063*251 (CptPort
    80168064uid 5662,0
    80178065ps "OnEdgeStrategy"
     
    80508098)
    80518099)
    8052 *251 (CptPort
     8100*252 (CptPort
    80538101uid 5666,0
    80548102ps "OnEdgeStrategy"
     
    80898137)
    80908138)
    8091 *252 (CptPort
     8139*253 (CptPort
    80928140uid 5670,0
    80938141ps "OnEdgeStrategy"
     
    81258173)
    81268174)
    8127 *253 (CptPort
     8175*254 (CptPort
    81288176uid 5674,0
    81298177ps "OnEdgeStrategy"
     
    81788226stg "VerticalLayoutStrategy"
    81798227textVec [
    8180 *254 (Text
     8228*255 (Text
    81818229uid 5681,0
    81828230va (VaSet
     
    81888236tm "BdLibraryNameMgr"
    81898237)
    8190 *255 (Text
     8238*256 (Text
    81918239uid 5682,0
    81928240va (VaSet
     
    81988246tm "CptNameMgr"
    81998247)
    8200 *256 (Text
     8248*257 (Text
    82018249uid 5683,0
    82028250va (VaSet
     
    82478295archFileType "UNKNOWN"
    82488296)
    8249 *257 (Net
     8297*258 (Net
    82508298uid 5743,0
    82518299decl (Decl
     
    82668314)
    82678315)
    8268 *258 (SaComponent
     8316*259 (SaComponent
    82698317uid 5793,0
    82708318optionalChildren [
    8271 *259 (CptPort
     8319*260 (CptPort
    82728320uid 5753,0
    82738321ps "OnEdgeStrategy"
     
    83048352)
    83058353)
    8306 *260 (CptPort
     8354*261 (CptPort
    83078355uid 5761,0
    83088356ps "OnEdgeStrategy"
     
    83398387)
    83408388)
    8341 *261 (CptPort
     8389*262 (CptPort
    83428390uid 5765,0
    83438391ps "OnEdgeStrategy"
     
    83758423)
    83768424)
    8377 *262 (CptPort
     8425*263 (CptPort
    83788426uid 5769,0
    83798427ps "OnEdgeStrategy"
     
    84108458)
    84118459)
    8412 *263 (CptPort
     8460*264 (CptPort
    84138461uid 5773,0
    84148462ps "OnEdgeStrategy"
     
    84468494)
    84478495)
    8448 *264 (CptPort
     8496*265 (CptPort
    84498497uid 5777,0
    84508498ps "OnEdgeStrategy"
     
    84828530)
    84838531)
    8484 *265 (CptPort
     8532*266 (CptPort
    84858533uid 5781,0
    84868534ps "OnEdgeStrategy"
     
    85178565)
    85188566)
    8519 *266 (CptPort
     8567*267 (CptPort
    85208568uid 5785,0
    85218569ps "OnEdgeStrategy"
     
    85538601)
    85548602)
    8555 *267 (CptPort
     8603*268 (CptPort
    85568604uid 5789,0
    85578605ps "OnEdgeStrategy"
     
    85898637)
    85908638)
    8591 *268 (CptPort
     8639*269 (CptPort
    85928640uid 5986,0
    85938641ps "OnEdgeStrategy"
     
    86268674)
    86278675)
    8628 *269 (CptPort
     8676*270 (CptPort
    86298677uid 6154,0
    86308678ps "OnEdgeStrategy"
     
    86628710)
    86638711)
    8664 *270 (CptPort
     8712*271 (CptPort
    86658713uid 6317,0
    86668714ps "OnEdgeStrategy"
     
    87168764stg "VerticalLayoutStrategy"
    87178765textVec [
    8718 *271 (Text
     8766*272 (Text
    87198767uid 5796,0
    87208768va (VaSet
     
    87268774tm "BdLibraryNameMgr"
    87278775)
    8728 *272 (Text
     8776*273 (Text
    87298777uid 5797,0
    87308778va (VaSet
     
    87368784tm "CptNameMgr"
    87378785)
    8738 *273 (Text
     8786*274 (Text
    87398787uid 5798,0
    87408788va (VaSet
     
    87828830archFileType "UNKNOWN"
    87838831)
    8784 *274 (Net
     8832*275 (Net
    87858833uid 5811,0
    87868834decl (Decl
     
    88008848)
    88018849)
    8802 *275 (Net
     8850*276 (Net
    88038851uid 5819,0
    88048852decl (Decl
     
    88208868)
    88218869)
    8822 *276 (Net
     8870*277 (Net
    88238871uid 5827,0
    88248872decl (Decl
     
    88388886)
    88398887)
    8840 *277 (Net
     8888*278 (Net
    88418889uid 5835,0
    88428890decl (Decl
     
    88578905)
    88588906)
    8859 *278 (PortIoOut
     8907*279 (PortIoOut
    88608908uid 5843,0
    88618909shape (CompositeShape
     
    88708918sl 0
    88718919ro 90
    8872 xt "10000,106625,11500,107375"
     8920xt "-20000,111625,-18500,112375"
    88738921)
    88748922(Line
     
    88768924sl 0
    88778925ro 90
    8878 xt "11500,107000,12000,107000"
     8926xt "-18500,112000,-18000,112000"
    88798927pts [
    8880 "12000,107000"
    8881 "11500,107000"
     8928"-18000,112000"
     8929"-18500,112000"
    88828930]
    88838931)
     
    88948942va (VaSet
    88958943)
    8896 xt "7300,106500,9000,107500"
     8944xt "-22700,111500,-21000,112500"
    88978945st "sclk"
    88988946ju 2
    8899 blo "9000,107300"
     8947blo "-21000,112300"
    89008948tm "WireNameMgr"
    89018949)
    89028950)
    89038951)
    8904 *279 (PortIoInOut
     8952*280 (PortIoInOut
    89058953uid 5849,0
    89068954shape (CompositeShape
     
    89478995)
    89488996)
    8949 *280 (PortIoOut
     8997*281 (PortIoOut
    89508998uid 5855,0
    89518999shape (CompositeShape
     
    89929040)
    89939041)
    8994 *281 (PortIoOut
     9042*282 (PortIoOut
    89959043uid 5861,0
    89969044shape (CompositeShape
     
    90379085)
    90389086)
    9039 *282 (Net
     9087*283 (Net
    90409088uid 5948,0
    90419089decl (Decl
     
    90569104)
    90579105)
    9058 *283 (Net
     9106*284 (Net
    90599107uid 5960,0
    90609108decl (Decl
     
    90749122)
    90759123)
    9076 *284 (Net
     9124*285 (Net
    90779125uid 6012,0
    90789126decl (Decl
     
    90939141)
    90949142)
    9095 *285 (Net
     9143*286 (Net
    90969144uid 6014,0
    90979145decl (Decl
     
    91129160)
    91139161)
    9114 *286 (Net
     9162*287 (Net
    91159163uid 6016,0
    91169164decl (Decl
     
    91309178)
    91319179)
    9132 *287 (Net
     9180*288 (Net
    91339181uid 6158,0
    91349182decl (Decl
     
    91499197)
    91509198)
    9151 *288 (PortIoOut
     9199*289 (PortIoOut
    91529200uid 6166,0
    91539201shape (CompositeShape
     
    91949242)
    91959243)
    9196 *289 (Net
     9244*290 (Net
    91979245uid 6360,0
    91989246decl (Decl
     
    92159263)
    92169264)
    9217 *290 (PortIoOut
     9265*291 (PortIoOut
    92189266uid 6368,0
    92199267shape (CompositeShape
     
    92599307)
    92609308)
    9261 *291 (Net
     9309*292 (Net
    92629310uid 6450,0
    92639311decl (Decl
     
    92789326)
    92799327)
    9280 *292 (MWC
     9328*293 (MWC
    92819329uid 6529,0
    92829330optionalChildren [
    9283 *293 (CptPort
     9331*294 (CptPort
    92849332uid 6501,0
    92859333optionalChildren [
    9286 *294 (Line
     9334*295 (Line
    92879335uid 6505,0
    92889336layer 5
     
    92979345]
    92989346)
    9299 *295 (Property
     9347*296 (Property
    93009348uid 6506,0
    93019349pclass "_MW_GEOM_"
     
    93429390)
    93439391)
    9344 *296 (CptPort
     9392*297 (CptPort
    93459393uid 6507,0
    93469394optionalChildren [
    9347 *297 (Line
     9395*298 (Line
    93489396uid 6511,0
    93499397layer 5
     
    93979445)
    93989446)
    9399 *298 (CptPort
     9447*299 (CptPort
    94009448uid 6512,0
    94019449optionalChildren [
    9402 *299 (Line
     9450*300 (Line
    94039451uid 6516,0
    94049452layer 5
     
    94529500)
    94539501)
    9454 *300 (CommentGraphic
     9502*301 (CommentGraphic
    94559503uid 6517,0
    94569504optionalChildren [
    9457 *301 (Property
     9505*302 (Property
    94589506uid 6519,0
    94599507pclass "_MW_GEOM_"
     
    94799527oxt "11000,10000,11000,10000"
    94809528)
    9481 *302 (CommentGraphic
     9529*303 (CommentGraphic
    94829530uid 6520,0
    94839531optionalChildren [
    9484 *303 (Property
     9532*304 (Property
    94859533uid 6522,0
    94869534pclass "_MW_GEOM_"
     
    95069554oxt "11000,6000,11000,6000"
    95079555)
    9508 *304 (Grouping
     9556*305 (Grouping
    95099557uid 6523,0
    95109558optionalChildren [
    9511 *305 (CommentGraphic
     9559*306 (CommentGraphic
    95129560uid 6525,0
    95139561shape (PolyLine2D
     
    95309578oxt "9000,6000,11000,10000"
    95319579)
    9532 *306 (CommentGraphic
     9580*307 (CommentGraphic
    95339581uid 6527,0
    95349582shape (Arc2D
     
    95839631stg "VerticalLayoutStrategy"
    95849632textVec [
    9585 *307 (Text
     9633*308 (Text
    95869634uid 6532,0
    95879635va (VaSet
     
    95939641blo "3500,59300"
    95949642)
    9595 *308 (Text
     9643*309 (Text
    95969644uid 6533,0
    95979645va (VaSet
     
    96029650blo "3500,60300"
    96039651)
    9604 *309 (Text
     9652*310 (Text
    96059653uid 6534,0
    96069654va (VaSet
     
    96479695)
    96489696)
    9649 *310 (Net
     9697*311 (Net
    96509698uid 6544,0
    96519699decl (Decl
     
    96669714)
    96679715)
    9668 *311 (SaComponent
     9716*312 (SaComponent
    96699717uid 8277,0
    96709718optionalChildren [
    9671 *312 (CptPort
     9719*313 (CptPort
    96729720uid 8246,0
    96739721ps "OnEdgeStrategy"
     
    97069754)
    97079755)
    9708 *313 (CptPort
     9756*314 (CptPort
    97099757uid 8250,0
    97109758ps "OnEdgeStrategy"
     
    97449792)
    97459793)
    9746 *314 (CptPort
     9794*315 (CptPort
    97479795uid 8254,0
    97489796ps "OnEdgeStrategy"
     
    97829830)
    97839831)
    9784 *315 (CptPort
     9832*316 (CptPort
    97859833uid 8258,0
    97869834ps "OnEdgeStrategy"
     
    98209868)
    98219869)
    9822 *316 (CptPort
     9870*317 (CptPort
    98239871uid 8262,0
    98249872ps "OnEdgeStrategy"
     
    98589906)
    98599907)
    9860 *317 (CptPort
     9908*318 (CptPort
    98619909uid 8266,0
    98629910ps "OnEdgeStrategy"
     
    98979945)
    98989946)
    9899 *318 (CptPort
     9947*319 (CptPort
    99009948uid 8270,0
    99019949ps "OnEdgeStrategy"
     
    995410002stg "VerticalLayoutStrategy"
    995510003textVec [
    9956 *319 (Text
     10004*320 (Text
    995710005uid 8280,0
    995810006va (VaSet
     
    996410012tm "BdLibraryNameMgr"
    996510013)
    9966 *320 (Text
     10014*321 (Text
    996710015uid 8281,0
    996810016va (VaSet
     
    997410022tm "CptNameMgr"
    997510023)
    9976 *321 (Text
     10024*322 (Text
    997710025uid 8282,0
    997810026va (VaSet
     
    1002210070archFileType "UNKNOWN"
    1002310071)
    10024 *322 (Net
     10072*323 (Net
    1002510073uid 8414,0
    1002610074lang 2
     
    1003610084font "Courier New,8,0"
    1003710085)
    10038 xt "-85000,80200,-62500,81000"
     10086xt "-85000,81800,-62500,82600"
    1003910087st "SIGNAL wiz_ack                : std_logic
    1004010088"
    1004110089)
    1004210090)
    10043 *323 (Net
     10091*324 (Net
    1004410092uid 8508,0
    1004510093decl (Decl
     
    1006110109)
    1006210110)
    10063 *324 (Net
     10111*325 (Net
    1006410112uid 8516,0
    1006510113decl (Decl
     
    1007910127)
    1008010128)
    10081 *325 (MWC
     10129*326 (MWC
    1008210130uid 8562,0
    1008310131optionalChildren [
    10084 *326 (CptPort
     10132*327 (CptPort
    1008510133uid 8524,0
    1008610134optionalChildren [
    10087 *327 (Line
     10135*328 (Line
    1008810136uid 8528,0
    1008910137layer 5
     
    1014910197)
    1015010198)
    10151 *328 (CptPort
     10199*329 (CptPort
    1015210200uid 8529,0
    1015310201optionalChildren [
    10154 *329 (Line
     10202*330 (Line
    1015510203uid 8533,0
    1015610204layer 5
     
    1016610214]
    1016710215)
    10168 *330 (Property
     10216*331 (Property
    1016910217uid 8534,0
    1017010218pclass "_MW_GEOM_"
     
    1022110269)
    1022210270)
    10223 *331 (CptPort
     10271*332 (CptPort
    1022410272uid 8535,0
    1022510273optionalChildren [
    10226 *332 (Line
     10274*333 (Line
    1022710275uid 8539,0
    1022810276layer 5
     
    1028810336)
    1028910337)
    10290 *333 (CptPort
     10338*334 (CptPort
    1029110339uid 8540,0
    1029210340optionalChildren [
    10293 *334 (Line
     10341*335 (Line
    1029410342uid 8544,0
    1029510343layer 5
     
    1035110399)
    1035210400)
    10353 *335 (CommentGraphic
     10401*336 (CommentGraphic
    1035410402uid 8545,0
    1035510403shape (CustomPolygon
     
    1037410422oxt "7000,7000,9000,11000"
    1037510423)
    10376 *336 (CommentGraphic
     10424*337 (CommentGraphic
    1037710425uid 8547,0
    1037810426optionalChildren [
    10379 *337 (Property
     10427*338 (Property
    1038010428uid 8549,0
    1038110429pclass "_MW_GEOM_"
     
    1040110449oxt "9000,7000,9000,7000"
    1040210450)
    10403 *338 (CommentGraphic
     10451*339 (CommentGraphic
    1040410452uid 8550,0
    1040510453optionalChildren [
    10406 *339 (Property
     10454*340 (Property
    1040710455uid 8552,0
    1040810456pclass "_MW_GEOM_"
     
    1042810476oxt "9000,11000,9000,11000"
    1042910477)
    10430 *340 (CommentText
     10478*341 (CommentText
    1043110479uid 8553,0
    1043210480shape (Rectangle
     
    1045910507)
    1046010508)
    10461 *341 (CommentText
     10509*342 (CommentText
    1046210510uid 8556,0
    1046310511shape (Rectangle
     
    1049110539)
    1049210540)
    10493 *342 (CommentText
     10541*343 (CommentText
    1049410542uid 8559,0
    1049510543shape (Rectangle
     
    1054210590stg "VerticalLayoutStrategy"
    1054310591textVec [
    10544 *343 (Text
     10592*344 (Text
    1054510593uid 8565,0
    1054610594va (VaSet
     
    1055210600blo "-30650,102900"
    1055310601)
    10554 *344 (Text
     10602*345 (Text
    1055510603uid 8566,0
    1055610604va (VaSet
     
    1056110609blo "-30650,103900"
    1056210610)
    10563 *345 (Text
     10611*346 (Text
    1056410612uid 8567,0
    1056510613va (VaSet
     
    1060710655)
    1060810656)
    10609 *346 (Net
     10657*347 (Net
    1061010658uid 8583,0
    1061110659decl (Decl
     
    1062710675)
    1062810676)
    10629 *347 (Wire
     10677*348 (MWC
     10678uid 8721,0
     10679optionalChildren [
     10680*349 (CptPort
     10681uid 8693,0
     10682optionalChildren [
     10683*350 (Line
     10684uid 8697,0
     10685layer 5
     10686sl 0
     10687va (VaSet
     10688vasetType 3
     10689)
     10690xt "-13000,112000,-12000,112000"
     10691pts [
     10692"-13000,112000"
     10693"-12000,112000"
     10694]
     10695)
     10696*351 (Property
     10697uid 8698,0
     10698pclass "_MW_GEOM_"
     10699pname "fixed"
     10700ptn "String"
     10701)
     10702]
     10703ps "OnEdgeStrategy"
     10704shape (Triangle
     10705uid 8694,0
     10706ro 270
     10707va (VaSet
     10708vasetType 1
     10709isHidden 1
     10710fg "0,65535,65535"
     10711)
     10712xt "-13750,111625,-13000,112375"
     10713)
     10714tg (CPTG
     10715uid 8695,0
     10716ps "CptPortTextPlaceStrategy"
     10717stg "VerticalLayoutStrategy"
     10718f (Text
     10719uid 8696,0
     10720sl 0
     10721va (VaSet
     10722isHidden 1
     10723font "arial,8,0"
     10724)
     10725xt "-14331,341342,-12531,342342"
     10726st "dout"
     10727blo "-14331,342142"
     10728)
     10729)
     10730thePort (LogicalPort
     10731m 1
     10732decl (Decl
     10733n "dout"
     10734t "std_logic"
     10735o 23
     10736suid 1,0
     10737)
     10738)
     10739)
     10740*352 (CptPort
     10741uid 8699,0
     10742optionalChildren [
     10743*353 (Line
     10744uid 8703,0
     10745layer 5
     10746sl 0
     10747va (VaSet
     10748vasetType 3
     10749)
     10750xt "-7999,113000,-7000,113000"
     10751pts [
     10752"-7000,113000"
     10753"-7999,113000"
     10754]
     10755)
     10756]
     10757ps "OnEdgeStrategy"
     10758shape (Triangle
     10759uid 8700,0
     10760ro 270
     10761va (VaSet
     10762vasetType 1
     10763isHidden 1
     10764fg "0,65535,65535"
     10765)
     10766xt "-7000,112625,-6250,113375"
     10767)
     10768tg (CPTG
     10769uid 8701,0
     10770ps "CptPortTextPlaceStrategy"
     10771stg "RightVerticalLayoutStrategy"
     10772f (Text
     10773uid 8702,0
     10774sl 0
     10775va (VaSet
     10776isHidden 1
     10777font "arial,8,0"
     10778)
     10779xt "-11365,342294,-9565,343294"
     10780st "din0"
     10781ju 2
     10782blo "-9565,343094"
     10783)
     10784)
     10785thePort (LogicalPort
     10786decl (Decl
     10787n "din0"
     10788t "std_logic"
     10789o 86
     10790suid 2,0
     10791)
     10792)
     10793)
     10794*354 (CptPort
     10795uid 8704,0
     10796optionalChildren [
     10797*355 (Line
     10798uid 8708,0
     10799layer 5
     10800sl 0
     10801va (VaSet
     10802vasetType 3
     10803)
     10804xt "-8000,111000,-7000,111000"
     10805pts [
     10806"-7000,111000"
     10807"-8000,111000"
     10808]
     10809)
     10810]
     10811ps "OnEdgeStrategy"
     10812shape (Triangle
     10813uid 8705,0
     10814ro 270
     10815va (VaSet
     10816vasetType 1
     10817isHidden 1
     10818fg "0,65535,65535"
     10819)
     10820xt "-7000,110625,-6250,111375"
     10821)
     10822tg (CPTG
     10823uid 8706,0
     10824ps "CptPortTextPlaceStrategy"
     10825stg "RightVerticalLayoutStrategy"
     10826f (Text
     10827uid 8707,0
     10828sl 0
     10829va (VaSet
     10830isHidden 1
     10831font "arial,8,0"
     10832)
     10833xt "-11250,340700,-9450,341700"
     10834st "din1"
     10835ju 2
     10836blo "-9450,341500"
     10837)
     10838)
     10839thePort (LogicalPort
     10840decl (Decl
     10841n "din1"
     10842t "std_logic"
     10843o 85
     10844suid 3,0
     10845)
     10846)
     10847)
     10848*356 (CommentGraphic
     10849uid 8709,0
     10850optionalChildren [
     10851*357 (Property
     10852uid 8711,0
     10853pclass "_MW_GEOM_"
     10854pname "expand"
     10855ptn "String"
     10856)
     10857]
     10858shape (PolyLine2D
     10859pts [
     10860"-8000,110000"
     10861"-8000,110000"
     10862]
     10863uid 8710,0
     10864layer 0
     10865sl 0
     10866va (VaSet
     10867vasetType 1
     10868transparent 1
     10869fg "49152,49152,49152"
     10870)
     10871xt "-8000,110000,-8000,110000"
     10872)
     10873oxt "11000,6000,11000,6000"
     10874)
     10875*358 (CommentGraphic
     10876uid 8712,0
     10877optionalChildren [
     10878*359 (Property
     10879uid 8714,0
     10880pclass "_MW_GEOM_"
     10881pname "expand"
     10882ptn "String"
     10883)
     10884]
     10885shape (PolyLine2D
     10886pts [
     10887"-8000,114000"
     10888"-8000,114000"
     10889]
     10890uid 8713,0
     10891layer 0
     10892sl 0
     10893va (VaSet
     10894vasetType 1
     10895transparent 1
     10896fg "49152,49152,49152"
     10897)
     10898xt "-8000,114000,-8000,114000"
     10899)
     10900oxt "11000,10000,11000,10000"
     10901)
     10902*360 (Grouping
     10903uid 8715,0
     10904optionalChildren [
     10905*361 (CommentGraphic
     10906uid 8717,0
     10907shape (PolyLine2D
     10908pts [
     10909"-10000,110000"
     10910"-8000,110000"
     10911"-8000,114000"
     10912"-10000,114000"
     10913]
     10914uid 8718,0
     10915layer 0
     10916sl 0
     10917va (VaSet
     10918vasetType 1
     10919fg "0,65535,65535"
     10920lineColor "26368,26368,26368"
     10921)
     10922xt "-10000,110000,-8000,114000"
     10923)
     10924oxt "9000,6000,11000,10000"
     10925)
     10926*362 (CommentGraphic
     10927uid 8719,0
     10928shape (Arc2D
     10929pts [
     10930"-10000,114000"
     10931"-12000,112000"
     10932"-10000,110000"
     10933]
     10934uid 8720,0
     10935layer 0
     10936sl 0
     10937va (VaSet
     10938vasetType 1
     10939fg "0,65535,65535"
     10940lineColor "26368,26368,26368"
     10941)
     10942xt "-12000,110000,-10000,114000"
     10943)
     10944oxt "7000,6000,9000,10000"
     10945)
     10946]
     10947shape (GroupingShape
     10948uid 8716,0
     10949sl 0
     10950va (VaSet
     10951vasetType 1
     10952fg "65535,65535,65535"
     10953lineStyle 2
     10954lineWidth 2
     10955)
     10956xt "-12000,110000,-8000,114000"
     10957)
     10958oxt "7000,6000,11000,10000"
     10959)
     10960]
     10961shape (Rectangle
     10962uid 8722,0
     10963va (VaSet
     10964vasetType 1
     10965transparent 1
     10966fg "65535,65535,65535"
     10967lineWidth -1
     10968)
     10969xt "-13000,110000,-7000,114000"
     10970fos 1
     10971)
     10972showPorts 0
     10973oxt "6000,6000,12000,10000"
     10974ttg (MlTextGroup
     10975uid 8723,0
     10976ps "CenterOffsetStrategy"
     10977stg "VerticalLayoutStrategy"
     10978textVec [
     10979*363 (Text
     10980uid 8724,0
     10981va (VaSet
     10982isHidden 1
     10983font "arial,8,0"
     10984)
     10985xt "-11500,112500,-6700,113500"
     10986st "moduleware"
     10987blo "-11500,113300"
     10988)
     10989*364 (Text
     10990uid 8725,0
     10991va (VaSet
     10992font "arial,8,0"
     10993)
     10994xt "-11500,113500,-9900,114500"
     10995st "and"
     10996blo "-11500,114300"
     10997)
     10998*365 (Text
     10999uid 8726,0
     11000va (VaSet
     11001font "arial,8,0"
     11002)
     11003xt "-11500,114500,-9700,115500"
     11004st "U_1"
     11005blo "-11500,115300"
     11006tm "InstanceNameMgr"
     11007)
     11008]
     11009)
     11010ga (GenericAssociation
     11011uid 8727,0
     11012ps "EdgeToEdgeStrategy"
     11013matrix (Matrix
     11014uid 8728,0
     11015text (MLText
     11016uid 8729,0
     11017va (VaSet
     11018font "arial,8,0"
     11019)
     11020xt "-28000,101000,-28000,101000"
     11021)
     11022header ""
     11023)
     11024elements [
     11025]
     11026)
     11027sed 1
     11028awe 1
     11029portVis (PortSigDisplay
     11030sN 0
     11031sTC 0
     11032selT 0
     11033)
     11034prms (Property
     11035pclass "params"
     11036pname "params"
     11037ptn "String"
     11038)
     11039de 1
     11040visOptions (mwParamsVisibilityOptions
     11041)
     11042)
     11043*366 (Net
     11044uid 8730,0
     11045decl (Decl
     11046n "sclk1"
     11047t "std_logic"
     11048o 85
     11049suid 191,0
     11050)
     11051declText (MLText
     11052uid 8731,0
     11053va (VaSet
     11054font "Courier New,8,0"
     11055)
     11056xt "-85000,77800,-62500,78600"
     11057st "SIGNAL sclk1                  : std_logic
     11058"
     11059)
     11060)
     11061*367 (Net
     11062uid 8746,0
     11063decl (Decl
     11064n "sclk_enable"
     11065t "std_logic"
     11066o 86
     11067suid 194,0
     11068)
     11069declText (MLText
     11070uid 8747,0
     11071va (VaSet
     11072font "Courier New,8,0"
     11073)
     11074xt "-85000,78600,-62500,79400"
     11075st "SIGNAL sclk_enable            : std_logic
     11076"
     11077)
     11078)
     11079*368 (Wire
    1063011080uid 322,0
    1063111081shape (OrthoPolyLine
     
    1064311093)
    1064411094start &26
    10645 end &315
     11095end &316
    1064611096sat 32
    1064711097eat 32
     
    1066611116on &2
    1066711117)
    10668 *348 (Wire
     11118*369 (Wire
    1066911119uid 328,0
    1067011120shape (OrthoPolyLine
     
    1068211132)
    1068311133start &25
    10684 end &314
     11134end &315
    1068511135sat 32
    1068611136eat 32
     
    1070511155on &3
    1070611156)
    10707 *349 (Wire
     11157*370 (Wire
    1070811158uid 334,0
    1070911159shape (OrthoPolyLine
     
    1072111171)
    1072211172start &24
    10723 end &313
     11173end &314
    1072411174sat 32
    1072511175eat 32
     
    1074411194on &4
    1074511195)
    10746 *350 (Wire
     11196*371 (Wire
    1074711197uid 364,0
    1074811198shape (OrthoPolyLine
     
    1076111211)
    1076211212start &79
    10763 end &317
     11213end &318
    1076411214sat 32
    1076511215eat 32
     
    1078411234on &5
    1078511235)
    10786 *351 (Wire
     11236*372 (Wire
    1078711237uid 370,0
    1078811238shape (OrthoPolyLine
     
    1080111251)
    1080211252start &78
    10803 end &318
     11253end &319
    1080411254sat 32
    1080511255eat 32
     
    1082411274on &6
    1082511275)
    10826 *352 (Wire
     11276*373 (Wire
    1082711277uid 376,0
    1082811278shape (OrthoPolyLine
     
    1086211312on &7
    1086311313)
    10864 *353 (Wire
     11314*374 (Wire
    1086511315uid 384,0
    1086611316shape (OrthoPolyLine
     
    1090211352on &8
    1090311353)
    10904 *354 (Wire
     11354*375 (Wire
    1090511355uid 392,0
    1090611356shape (OrthoPolyLine
     
    1094211392on &9
    1094311393)
    10944 *355 (Wire
     11394*376 (Wire
    1094511395uid 400,0
    1094611396shape (OrthoPolyLine
     
    1098011430on &10
    1098111431)
    10982 *356 (Wire
     11432*377 (Wire
    1098311433uid 408,0
    1098411434shape (OrthoPolyLine
     
    1101811468on &11
    1101911469)
    11020 *357 (Wire
     11470*378 (Wire
    1102111471uid 424,0
    1102211472shape (OrthoPolyLine
     
    1105611506on &12
    1105711507)
    11058 *358 (Wire
     11508*379 (Wire
    1105911509uid 432,0
    1106011510shape (OrthoPolyLine
     
    1109411544on &13
    1109511545)
    11096 *359 (Wire
     11546*380 (Wire
    1109711547uid 1411,0
    1109811548shape (OrthoPolyLine
     
    1110811558]
    1110911559)
    11110 start &149
     11560start &150
    1111111561end &28
    1111211562sat 32
     
    1113311583on &64
    1113411584)
    11135 *360 (Wire
     11585*381 (Wire
    1113611586uid 1425,0
    1113711587optionalChildren [
    11138 *361 (BdJunction
     11588*382 (BdJunction
    1113911589uid 4391,0
    1114011590ps "OnConnectorStrategy"
     
    1118611636on &65
    1118711637)
    11188 *362 (Wire
     11638*383 (Wire
    1118911639uid 1682,0
    1119011640shape (OrthoPolyLine
     
    1120011650]
    1120111651)
    11202 start &150
     11652start &151
    1120311653end &31
    1120411654sat 32
     
    1122311673)
    1122411674)
    11225 on &100
    11226 )
    11227 *363 (Wire
     11675on &101
     11676)
     11677*384 (Wire
    1122811678uid 1983,0
    1122911679shape (OrthoPolyLine
     
    1124111691]
    1124211692)
    11243 start &102
     11693start &103
    1124411694end &29
    1124511695sat 32
     
    1126211712)
    1126311713)
    11264 on &108
    11265 )
    11266 *364 (Wire
     11714on &109
     11715)
     11716*385 (Wire
    1126711717uid 2299,0
    1126811718shape (OrthoPolyLine
     
    1128011730]
    1128111731)
    11282 start &111
     11732start &112
    1128311733end &27
    1128411734sat 32
     
    1130211752)
    1130311753)
    11304 on &109
    11305 )
    11306 *365 (Wire
     11754on &110
     11755)
     11756*386 (Wire
    1130711757uid 2470,0
    1130811758shape (OrthoPolyLine
     
    1131811768]
    1131911769)
    11320 start &118
     11770start &119
    1132111771end &81
    1132211772sat 32
     
    1133911789)
    1134011790)
    11341 on &132
    11342 )
    11343 *366 (Wire
     11791on &133
     11792)
     11793*387 (Wire
    1134411794uid 2476,0
    1134511795shape (OrthoPolyLine
     
    1135511805]
    1135611806)
    11357 start &121
     11807start &122
    1135811808end &80
    1135911809sat 32
     
    1137611826)
    1137711827)
    11378 on &133
    11379 )
    11380 *367 (Wire
     11828on &134
     11829)
     11830*388 (Wire
    1138111831uid 2482,0
    1138211832shape (OrthoPolyLine
     
    1139311843]
    1139411844)
    11395 start &124
     11845start &125
    1139611846end &76
    1139711847sat 32
     
    1141511865)
    1141611866)
    11417 on &134
    11418 )
    11419 *368 (Wire
     11867on &135
     11868)
     11869*389 (Wire
    1142011870uid 2488,0
    1142111871shape (OrthoPolyLine
     
    1143211882]
    1143311883)
    11434 start &120
     11884start &121
    1143511885end &77
    1143611886sat 32
     
    1145411904)
    1145511905)
    11456 on &135
    11457 )
    11458 *369 (Wire
     11906on &136
     11907)
     11908*390 (Wire
    1145911909uid 2494,0
    1146011910shape (OrthoPolyLine
     
    1147111921]
    1147211922)
    11473 start &119
     11923start &120
    1147411924end &82
    1147511925sat 32
     
    1149311943)
    1149411944)
    11495 on &136
    11496 )
    11497 *370 (Wire
     11945on &137
     11946)
     11947*391 (Wire
    1149811948uid 2500,0
    1149911949shape (OrthoPolyLine
     
    1150911959]
    1151011960)
    11511 start &122
     11961start &123
    1151211962end &83
    1151311963sat 32
     
    1153011980)
    1153111981)
    11532 on &137
    11533 )
    11534 *371 (Wire
     11982on &138
     11983)
     11984*392 (Wire
    1153511985uid 2506,0
    1153611986shape (OrthoPolyLine
     
    1154611996]
    1154711997)
    11548 start &123
     11998start &124
    1154911999end &84
    1155012000sat 32
     
    1156712017)
    1156812018)
    11569 on &138
    11570 )
    11571 *372 (Wire
     12019on &139
     12020)
     12021*393 (Wire
    1157212022uid 2576,0
    1157312023shape (OrthoPolyLine
     
    1158512035)
    1158612036start &32
    11587 end &115
     12037end &116
    1158812038sat 32
    1158912039eat 32
     
    1160512055)
    1160612056)
    11607 on &139
    11608 )
    11609 *373 (Wire
     12057on &140
     12058)
     12059*394 (Wire
    1161012060uid 2582,0
    1161112061shape (OrthoPolyLine
     
    1162312073)
    1162412074start &33
    11625 end &116
     12075end &117
    1162612076sat 32
    1162712077eat 32
     
    1164312093)
    1164412094)
    11645 on &140
    11646 )
    11647 *374 (Wire
     12095on &141
     12096)
     12097*395 (Wire
    1164812098uid 2588,0
    1164912099shape (OrthoPolyLine
     
    1166112111)
    1166212112start &53
    11663 end &114
     12113end &115
    1166412114ss 0
    1166512115sat 32
     
    1168212132)
    1168312133)
    11684 on &141
    11685 )
    11686 *375 (Wire
     12134on &142
     12135)
     12136*396 (Wire
    1168712137uid 2594,0
    1168812138shape (OrthoPolyLine
     
    1170012150)
    1170112151start &49
    11702 end &113
     12152end &114
    1170312153sat 32
    1170412154eat 32
     
    1172012170)
    1172112171)
    11722 on &142
    11723 )
    11724 *376 (Wire
     12172on &143
     12173)
     12174*397 (Wire
    1172512175uid 2600,0
    1172612176shape (OrthoPolyLine
     
    1173812188)
    1173912189start &34
    11740 end &117
     12190end &118
    1174112191sat 32
    1174212192eat 32
     
    1175812208)
    1175912209)
    11760 on &143
    11761 )
    11762 *377 (Wire
     12210on &144
     12211)
     12212*398 (Wire
    1176312213uid 2642,0
    1176412214shape (OrthoPolyLine
     
    1177712227)
    1177812228start &36
    11779 end &126
     12229end &127
    1178012230sat 32
    1178112231eat 32
     
    1179812248)
    1179912249)
    11800 on &144
    11801 )
    11802 *378 (Wire
     12250on &145
     12251)
     12252*399 (Wire
    1180312253uid 2778,0
    1180412254shape (OrthoPolyLine
     
    1181412264)
    1181512265start &37
    11816 end &146
     12266end &147
    1181712267sat 32
    1181812268eat 32
     
    1183612286)
    1183712287)
    11838 on &145
    11839 )
    11840 *379 (Wire
     12288on &146
     12289)
     12290*400 (Wire
    1184112291uid 2786,0
    1184212292shape (OrthoPolyLine
     
    1185212302]
    1185312303)
    11854 start &147
    11855 end &252
     12304start &148
     12305end &253
    1185612306sat 32
    1185712307eat 32
     
    1187612326)
    1187712327)
    11878 on &176
    11879 )
    11880 *380 (Wire
     12328on &177
     12329)
     12330*401 (Wire
    1188112331uid 2876,0
    1188212332shape (OrthoPolyLine
     
    1189212342]
    1189312343)
    11894 start &361
    11895 end &103
     12344start &382
     12345end &104
    1189612346es 0
    1189712347sat 32
     
    1191612366on &65
    1191712367)
    11918 *381 (Wire
     12368*402 (Wire
    1191912369uid 3888,0
    1192012370optionalChildren [
    11921 *382 (BdJunction
     12371*403 (BdJunction
    1192212372uid 4230,0
    1192312373ps "OnConnectorStrategy"
     
    1193112381)
    1193212382)
    11933 *383 (BdJunction
     12383*404 (BdJunction
    1193412384uid 4244,0
    1193512385ps "OnConnectorStrategy"
     
    1195812408]
    1195912409)
    11960 start &166
     12410start &167
    1196112411end &68
    1196212412sat 32
     
    1198012430)
    1198112431)
    11982 on &164
    11983 )
    11984 *384 (Wire
     12432on &165
     12433)
     12434*405 (Wire
    1198512435uid 3984,0
    1198612436shape (OrthoPolyLine
     
    1199812448]
    1199912449)
    12000 start &163
    12001 end &168
     12450start &164
     12451end &169
    1200212452sat 32
    1200312453eat 32
     
    1202112471)
    1202212472)
    12023 on &162
    12024 )
    12025 *385 (Wire
     12473on &163
     12474)
     12475*406 (Wire
    1202612476uid 4042,0
    1202712477shape (OrthoPolyLine
     
    1203712487)
    1203812488start &1
    12039 end &169
     12489end &170
    1204012490sat 32
    1204112491eat 32
     
    1205912509)
    1206012510)
    12061 on &175
    12062 )
    12063 *386 (Wire
     12511on &176
     12512)
     12513*407 (Wire
    1206412514uid 4226,0
    1206512515shape (OrthoPolyLine
     
    1207612526]
    1207712527)
    12078 start &174
    12079 end &382
     12528start &175
     12529end &403
    1208012530sat 32
    1208112531eat 32
     
    1209912549)
    1210012550)
    12101 on &164
    12102 )
    12103 *387 (Wire
     12551on &165
     12552)
     12553*408 (Wire
    1210412554uid 4240,0
    1210512555shape (OrthoPolyLine
     
    1211612566]
    1211712567)
    12118 start &316
    12119 end &383
     12568start &317
     12569end &404
    1212012570sat 32
    1212112571eat 32
     
    1213812588)
    1213912589)
    12140 on &164
    12141 )
    12142 *388 (Wire
     12590on &165
     12591)
     12592*409 (Wire
    1214312593uid 4272,0
    1214412594shape (OrthoPolyLine
     
    1215312603]
    1215412604)
    12155 start &178
    12156 end &249
     12605start &179
     12606end &250
    1215712607sat 32
    1215812608eat 32
     
    1217612626)
    1217712627)
    12178 on &177
    12179 )
    12180 *389 (Wire
     12628on &178
     12629)
     12630*410 (Wire
    1218112631uid 4401,0
    1218212632shape (OrthoPolyLine
     
    1219212642)
    1219312643start &40
    12194 end &197
     12644end &198
    1219512645sat 32
    1219612646eat 32
     
    1221212662)
    1221312663)
    12214 on &179
    12215 )
    12216 *390 (Wire
     12664on &180
     12665)
     12666*411 (Wire
    1221712667uid 4407,0
    1221812668shape (OrthoPolyLine
     
    1222812678)
    1222912679start &44
    12230 end &203
     12680end &204
    1223112681sat 32
    1223212682eat 32
     
    1224812698)
    1224912699)
    12250 on &180
    12251 )
    12252 *391 (Wire
     12700on &181
     12701)
     12702*412 (Wire
    1225312703uid 4419,0
    1225412704shape (OrthoPolyLine
     
    1226412714)
    1226512715start &42
    12266 end &198
     12716end &199
    1226712717sat 32
    1226812718eat 32
     
    1228412734)
    1228512735)
    12286 on &181
    12287 )
    12288 *392 (Wire
     12736on &182
     12737)
     12738*413 (Wire
    1228912739uid 4537,0
    1229012740shape (OrthoPolyLine
     
    1230012750]
    1230112751)
    12302 start &328
    12303 end &184
     12752start &329
     12753end &185
    1230412754sat 32
    1230512755eat 32
     
    1232412774)
    1232512775)
    12326 on &182
    12327 )
    12328 *393 (Wire
     12776on &183
     12777)
     12778*414 (Wire
    1232912779uid 4545,0
    1233012780shape (OrthoPolyLine
     
    1233912789]
    1234012790)
    12341 start &293
    12342 end &185
     12791start &294
     12792end &186
    1234312793sat 32
    1234412794eat 32
     
    1236112811)
    1236212812)
    12363 on &183
    12364 )
    12365 *394 (Wire
     12813on &184
     12814)
     12815*415 (Wire
    1236612816uid 4671,0
    1236712817shape (OrthoPolyLine
     
    1237612826]
    1237712827)
    12378 start &190
    12379 end &199
     12828start &191
     12829end &200
    1238012830sat 32
    1238112831eat 32
     
    1239912849)
    1240012850)
    12401 on &186
    12402 )
    12403 *395 (Wire
     12851on &187
     12852)
     12853*416 (Wire
    1240412854uid 4679,0
    1240512855shape (OrthoPolyLine
     
    1241412864]
    1241512865)
    12416 start &191
    12417 end &200
     12866start &192
     12867end &201
    1241812868sat 32
    1241912869eat 32
     
    1243712887)
    1243812888)
    12439 on &187
    12440 )
    12441 *396 (Wire
     12889on &188
     12890)
     12891*417 (Wire
    1244212892uid 4687,0
    1244312893shape (OrthoPolyLine
     
    1245212902]
    1245312903)
    12454 start &192
    12455 end &201
     12904start &193
     12905end &202
    1245612906sat 32
    1245712907eat 32
     
    1247512925)
    1247612926)
    12477 on &188
    12478 )
    12479 *397 (Wire
     12927on &189
     12928)
     12929*418 (Wire
    1248012930uid 4695,0
    1248112931shape (OrthoPolyLine
     
    1249012940]
    1249112941)
    12492 start &193
    12493 end &202
     12942start &194
     12943end &203
    1249412944sat 32
    1249512945eat 32
     
    1251312963)
    1251412964)
    12515 on &189
    12516 )
    12517 *398 (Wire
     12965on &190
     12966)
     12967*419 (Wire
    1251812968uid 4743,0
    1251912969shape (OrthoPolyLine
     
    1252812978]
    1252912979)
    12530 start &204
     12980start &205
    1253112981end &43
    1253212982sat 32
     
    1254912999)
    1255013000)
    12551 on &194
    12552 )
    12553 *399 (Wire
     13001on &195
     13002)
     13003*420 (Wire
    1255413004uid 4757,0
    1255513005optionalChildren [
    12556 *400 (BdJunction
     13006*421 (BdJunction
    1255713007uid 6076,0
    1255813008ps "OnConnectorStrategy"
     
    1258113031]
    1258213032)
    12583 start &196
    12584 end *401 (BdJunction
     13033start &197
     13034end *422 (BdJunction
    1258513035uid 6080,0
    1258613036ps "OnConnectorStrategy"
     
    1261413064)
    1261513065)
    12616 on &173
    12617 )
    12618 *402 (Wire
     13066on &174
     13067)
     13068*423 (Wire
    1261913069uid 4948,0
    1262013070shape (OrthoPolyLine
     
    1262913079]
    1263013080)
    12631 start &205
    12632 end &211
     13081start &206
     13082end &212
    1263313083sat 32
    1263413084eat 32
     
    1265213102)
    1265313103)
    12654 on &210
    12655 )
    12656 *403 (Wire
     13104on &211
     13105)
     13106*424 (Wire
    1265713107uid 4962,0
    1265813108shape (OrthoPolyLine
     
    1266713117]
    1266813118)
    12669 start &206
    12670 end &213
     13119start &207
     13120end &214
    1267113121sat 32
    1267213122eat 32
     
    1269013140)
    1269113141)
    12692 on &212
    12693 )
    12694 *404 (Wire
     13142on &213
     13143)
     13144*425 (Wire
    1269513145uid 5090,0
    1269613146shape (OrthoPolyLine
     
    1270913159)
    1271013160start &87
    12711 end &216
     13161end &217
    1271213162sat 32
    1271313163eat 32
     
    1272913179)
    1273013180)
    12731 on &232
    12732 )
    12733 *405 (Wire
     13181on &233
     13182)
     13183*426 (Wire
    1273413184uid 5098,0
    1273513185shape (OrthoPolyLine
     
    1274413194]
    1274513195)
    12746 start &217
     13196start &218
    1274713197sat 32
    1274813198eat 16
     
    1276313213)
    1276413214)
    12765 on &233
    12766 )
    12767 *406 (Wire
     13215on &234
     13216)
     13217*427 (Wire
    1276813218uid 5106,0
    1276913219shape (OrthoPolyLine
     
    1278013230]
    1278113231)
    12782 start &218
     13232start &219
    1278313233end &88
    1278413234sat 32
     
    1280013250)
    1280113251)
    12802 on &234
    12803 )
    12804 *407 (Wire
     13252on &235
     13253)
     13254*428 (Wire
    1280513255uid 5114,0
    1280613256shape (OrthoPolyLine
     
    1281813268]
    1281913269)
    12820 start &219
     13270start &220
    1282113271end &89
    1282213272sat 32
     
    1283913289)
    1284013290)
    12841 on &235
    12842 )
    12843 *408 (Wire
     13291on &236
     13292)
     13293*429 (Wire
    1284413294uid 5122,0
    1284513295shape (OrthoPolyLine
     
    1285713307)
    1285813308start &91
    12859 end &221
     13309end &222
    1286013310sat 32
    1286113311eat 32
     
    1287613326)
    1287713327)
    12878 on &236
    12879 )
    12880 *409 (Wire
     13328on &237
     13329)
     13330*430 (Wire
    1288113331uid 5130,0
    1288213332shape (OrthoPolyLine
     
    1289413344)
    1289513345start &93
    12896 end &223
     13346end &224
    1289713347sat 32
    1289813348eat 32
     
    1291313363)
    1291413364)
    12915 on &237
    12916 )
    12917 *410 (Wire
     13365on &238
     13366)
     13367*431 (Wire
    1291813368uid 5138,0
    1291913369optionalChildren [
    12920 *411 (BdJunction
     13370*432 (BdJunction
    1292113371uid 5400,0
    1292213372ps "OnConnectorStrategy"
     
    1294413394]
    1294513395)
    12946 start &220
     13396start &221
    1294713397end &35
    1294813398ss 0
     
    1296613416)
    1296713417)
    12968 on &148
    12969 )
    12970 *412 (Wire
     13418on &149
     13419)
     13420*433 (Wire
    1297113421uid 5146,0
    1297213422shape (OrthoPolyLine
     
    1298113431]
    1298213432)
    12983 start &222
    12984 end &260
     13433start &223
     13434end &261
    1298513435es 0
    1298613436sat 32
     
    1300213452)
    1300313453)
    13004 on &238
    13005 )
    13006 *413 (Wire
     13454on &239
     13455)
     13456*434 (Wire
    1300713457uid 5168,0
    1300813458shape (OrthoPolyLine
     
    1301713467]
    1301813468)
    13019 start &411
    13020 end &125
     13469start &432
     13470end &126
    1302113471sat 32
    1302213472eat 32
     
    1303813488)
    1303913489)
    13040 on &148
    13041 )
    13042 *414 (Wire
     13490on &149
     13491)
     13492*435 (Wire
    1304313493uid 5184,0
    1304413494shape (OrthoPolyLine
     
    1305513505]
    1305613506)
    13057 start &224
     13507start &225
    1305813508end &47
    1305913509sat 32
     
    1307513525)
    1307613526)
    13077 on &239
    13078 )
    13079 *415 (Wire
     13527on &240
     13528)
     13529*436 (Wire
    1308013530uid 5190,0
    1308113531shape (OrthoPolyLine
     
    1309213542]
    1309313543)
    13094 start &225
     13544start &226
    1309513545end &46
    1309613546sat 32
     
    1311213562)
    1311313563)
    13114 on &240
    13115 )
    13116 *416 (Wire
     13564on &241
     13565)
     13566*437 (Wire
    1311713567uid 5222,0
    1311813568shape (OrthoPolyLine
     
    1315213602)
    1315313603)
    13154 on &241
    13155 )
    13156 *417 (Wire
     13604on &242
     13605)
     13606*438 (Wire
    1315713607uid 5281,0
    1315813608shape (OrthoPolyLine
     
    1319013640)
    1319113641)
    13192 on &242
    13193 )
    13194 *418 (Wire
     13642on &243
     13643)
     13644*439 (Wire
    1319513645uid 5404,0
    1319613646shape (OrthoPolyLine
     
    1320713657]
    1320813658)
    13209 start &261
     13659start &262
    1321013660end &50
    1321113661sat 32
     
    1322713677)
    1322813678)
    13229 on &245
    13230 )
    13231 *419 (Wire
     13679on &246
     13680)
     13681*440 (Wire
    1323213682uid 5474,0
    1323313683shape (OrthoPolyLine
     
    1324413694]
    1324513695)
    13246 start &264
     13696start &265
    1324713697end &52
    1324813698sat 32
     
    1326413714)
    1326513715)
    13266 on &243
    13267 )
    13268 *420 (Wire
     13716on &244
     13717)
     13718*441 (Wire
    1326913719uid 5480,0
    1327013720shape (OrthoPolyLine
     
    1328113731]
    1328213732)
    13283 start &263
     13733start &264
    1328413734end &51
    1328513735sat 32
     
    1330113751)
    1330213752)
    13303 on &244
    13304 )
    13305 *421 (Wire
     13753on &245
     13754)
     13755*442 (Wire
    1330613756uid 5582,0
    1330713757shape (OrthoPolyLine
     
    1331713767]
    1331813768)
    13319 end &215
     13769end &216
    1332013770sat 16
    1332113771eat 32
     
    1333613786)
    1333713787)
    13338 on &164
    13339 )
    13340 *422 (Wire
     13788on &165
     13789)
     13790*443 (Wire
    1334113791uid 5602,0
    1334213792optionalChildren [
    13343 &401
    13344 *423 (BdJunction
     13793&422
     13794*444 (BdJunction
    1334513795uid 6086,0
    1334613796ps "OnConnectorStrategy"
     
    1337213822)
    1337313823start &23
    13374 end &312
     13824end &313
    1337513825sat 32
    1337613826eat 32
     
    1339313843)
    1339413844)
    13395 on &173
    13396 )
    13397 *424 (Wire
     13845on &174
     13846)
     13847*445 (Wire
    1339813848uid 5626,0
    1339913849shape (OrthoPolyLine
     
    1340913859)
    1341013860start &45
    13411 end &250
     13861end &251
    1341213862sat 32
    1341313863eat 32
     
    1342913879)
    1343013880)
    13431 on &247
    13432 )
    13433 *425 (Wire
     13881on &248
     13882)
     13883*446 (Wire
    1343413884uid 5634,0
    1343513885shape (OrthoPolyLine
     
    1344613896)
    1344713897start &38
    13448 end &251
     13898end &252
    1344913899sat 32
    1345013900eat 32
     
    1346713917)
    1346813918)
    13469 on &246
    13470 )
    13471 *426 (Wire
     13919on &247
     13920)
     13921*447 (Wire
    1347213922uid 5646,0
    1347313923shape (OrthoPolyLine
     
    1348313933]
    1348413934)
    13485 end &253
     13935end &254
    1348613936sat 16
    1348713937eat 32
     
    1350313953)
    1350413954)
    13505 on &162
    13506 )
    13507 *427 (Wire
     13955on &163
     13956)
     13957*448 (Wire
    1350813958uid 5745,0
    1350913959shape (OrthoPolyLine
     
    1352113971)
    1352213972start &54
    13523 end &262
     13973end &263
    1352413974sat 32
    1352513975eat 32
     
    1354113991)
    1354213992)
    13543 on &257
    13544 )
    13545 *428 (Wire
     13993on &258
     13994)
     13995*449 (Wire
    1354613996uid 5805,0
    1354713997shape (OrthoPolyLine
     
    1355614006]
    1355714007)
    13558 end &267
     14008end &268
    1355914009sat 16
    1356014010eat 32
     
    1357514025)
    1357614026)
    13577 on &164
    13578 )
    13579 *429 (Wire
     14027on &165
     14028)
     14029*450 (Wire
    1358014030uid 5813,0
    1358114031shape (OrthoPolyLine
     
    1358414034vasetType 3
    1358514035)
    13586 xt "12000,107000,20250,107000"
     14036xt "-18000,112000,-13000,112000"
    1358714037pts [
    13588 "20250,107000"
    13589 "12000,107000"
    13590 ]
    13591 )
    13592 start &259
    13593 end &278
     14038"-13000,112000"
     14039"-18000,112000"
     14040]
     14041)
     14042start &349
     14043end &279
    1359414044sat 32
    1359514045eat 32
     
    1360714057isHidden 1
    1360814058)
    13609 xt "13000,106000,14700,107000"
     14059xt "-17000,111000,-15300,112000"
    1361014060st "sclk"
    13611 blo "13000,106800"
     14061blo "-17000,111800"
    1361214062tm "WireNameMgr"
    1361314063)
    1361414064)
    13615 on &274
    13616 )
    13617 *430 (Wire
     14065on &275
     14066)
     14067*451 (Wire
    1361814068uid 5821,0
    1361914069shape (OrthoPolyLine
     
    1362814078]
    1362914079)
    13630 start &270
    13631 end &279
     14080start &271
     14081end &280
    1363214082sat 32
    1363314083eat 32
     
    1365114101)
    1365214102)
    13653 on &275
    13654 )
    13655 *431 (Wire
     14103on &276
     14104)
     14105*452 (Wire
    1365614106uid 5829,0
    1365714107shape (OrthoPolyLine
     
    1366614116]
    1366714117)
    13668 start &265
    13669 end &280
     14118start &266
     14119end &281
    1367014120sat 32
    1367114121eat 32
     
    1368914139)
    1369014140)
    13691 on &276
    13692 )
    13693 *432 (Wire
     14141on &277
     14142)
     14143*453 (Wire
    1369414144uid 5837,0
    1369514145shape (OrthoPolyLine
     
    1370514155]
    1370614156)
    13707 start &266
    13708 end &281
     14157start &267
     14158end &282
    1370914159sat 32
    1371014160eat 32
     
    1372914179)
    1373014180)
    13731 on &277
    13732 )
    13733 *433 (Wire
     14181on &278
     14182)
     14183*454 (Wire
    1373414184uid 5950,0
    1373514185shape (OrthoPolyLine
     
    1376714217)
    1376814218)
    13769 on &282
    13770 )
    13771 *434 (Wire
     14219on &283
     14220)
     14221*455 (Wire
    1377214222uid 5962,0
    1377314223shape (OrthoPolyLine
     
    1380514255)
    1380614256)
    13807 on &283
    13808 )
    13809 *435 (Wire
     14257on &284
     14258)
     14259*456 (Wire
    1381014260uid 6002,0
    1381114261shape (OrthoPolyLine
     
    1382214272]
    1382314273)
    13824 start &226
     14274start &227
    1382514275end &57
    1382614276sat 32
     
    1384314293)
    1384414294)
    13845 on &285
    13846 )
    13847 *436 (Wire
     14295on &286
     14296)
     14297*457 (Wire
    1384814298uid 6008,0
    1384914299shape (OrthoPolyLine
     
    1386014310]
    1386114311)
    13862 start &268
     14312start &269
    1386314313end &59
    1386414314sat 32
     
    1388114331)
    1388214332)
    13883 on &284
    13884 )
    13885 *437 (Wire
     14333on &285
     14334)
     14335*458 (Wire
    1388614336uid 6018,0
    1388714337shape (OrthoPolyLine
     
    1389914349)
    1390014350start &58
    13901 end &127
     14351end &128
    1390214352sat 32
    1390314353eat 32
     
    1391914369)
    1392014370)
    13921 on &286
    13922 )
    13923 *438 (Wire
     14371on &287
     14372)
     14373*459 (Wire
    1392414374uid 6064,0
    1392514375shape (OrthoPolyLine
     
    1395414404)
    1395514405)
    13956 on &238
    13957 )
    13958 *439 (Wire
     14406on &239
     14407)
     14408*460 (Wire
    1395914409uid 6072,0
    1396014410shape (OrthoPolyLine
     
    1397114421]
    1397214422)
    13973 start &167
    13974 end &400
     14423start &168
     14424end &421
    1397514425sat 32
    1397614426eat 32
     
    1399314443)
    1399414444)
    13995 on &173
    13996 )
    13997 *440 (Wire
     14445on &174
     14446)
     14447*461 (Wire
    1399814448uid 6082,0
    1399914449shape (OrthoPolyLine
     
    1401014460]
    1401114461)
    14012 start &112
    14013 end &423
     14462start &113
     14463end &444
    1401414464sat 32
    1401514465eat 32
     
    1403214482)
    1403314483)
    14034 on &173
    14035 )
    14036 *441 (Wire
     14484on &174
     14485)
     14486*462 (Wire
    1403714487uid 6160,0
    1403814488shape (OrthoPolyLine
     
    1404714497]
    1404814498)
    14049 start &269
    14050 end &288
     14499start &270
     14500end &289
    1405114501sat 32
    1405214502eat 32
     
    1407014520)
    1407114521)
    14072 on &287
    14073 )
    14074 *442 (Wire
     14522on &288
     14523)
     14524*463 (Wire
    1407514525uid 6276,0
    1407614526shape (OrthoPolyLine
     
    1408514535]
    1408614536)
    14087 end &104
     14537end &105
    1408814538sat 16
    1408914539eat 32
     
    1410414554)
    1410514555)
    14106 on &162
    14107 )
    14108 *443 (Wire
     14556on &163
     14557)
     14558*464 (Wire
    1410914559uid 6362,0
    1411014560shape (OrthoPolyLine
     
    1412014570)
    1412114571start &94
    14122 end &290
     14572end &291
    1412314573sat 32
    1412414574eat 32
     
    1414214592)
    1414314593)
    14144 on &289
    14145 )
    14146 *444 (Wire
     14594on &290
     14595)
     14596*465 (Wire
    1414714597uid 6452,0
    1414814598shape (OrthoPolyLine
     
    1417914629)
    1418014630)
    14181 on &291
    14182 )
    14183 *445 (Wire
     14631on &292
     14632)
     14633*466 (Wire
    1418414634uid 6540,0
    1418514635shape (OrthoPolyLine
     
    1419414644]
    1419514645)
    14196 start &296
     14646start &297
    1419714647end &41
    1419814648sat 32
     
    1421614666)
    1421714667)
    14218 on &310
    14219 )
    14220 *446 (Wire
     14668on &311
     14669)
     14670*467 (Wire
    1422114671uid 6548,0
    1422214672shape (OrthoPolyLine
     
    1423114681]
    1423214682)
    14233 start &298
     14683start &299
    1423414684sat 32
    1423514685eat 16
     
    1425214702)
    1425314703)
    14254 on &291
    14255 )
    14256 *447 (Wire
     14704on &292
     14705)
     14706*468 (Wire
    1425714707uid 8416,0
    1425814708shape (OrthoPolyLine
     
    1426714717]
    1426814718)
    14269 start &128
     14719start &129
    1427014720end &96
    1427114721sat 32
     
    1428814738)
    1428914739)
    14290 on &322
    14291 )
    14292 *448 (Wire
     14740on &323
     14741)
     14742*469 (Wire
    1429314743uid 8510,0
    1429414744shape (OrthoPolyLine
     
    1430414754]
    1430514755)
    14306 start &227
     14756start &228
    1430714757sat 32
    1430814758eat 16
     
    1432514775)
    1432614776)
    14327 on &323
    14328 )
    14329 *449 (Wire
     14777on &324
     14778)
     14779*470 (Wire
    1433014780uid 8518,0
    1433114781shape (OrthoPolyLine
     
    1434014790]
    1434114791)
    14342 start &228
     14792start &229
    1434314793sat 32
    1434414794eat 16
     
    1436014810)
    1436114811)
    14362 on &324
    14363 )
    14364 *450 (Wire
     14812on &325
     14813)
     14814*471 (Wire
    1436514815uid 8577,0
    1436614816shape (OrthoPolyLine
     
    1439714847)
    1439814848)
    14399 on &346
    14400 )
    14401 *451 (Wire
     14849on &347
     14850)
     14851*472 (Wire
    1440214852uid 8587,0
    1440314853shape (OrthoPolyLine
     
    1441314863]
    1441414864)
    14415 end &326
     14865end &327
    1441614866sat 16
    1441714867eat 32
     
    1443514885)
    1443614886)
    14437 on &346
    14438 )
    14439 *452 (Wire
     14887on &347
     14888)
     14889*473 (Wire
    1444014890uid 8595,0
    1444114891shape (OrthoPolyLine
     
    1445114901]
    1445214902)
    14453 end &331
     14903end &332
    1445414904sat 16
    1445514905eat 32
     
    1447314923)
    1447414924)
    14475 on &323
    14476 )
    14477 *453 (Wire
     14925on &324
     14926)
     14927*474 (Wire
    1447814928uid 8603,0
    1447914929shape (OrthoPolyLine
     
    1448914939]
    1449014940)
    14491 end &333
     14941end &334
    1449214942sat 16
    1449314943eat 32
     
    1451014960)
    1451114961)
    14512 on &324
     14962on &325
     14963)
     14964*475 (Wire
     14965uid 8732,0
     14966shape (OrthoPolyLine
     14967uid 8733,0
     14968va (VaSet
     14969vasetType 3
     14970)
     14971xt "-7000,107000,20250,111000"
     14972pts [
     14973"20250,107000"
     14974"-1000,107000"
     14975"-1000,111000"
     14976"-7000,111000"
     14977]
     14978)
     14979start &260
     14980end &354
     14981sat 32
     14982eat 32
     14983st 0
     14984sf 1
     14985si 0
     14986tg (WTG
     14987uid 8734,0
     14988ps "ConnStartEndStrategy"
     14989stg "STSignalDisplayStrategy"
     14990f (Text
     14991uid 8735,0
     14992va (VaSet
     14993)
     14994xt "17250,106000,19350,107000"
     14995st "sclk1"
     14996blo "17250,106800"
     14997tm "WireNameMgr"
     14998)
     14999)
     15000on &366
     15001)
     15002*476 (Wire
     15003uid 8738,0
     15004shape (OrthoPolyLine
     15005uid 8739,0
     15006va (VaSet
     15007vasetType 3
     15008)
     15009xt "-7000,113000,4000,113000"
     15010pts [
     15011"4000,113000"
     15012"-7000,113000"
     15013]
     15014)
     15015end &352
     15016sat 16
     15017eat 32
     15018stc 0
     15019st 0
     15020sf 1
     15021si 0
     15022tg (WTG
     15023uid 8742,0
     15024ps "ConnStartEndStrategy"
     15025stg "STSignalDisplayStrategy"
     15026f (Text
     15027uid 8743,0
     15028va (VaSet
     15029)
     15030xt "-5000,112000,-300,113000"
     15031st "sclk_enable"
     15032blo "-5000,112800"
     15033tm "WireNameMgr"
     15034)
     15035)
     15036on &367
     15037)
     15038*477 (Wire
     15039uid 8752,0
     15040shape (OrthoPolyLine
     15041uid 8753,0
     15042va (VaSet
     15043vasetType 3
     15044)
     15045xt "148750,77000,156000,77000"
     15046pts [
     15047"148750,77000"
     15048"156000,77000"
     15049]
     15050)
     15051start &97
     15052sat 32
     15053eat 16
     15054st 0
     15055sf 1
     15056si 0
     15057tg (WTG
     15058uid 8756,0
     15059ps "ConnStartEndStrategy"
     15060stg "STSignalDisplayStrategy"
     15061f (Text
     15062uid 8757,0
     15063va (VaSet
     15064)
     15065xt "150000,76000,154700,77000"
     15066st "sclk_enable"
     15067blo "150000,76800"
     15068tm "WireNameMgr"
     15069)
     15070)
     15071on &367
    1451315072)
    1451415073]
     
    1452415083color "26368,26368,26368"
    1452515084)
    14526 packageList *454 (PackageList
     15085packageList *478 (PackageList
    1452715086uid 41,0
    1452815087stg "VerticalLayoutStrategy"
    1452915088textVec [
    14530 *455 (Text
     15089*479 (Text
    1453115090uid 42,0
    1453215091va (VaSet
     
    1453715096blo "-87000,1800"
    1453815097)
    14539 *456 (MLText
     15098*480 (MLText
    1454015099uid 43,0
    1454115100va (VaSet
     
    1456215121stg "VerticalLayoutStrategy"
    1456315122textVec [
    14564 *457 (Text
     15123*481 (Text
    1456515124uid 45,0
    1456615125va (VaSet
     
    1457215131blo "20000,800"
    1457315132)
    14574 *458 (Text
     15133*482 (Text
    1457515134uid 46,0
    1457615135va (VaSet
     
    1458215141blo "20000,1800"
    1458315142)
    14584 *459 (MLText
     15143*483 (MLText
    1458515144uid 47,0
    1458615145va (VaSet
     
    1459215151tm "BdCompilerDirectivesTextMgr"
    1459315152)
    14594 *460 (Text
     15153*484 (Text
    1459515154uid 48,0
    1459615155va (VaSet
     
    1460215161blo "20000,4800"
    1460315162)
    14604 *461 (MLText
     15163*485 (MLText
    1460515164uid 49,0
    1460615165va (VaSet
     
    1461015169tm "BdCompilerDirectivesTextMgr"
    1461115170)
    14612 *462 (Text
     15171*486 (Text
    1461315172uid 50,0
    1461415173va (VaSet
     
    1462015179blo "20000,5800"
    1462115180)
    14622 *463 (MLText
     15181*487 (MLText
    1462315182uid 51,0
    1462415183va (VaSet
     
    1463215191)
    1463315192windowSize "0,0,1281,1024"
    14634 viewArea "-73966,37109,33461,125703"
    14635 cachedDiagramExtent "-100999,0,162300,301700"
     15193viewArea "58743,41963,165915,130347"
     15194cachedDiagramExtent "-100999,0,162300,343294"
    1463615195pageSetupInfo (PageSetupInfo
    1463715196ptrCmd "eDocPrintPro,winspool,"
     
    1465815217hasePageBreakOrigin 1
    1465915218pageBreakOrigin "-73000,0"
    14660 lastUid 8614,0
     15219lastUid 8761,0
    1466115220defaultCommentText (CommentText
    1466215221shape (Rectangle
     
    1472015279stg "VerticalLayoutStrategy"
    1472115280textVec [
    14722 *464 (Text
     15281*488 (Text
    1472315282va (VaSet
    1472415283font "Arial,8,1"
     
    1472915288tm "BdLibraryNameMgr"
    1473015289)
    14731 *465 (Text
     15290*489 (Text
    1473215291va (VaSet
    1473315292font "Arial,8,1"
     
    1473815297tm "BlkNameMgr"
    1473915298)
    14740 *466 (Text
     15299*490 (Text
    1474115300va (VaSet
    1474215301font "Arial,8,1"
     
    1478915348stg "VerticalLayoutStrategy"
    1479015349textVec [
    14791 *467 (Text
     15350*491 (Text
    1479215351va (VaSet
    1479315352font "Arial,8,1"
     
    1479715356blo "550,4300"
    1479815357)
    14799 *468 (Text
     15358*492 (Text
    1480015359va (VaSet
    1480115360font "Arial,8,1"
     
    1480515364blo "550,5300"
    1480615365)
    14807 *469 (Text
     15366*493 (Text
    1480815367va (VaSet
    1480915368font "Arial,8,1"
     
    1485415413stg "VerticalLayoutStrategy"
    1485515414textVec [
    14856 *470 (Text
     15415*494 (Text
    1485715416va (VaSet
    1485815417font "Arial,8,1"
     
    1486315422tm "BdLibraryNameMgr"
    1486415423)
    14865 *471 (Text
     15424*495 (Text
    1486615425va (VaSet
    1486715426font "Arial,8,1"
     
    1487215431tm "CptNameMgr"
    1487315432)
    14874 *472 (Text
     15433*496 (Text
    1487515434va (VaSet
    1487615435font "Arial,8,1"
     
    1492615485stg "VerticalLayoutStrategy"
    1492715486textVec [
    14928 *473 (Text
     15487*497 (Text
    1492915488va (VaSet
    1493015489font "Arial,8,1"
     
    1493415493blo "500,4300"
    1493515494)
    14936 *474 (Text
     15495*498 (Text
    1493715496va (VaSet
    1493815497font "Arial,8,1"
     
    1494215501blo "500,5300"
    1494315502)
    14944 *475 (Text
     15503*499 (Text
    1494515504va (VaSet
    1494615505font "Arial,8,1"
     
    1498715546stg "VerticalLayoutStrategy"
    1498815547textVec [
    14989 *476 (Text
     15548*500 (Text
    1499015549va (VaSet
    1499115550font "Arial,8,1"
     
    1499515554blo "50,4300"
    1499615555)
    14997 *477 (Text
     15556*501 (Text
    1499815557va (VaSet
    1499915558font "Arial,8,1"
     
    1500315562blo "50,5300"
    1500415563)
    15005 *478 (Text
     15564*502 (Text
    1500615565va (VaSet
    1500715566font "Arial,8,1"
     
    1504415603stg "VerticalLayoutStrategy"
    1504515604textVec [
    15046 *479 (Text
     15605*503 (Text
    1504715606va (VaSet
    1504815607font "Arial,8,1"
     
    1505315612tm "HdlTextNameMgr"
    1505415613)
    15055 *480 (Text
     15614*504 (Text
    1505615615va (VaSet
    1505715616font "Arial,8,1"
     
    1545616015stg "VerticalLayoutStrategy"
    1545716016textVec [
    15458 *481 (Text
     16017*505 (Text
    1545916018va (VaSet
    1546016019font "Arial,8,1"
     
    1546416023blo "14100,20800"
    1546516024)
    15466 *482 (MLText
     16025*506 (MLText
    1546716026va (VaSet
    1546816027)
     
    1551616075stg "VerticalLayoutStrategy"
    1551716076textVec [
    15518 *483 (Text
     16077*507 (Text
    1551916078va (VaSet
    1552016079font "Arial,8,1"
     
    1552416083blo "14100,20800"
    1552516084)
    15526 *484 (MLText
     16085*508 (MLText
    1552716086va (VaSet
    1552816087)
     
    1564916208font "Arial,8,1"
    1565016209)
    15651 xt "-87000,87400,-82300,88400"
     16210xt "-87000,89000,-82300,90000"
    1565216211st "Post User:"
    15653 blo "-87000,88200"
     16212blo "-87000,89800"
    1565416213)
    1565516214postUserText (MLText
     
    1566416223commonDM (CommonDM
    1566516224ldm (LogicalDM
    15666 suid 190,0
     16225suid 194,0
    1566716226usingSuid 1
    15668 emptyRow *485 (LEmptyRow
     16227emptyRow *509 (LEmptyRow
    1566916228)
    1567016229uid 54,0
    1567116230optionalChildren [
    15672 *486 (RefLabelRowHdr
    15673 )
    15674 *487 (TitleRowHdr
    15675 )
    15676 *488 (FilterRowHdr
    15677 )
    15678 *489 (RefLabelColHdr
     16231*510 (RefLabelRowHdr
     16232)
     16233*511 (TitleRowHdr
     16234)
     16235*512 (FilterRowHdr
     16236)
     16237*513 (RefLabelColHdr
    1567916238tm "RefLabelColHdrMgr"
    1568016239)
    15681 *490 (RowExpandColHdr
     16240*514 (RowExpandColHdr
    1568216241tm "RowExpandColHdrMgr"
    1568316242)
    15684 *491 (GroupColHdr
     16243*515 (GroupColHdr
    1568516244tm "GroupColHdrMgr"
    1568616245)
    15687 *492 (NameColHdr
     16246*516 (NameColHdr
    1568816247tm "BlockDiagramNameColHdrMgr"
    1568916248)
    15690 *493 (ModeColHdr
     16249*517 (ModeColHdr
    1569116250tm "BlockDiagramModeColHdrMgr"
    1569216251)
    15693 *494 (TypeColHdr
     16252*518 (TypeColHdr
    1569416253tm "BlockDiagramTypeColHdrMgr"
    1569516254)
    15696 *495 (BoundsColHdr
     16255*519 (BoundsColHdr
    1569716256tm "BlockDiagramBoundsColHdrMgr"
    1569816257)
    15699 *496 (InitColHdr
     16258*520 (InitColHdr
    1570016259tm "BlockDiagramInitColHdrMgr"
    1570116260)
    15702 *497 (EolColHdr
     16261*521 (EolColHdr
    1570316262tm "BlockDiagramEolColHdrMgr"
    1570416263)
    15705 *498 (LeafLogPort
     16264*522 (LeafLogPort
    1570616265port (LogicalPort
    1570716266m 4
     
    1571716276uid 516,0
    1571816277)
    15719 *499 (LeafLogPort
     16278*523 (LeafLogPort
    1572016279port (LogicalPort
    1572116280m 4
     
    1573016289uid 518,0
    1573116290)
    15732 *500 (LeafLogPort
     16291*524 (LeafLogPort
    1573316292port (LogicalPort
    1573416293m 4
     
    1574316302uid 520,0
    1574416303)
    15745 *501 (LeafLogPort
     16304*525 (LeafLogPort
    1574616305port (LogicalPort
    1574716306m 4
     
    1575616315uid 530,0
    1575716316)
    15758 *502 (LeafLogPort
     16317*526 (LeafLogPort
    1575916318port (LogicalPort
    1576016319m 4
     
    1576916328uid 532,0
    1577016329)
    15771 *503 (LeafLogPort
     16330*527 (LeafLogPort
    1577216331port (LogicalPort
    1577316332m 1
     
    1578216341uid 534,0
    1578316342)
    15784 *504 (LeafLogPort
     16343*528 (LeafLogPort
    1578516344port (LogicalPort
    1578616345m 1
     
    1579516354uid 536,0
    1579616355)
    15797 *505 (LeafLogPort
     16356*529 (LeafLogPort
    1579816357port (LogicalPort
    1579916358m 2
     
    1580816367uid 538,0
    1580916368)
    15810 *506 (LeafLogPort
     16369*530 (LeafLogPort
    1581116370port (LogicalPort
    1581216371m 1
     
    1582116380uid 540,0
    1582216381)
    15823 *507 (LeafLogPort
     16382*531 (LeafLogPort
    1582416383port (LogicalPort
    1582516384m 1
     
    1583416393uid 542,0
    1583516394)
    15836 *508 (LeafLogPort
     16395*532 (LeafLogPort
    1583716396port (LogicalPort
    1583816397m 1
     
    1584716406uid 546,0
    1584816407)
    15849 *509 (LeafLogPort
     16408*533 (LeafLogPort
    1585016409port (LogicalPort
    1585116410decl (Decl
     
    1585816417uid 548,0
    1585916418)
    15860 *510 (LeafLogPort
     16419*534 (LeafLogPort
    1586116420port (LogicalPort
    1586216421decl (Decl
     
    1587216431uid 1455,0
    1587316432)
    15874 *511 (LeafLogPort
     16433*535 (LeafLogPort
    1587516434port (LogicalPort
    1587616435decl (Decl
     
    1588516444uid 1457,0
    1588616445)
    15887 *512 (LeafLogPort
     16446*536 (LeafLogPort
    1588816447port (LogicalPort
    1588916448decl (Decl
     
    1589716456uid 1694,0
    1589816457)
    15899 *513 (LeafLogPort
     16458*537 (LeafLogPort
    1590016459port (LogicalPort
    1590116460lang 2
     
    1591316472uid 1993,0
    1591416473)
    15915 *514 (LeafLogPort
     16474*538 (LeafLogPort
    1591616475port (LogicalPort
    1591716476m 4
     
    1592816487uid 2305,0
    1592916488)
    15930 *515 (LeafLogPort
     16489*539 (LeafLogPort
    1593116490port (LogicalPort
    1593216491lang 2
     
    1594116500uid 2510,0
    1594216501)
    15943 *516 (LeafLogPort
     16502*540 (LeafLogPort
    1594416503port (LogicalPort
    1594516504lang 2
     
    1595516514uid 2512,0
    1595616515)
    15957 *517 (LeafLogPort
     16516*541 (LeafLogPort
    1595816517port (LogicalPort
    1595916518lang 2
     
    1597016529uid 2514,0
    1597116530)
    15972 *518 (LeafLogPort
     16531*542 (LeafLogPort
    1597316532port (LogicalPort
    1597416533lang 2
     
    1598616545uid 2516,0
    1598716546)
    15988 *519 (LeafLogPort
     16547*543 (LeafLogPort
    1598916548port (LogicalPort
    1599016549lang 2
     
    1600116560uid 2518,0
    1600216561)
    16003 *520 (LeafLogPort
     16562*544 (LeafLogPort
    1600416563port (LogicalPort
    1600516564lang 2
     
    1601516574uid 2520,0
    1601616575)
    16017 *521 (LeafLogPort
     16576*545 (LeafLogPort
    1601816577port (LogicalPort
    1601916578lang 2
     
    1602916588uid 2522,0
    1603016589)
    16031 *522 (LeafLogPort
     16590*546 (LeafLogPort
    1603216591port (LogicalPort
    1603316592m 4
     
    1604116600uid 2604,0
    1604216601)
    16043 *523 (LeafLogPort
     16602*547 (LeafLogPort
    1604416603port (LogicalPort
    1604516604m 4
     
    1605416613uid 2606,0
    1605516614)
    16056 *524 (LeafLogPort
     16615*548 (LeafLogPort
    1605716616port (LogicalPort
    1605816617m 4
     
    1606716626uid 2608,0
    1606816627)
    16069 *525 (LeafLogPort
     16628*549 (LeafLogPort
    1607016629port (LogicalPort
    1607116630m 4
     
    1607916638uid 2610,0
    1608016639)
    16081 *526 (LeafLogPort
     16640*550 (LeafLogPort
    1608216641port (LogicalPort
    1608316642m 4
     
    1609116650uid 2612,0
    1609216651)
    16093 *527 (LeafLogPort
     16652*551 (LeafLogPort
    1609416653port (LogicalPort
    1609516654m 4
     
    1610416663uid 2646,0
    1610516664)
    16106 *528 (LeafLogPort
     16665*552 (LeafLogPort
    1610716666port (LogicalPort
    1610816667m 1
     
    1611716676uid 2812,0
    1611816677)
    16119 *529 (LeafLogPort
     16678*553 (LeafLogPort
    1612016679port (LogicalPort
    1612116680m 4
     
    1612916688uid 2962,0
    1613016689)
    16131 *530 (LeafLogPort
     16690*554 (LeafLogPort
    1613216691port (LogicalPort
    1613316692m 1
     
    1614116700uid 3902,0
    1614216701)
    16143 *531 (LeafLogPort
     16702*555 (LeafLogPort
    1614416703port (LogicalPort
    1614516704m 1
     
    1615316712uid 4070,0
    1615416713)
    16155 *532 (LeafLogPort
     16714*556 (LeafLogPort
    1615616715port (LogicalPort
    1615716716m 4
     
    1616516724uid 4212,0
    1616616725)
    16167 *533 (LeafLogPort
     16726*557 (LeafLogPort
    1616816727port (LogicalPort
    1616916728decl (Decl
     
    1617616735uid 4234,0
    1617716736)
    16178 *534 (LeafLogPort
     16737*558 (LeafLogPort
    1617916738port (LogicalPort
    1618016739decl (Decl
     
    1618816747uid 4262,0
    1618916748)
    16190 *535 (LeafLogPort
     16749*559 (LeafLogPort
    1619116750port (LogicalPort
    1619216751decl (Decl
     
    1619916758uid 4276,0
    1620016759)
    16201 *536 (LeafLogPort
     16760*560 (LeafLogPort
    1620216761port (LogicalPort
    1620316762m 4
     
    1621216771uid 4563,0
    1621316772)
    16214 *537 (LeafLogPort
     16773*561 (LeafLogPort
    1621516774port (LogicalPort
    1621616775m 4
     
    1622416783uid 4565,0
    1622516784)
    16226 *538 (LeafLogPort
     16785*562 (LeafLogPort
    1622716786port (LogicalPort
    1622816787m 4
     
    1623716796uid 4569,0
    1623816797)
    16239 *539 (LeafLogPort
     16798*563 (LeafLogPort
    1624016799port (LogicalPort
    1624116800m 1
     
    1625116810uid 4585,0
    1625216811)
    16253 *540 (LeafLogPort
     16812*564 (LeafLogPort
    1625416813port (LogicalPort
    1625516814m 1
     
    1626416823uid 4587,0
    1626516824)
    16266 *541 (LeafLogPort
     16825*565 (LeafLogPort
    1626716826port (LogicalPort
    1626816827decl (Decl
     
    1627516834uid 4733,0
    1627616835)
    16277 *542 (LeafLogPort
     16836*566 (LeafLogPort
    1627816837port (LogicalPort
    1627916838decl (Decl
     
    1628616845uid 4735,0
    1628716846)
    16288 *543 (LeafLogPort
     16847*567 (LeafLogPort
    1628916848port (LogicalPort
    1629016849decl (Decl
     
    1629716856uid 4737,0
    1629816857)
    16299 *544 (LeafLogPort
     16858*568 (LeafLogPort
    1630016859port (LogicalPort
    1630116860decl (Decl
     
    1630816867uid 4739,0
    1630916868)
    16310 *545 (LeafLogPort
     16869*569 (LeafLogPort
    1631116870port (LogicalPort
    1631216871m 4
     
    1632016879uid 4749,0
    1632116880)
    16322 *546 (LeafLogPort
     16881*570 (LeafLogPort
    1632316882port (LogicalPort
    1632416883m 1
     
    1633316892uid 4974,0
    1633416893)
    16335 *547 (LeafLogPort
     16894*571 (LeafLogPort
    1633616895port (LogicalPort
    1633716896m 1
     
    1634616905uid 4976,0
    1634716906)
    16348 *548 (LeafLogPort
     16907*572 (LeafLogPort
    1634916908port (LogicalPort
    1635016909m 4
     
    1635916918uid 5198,0
    1636016919)
    16361 *549 (LeafLogPort
     16920*573 (LeafLogPort
    1636216921port (LogicalPort
    1636316922m 4
     
    1637116930uid 5200,0
    1637216931)
    16373 *550 (LeafLogPort
     16932*574 (LeafLogPort
    1637416933port (LogicalPort
    1637516934m 4
     
    1638316942uid 5202,0
    1638416943)
    16385 *551 (LeafLogPort
     16944*575 (LeafLogPort
    1638616945port (LogicalPort
    1638716946m 4
     
    1639616955uid 5204,0
    1639716956)
    16398 *552 (LeafLogPort
     16957*576 (LeafLogPort
    1639916958port (LogicalPort
    1640016959m 4
     
    1640816967uid 5206,0
    1640916968)
    16410 *553 (LeafLogPort
     16969*577 (LeafLogPort
    1641116970port (LogicalPort
    1641216971m 4
     
    1642016979uid 5208,0
    1642116980)
    16422 *554 (LeafLogPort
     16981*578 (LeafLogPort
    1642316982port (LogicalPort
    1642416983m 4
     
    1643216991uid 5210,0
    1643316992)
    16434 *555 (LeafLogPort
     16993*579 (LeafLogPort
    1643516994port (LogicalPort
    1643616995m 4
     
    1644417003uid 5212,0
    1644517004)
    16446 *556 (LeafLogPort
     17005*580 (LeafLogPort
    1644717006port (LogicalPort
    1644817007m 4
     
    1645617015uid 5214,0
    1645717016)
    16458 *557 (LeafLogPort
     17017*581 (LeafLogPort
    1645917018port (LogicalPort
    1646017019m 1
     
    1647117030uid 5226,0
    1647217031)
    16473 *558 (LeafLogPort
     17032*582 (LeafLogPort
    1647417033port (LogicalPort
    1647517034m 4
     
    1648417043uid 5285,0
    1648517044)
    16486 *559 (LeafLogPort
     17045*583 (LeafLogPort
    1648717046port (LogicalPort
    1648817047m 4
     
    1649617055uid 5502,0
    1649717056)
    16498 *560 (LeafLogPort
     17057*584 (LeafLogPort
    1649917058port (LogicalPort
    1650017059m 4
     
    1650817067uid 5504,0
    1650917068)
    16510 *561 (LeafLogPort
     17069*585 (LeafLogPort
    1651117070port (LogicalPort
    1651217071m 4
     
    1652017079uid 5600,0
    1652117080)
    16522 *562 (LeafLogPort
     17081*586 (LeafLogPort
    1652317082port (LogicalPort
    1652417083lang 10
     
    1653417093uid 5642,0
    1653517094)
    16536 *563 (LeafLogPort
     17095*587 (LeafLogPort
    1653717096port (LogicalPort
    1653817097m 4
     
    1654617105uid 5644,0
    1654717106)
    16548 *564 (LeafLogPort
     17107*588 (LeafLogPort
    1654917108port (LogicalPort
    1655017109m 4
     
    1655917118uid 5751,0
    1656017119)
    16561 *565 (LeafLogPort
     17120*589 (LeafLogPort
    1656217121port (LogicalPort
    1656317122m 1
     
    1657117130uid 5867,0
    1657217131)
    16573 *566 (LeafLogPort
     17132*590 (LeafLogPort
    1657417133port (LogicalPort
    1657517134m 2
     
    1658517144uid 5869,0
    1658617145)
    16587 *567 (LeafLogPort
     17146*591 (LeafLogPort
    1658817147port (LogicalPort
    1658917148m 1
     
    1659717156uid 5871,0
    1659817157)
    16599 *568 (LeafLogPort
     17158*592 (LeafLogPort
    1660017159port (LogicalPort
    1660117160m 1
     
    1661017169uid 5873,0
    1661117170)
    16612 *569 (LeafLogPort
     17171*593 (LeafLogPort
    1661317172port (LogicalPort
    1661417173m 4
     
    1662317182uid 5966,0
    1662417183)
    16625 *570 (LeafLogPort
     17184*594 (LeafLogPort
    1662617185port (LogicalPort
    1662717186m 4
     
    1663517194uid 5968,0
    1663617195)
    16637 *571 (LeafLogPort
     17196*595 (LeafLogPort
    1663817197port (LogicalPort
    1663917198m 4
     
    1664817207uid 6022,0
    1664917208)
    16650 *572 (LeafLogPort
     17209*596 (LeafLogPort
    1665117210port (LogicalPort
    1665217211m 4
     
    1666117220uid 6024,0
    1666217221)
    16663 *573 (LeafLogPort
     17222*597 (LeafLogPort
    1666417223port (LogicalPort
    1666517224m 4
     
    1667317232uid 6026,0
    1667417233)
    16675 *574 (LeafLogPort
     17234*598 (LeafLogPort
    1667617235port (LogicalPort
    1667717236m 1
     
    1668617245uid 6172,0
    1668717246)
    16688 *575 (LeafLogPort
     17247*599 (LeafLogPort
    1668917248port (LogicalPort
    1669017249m 1
     
    1670117260uid 6374,0
    1670217261)
    16703 *576 (LeafLogPort
     17262*600 (LeafLogPort
    1670417263port (LogicalPort
    1670517264m 4
     
    1671417273uid 6464,0
    1671517274)
    16716 *577 (LeafLogPort
     17275*601 (LeafLogPort
    1671717276port (LogicalPort
    1671817277m 4
     
    1672717286uid 6554,0
    1672817287)
    16729 *578 (LeafLogPort
     17288*602 (LeafLogPort
    1673017289port (LogicalPort
    1673117290lang 2
     
    1674017299uid 8420,0
    1674117300)
    16742 *579 (LeafLogPort
     17301*603 (LeafLogPort
    1674317302port (LogicalPort
    1674417303m 4
     
    1675417313uid 8609,0
    1675517314)
    16756 *580 (LeafLogPort
     17315*604 (LeafLogPort
    1675717316port (LogicalPort
    1675817317m 4
     
    1676617325uid 8611,0
    1676717326)
    16768 *581 (LeafLogPort
     17327*605 (LeafLogPort
    1676917328port (LogicalPort
    1677017329m 4
     
    1678017339uid 8613,0
    1678117340)
     17341*606 (LeafLogPort
     17342port (LogicalPort
     17343m 4
     17344decl (Decl
     17345n "sclk1"
     17346t "std_logic"
     17347o 85
     17348suid 191,0
     17349)
     17350)
     17351uid 8758,0
     17352)
     17353*607 (LeafLogPort
     17354port (LogicalPort
     17355m 4
     17356decl (Decl
     17357n "sclk_enable"
     17358t "std_logic"
     17359o 86
     17360suid 194,0
     17361)
     17362)
     17363uid 8760,0
     17364)
    1678217365]
    1678317366)
     
    1678717370uid 67,0
    1678817371optionalChildren [
    16789 *582 (Sheet
     17372*608 (Sheet
    1679017373sheetRow (SheetRow
    1679117374headerVa (MVa
     
    1680417387font "Tahoma,10,0"
    1680517388)
    16806 emptyMRCItem *583 (MRCItem
    16807 litem &485
    16808 pos 84
     17389emptyMRCItem *609 (MRCItem
     17390litem &509
     17391pos 86
    1680917392dimension 20
    1681017393)
    1681117394uid 69,0
    1681217395optionalChildren [
    16813 *584 (MRCItem
    16814 litem &486
     17396*610 (MRCItem
     17397litem &510
    1681517398pos 0
    1681617399dimension 20
    1681717400uid 70,0
    1681817401)
    16819 *585 (MRCItem
    16820 litem &487
     17402*611 (MRCItem
     17403litem &511
    1682117404pos 1
    1682217405dimension 23
    1682317406uid 71,0
    1682417407)
    16825 *586 (MRCItem
    16826 litem &488
     17408*612 (MRCItem
     17409litem &512
    1682717410pos 2
    1682817411hidden 1
     
    1683017413uid 72,0
    1683117414)
    16832 *587 (MRCItem
    16833 litem &498
     17415*613 (MRCItem
     17416litem &522
    1683417417pos 31
    1683517418dimension 20
    1683617419uid 517,0
    1683717420)
    16838 *588 (MRCItem
    16839 litem &499
     17421*614 (MRCItem
     17422litem &523
    1684017423pos 32
    1684117424dimension 20
    1684217425uid 519,0
    1684317426)
    16844 *589 (MRCItem
    16845 litem &500
     17427*615 (MRCItem
     17428litem &524
    1684617429pos 33
    1684717430dimension 20
    1684817431uid 521,0
    1684917432)
    16850 *590 (MRCItem
    16851 litem &501
     17433*616 (MRCItem
     17434litem &525
    1685217435pos 34
    1685317436dimension 20
    1685417437uid 531,0
    1685517438)
    16856 *591 (MRCItem
    16857 litem &502
     17439*617 (MRCItem
     17440litem &526
    1685817441pos 35
    1685917442dimension 20
    1686017443uid 533,0
    1686117444)
    16862 *592 (MRCItem
    16863 litem &503
     17445*618 (MRCItem
     17446litem &527
    1686417447pos 0
    1686517448dimension 20
    1686617449uid 535,0
    1686717450)
    16868 *593 (MRCItem
    16869 litem &504
     17451*619 (MRCItem
     17452litem &528
    1687017453pos 1
    1687117454dimension 20
    1687217455uid 537,0
    1687317456)
    16874 *594 (MRCItem
    16875 litem &505
     17457*620 (MRCItem
     17458litem &529
    1687617459pos 2
    1687717460dimension 20
    1687817461uid 539,0
    1687917462)
    16880 *595 (MRCItem
    16881 litem &506
     17463*621 (MRCItem
     17464litem &530
    1688217465pos 3
    1688317466dimension 20
    1688417467uid 541,0
    1688517468)
    16886 *596 (MRCItem
    16887 litem &507
     17469*622 (MRCItem
     17470litem &531
    1688817471pos 4
    1688917472dimension 20
    1689017473uid 543,0
    1689117474)
    16892 *597 (MRCItem
    16893 litem &508
     17475*623 (MRCItem
     17476litem &532
    1689417477pos 5
    1689517478dimension 20
    1689617479uid 547,0
    1689717480)
    16898 *598 (MRCItem
    16899 litem &509
     17481*624 (MRCItem
     17482litem &533
    1690017483pos 6
    1690117484dimension 20
    1690217485uid 549,0
    1690317486)
    16904 *599 (MRCItem
    16905 litem &510
     17487*625 (MRCItem
     17488litem &534
    1690617489pos 8
    1690717490dimension 20
    1690817491uid 1456,0
    1690917492)
    16910 *600 (MRCItem
    16911 litem &511
     17493*626 (MRCItem
     17494litem &535
    1691217495pos 7
    1691317496dimension 20
    1691417497uid 1458,0
    1691517498)
    16916 *601 (MRCItem
    16917 litem &512
     17499*627 (MRCItem
     17500litem &536
    1691817501pos 9
    1691917502dimension 20
    1692017503uid 1695,0
    1692117504)
    16922 *602 (MRCItem
    16923 litem &513
     17505*628 (MRCItem
     17506litem &537
    1692417507pos 36
    1692517508dimension 20
    1692617509uid 1994,0
    1692717510)
    16928 *603 (MRCItem
    16929 litem &514
     17511*629 (MRCItem
     17512litem &538
    1693017513pos 37
    1693117514dimension 20
    1693217515uid 2306,0
    1693317516)
    16934 *604 (MRCItem
    16935 litem &515
     17517*630 (MRCItem
     17518litem &539
    1693617519pos 38
    1693717520dimension 20
    1693817521uid 2511,0
    1693917522)
    16940 *605 (MRCItem
    16941 litem &516
     17523*631 (MRCItem
     17524litem &540
    1694217525pos 39
    1694317526dimension 20
    1694417527uid 2513,0
    1694517528)
    16946 *606 (MRCItem
    16947 litem &517
     17529*632 (MRCItem
     17530litem &541
    1694817531pos 40
    1694917532dimension 20
    1695017533uid 2515,0
    1695117534)
    16952 *607 (MRCItem
    16953 litem &518
     17535*633 (MRCItem
     17536litem &542
    1695417537pos 41
    1695517538dimension 20
    1695617539uid 2517,0
    1695717540)
    16958 *608 (MRCItem
    16959 litem &519
     17541*634 (MRCItem
     17542litem &543
    1696017543pos 42
    1696117544dimension 20
    1696217545uid 2519,0
    1696317546)
    16964 *609 (MRCItem
    16965 litem &520
     17547*635 (MRCItem
     17548litem &544
    1696617549pos 43
    1696717550dimension 20
    1696817551uid 2521,0
    1696917552)
    16970 *610 (MRCItem
    16971 litem &521
     17553*636 (MRCItem
     17554litem &545
    1697217555pos 44
    1697317556dimension 20
    1697417557uid 2523,0
    1697517558)
    16976 *611 (MRCItem
    16977 litem &522
     17559*637 (MRCItem
     17560litem &546
    1697817561pos 45
    1697917562dimension 20
    1698017563uid 2605,0
    1698117564)
    16982 *612 (MRCItem
    16983 litem &523
     17565*638 (MRCItem
     17566litem &547
    1698417567pos 46
    1698517568dimension 20
    1698617569uid 2607,0
    1698717570)
    16988 *613 (MRCItem
    16989 litem &524
     17571*639 (MRCItem
     17572litem &548
    1699017573pos 47
    1699117574dimension 20
    1699217575uid 2609,0
    1699317576)
    16994 *614 (MRCItem
    16995 litem &525
     17577*640 (MRCItem
     17578litem &549
    1699617579pos 48
    1699717580dimension 20
    1699817581uid 2611,0
    1699917582)
    17000 *615 (MRCItem
    17001 litem &526
     17583*641 (MRCItem
     17584litem &550
    1700217585pos 49
    1700317586dimension 20
    1700417587uid 2613,0
    1700517588)
    17006 *616 (MRCItem
    17007 litem &527
     17589*642 (MRCItem
     17590litem &551
    1700817591pos 50
    1700917592dimension 20
    1701017593uid 2647,0
    1701117594)
    17012 *617 (MRCItem
    17013 litem &528
     17595*643 (MRCItem
     17596litem &552
    1701417597pos 10
    1701517598dimension 20
    1701617599uid 2813,0
    1701717600)
    17018 *618 (MRCItem
    17019 litem &529
     17601*644 (MRCItem
     17602litem &553
    1702017603pos 51
    1702117604dimension 20
    1702217605uid 2963,0
    1702317606)
    17024 *619 (MRCItem
    17025 litem &530
     17607*645 (MRCItem
     17608litem &554
    1702617609pos 11
    1702717610dimension 20
    1702817611uid 3903,0
    1702917612)
    17030 *620 (MRCItem
    17031 litem &531
     17613*646 (MRCItem
     17614litem &555
    1703217615pos 12
    1703317616dimension 20
    1703417617uid 4071,0
    1703517618)
    17036 *621 (MRCItem
    17037 litem &532
     17619*647 (MRCItem
     17620litem &556
    1703817621pos 52
    1703917622dimension 20
    1704017623uid 4213,0
    1704117624)
    17042 *622 (MRCItem
    17043 litem &533
     17625*648 (MRCItem
     17626litem &557
    1704417627pos 13
    1704517628dimension 20
    1704617629uid 4235,0
    1704717630)
    17048 *623 (MRCItem
    17049 litem &534
     17631*649 (MRCItem
     17632litem &558
    1705017633pos 14
    1705117634dimension 20
    1705217635uid 4263,0
    1705317636)
    17054 *624 (MRCItem
    17055 litem &535
     17637*650 (MRCItem
     17638litem &559
    1705617639pos 15
    1705717640dimension 20
    1705817641uid 4277,0
    1705917642)
    17060 *625 (MRCItem
    17061 litem &536
     17643*651 (MRCItem
     17644litem &560
    1706217645pos 53
    1706317646dimension 20
    1706417647uid 4564,0
    1706517648)
    17066 *626 (MRCItem
    17067 litem &537
     17649*652 (MRCItem
     17650litem &561
    1706817651pos 54
    1706917652dimension 20
    1707017653uid 4566,0
    1707117654)
    17072 *627 (MRCItem
    17073 litem &538
     17655*653 (MRCItem
     17656litem &562
    1707417657pos 55
    1707517658dimension 20
    1707617659uid 4570,0
    1707717660)
    17078 *628 (MRCItem
    17079 litem &539
     17661*654 (MRCItem
     17662litem &563
    1708017663pos 16
    1708117664dimension 20
    1708217665uid 4586,0
    1708317666)
    17084 *629 (MRCItem
    17085 litem &540
     17667*655 (MRCItem
     17668litem &564
    1708617669pos 17
    1708717670dimension 20
    1708817671uid 4588,0
    1708917672)
    17090 *630 (MRCItem
    17091 litem &541
     17673*656 (MRCItem
     17674litem &565
    1709217675pos 18
    1709317676dimension 20
    1709417677uid 4734,0
    1709517678)
    17096 *631 (MRCItem
    17097 litem &542
     17679*657 (MRCItem
     17680litem &566
    1709817681pos 19
    1709917682dimension 20
    1710017683uid 4736,0
    1710117684)
    17102 *632 (MRCItem
    17103 litem &543
     17685*658 (MRCItem
     17686litem &567
    1710417687pos 20
    1710517688dimension 20
    1710617689uid 4738,0
    1710717690)
    17108 *633 (MRCItem
    17109 litem &544
     17691*659 (MRCItem
     17692litem &568
    1711017693pos 21
    1711117694dimension 20
    1711217695uid 4740,0
    1711317696)
    17114 *634 (MRCItem
    17115 litem &545
     17697*660 (MRCItem
     17698litem &569
    1711617699pos 56
    1711717700dimension 20
    1711817701uid 4750,0
    1711917702)
    17120 *635 (MRCItem
    17121 litem &546
     17703*661 (MRCItem
     17704litem &570
    1712217705pos 22
    1712317706dimension 20
    1712417707uid 4975,0
    1712517708)
    17126 *636 (MRCItem
    17127 litem &547
     17709*662 (MRCItem
     17710litem &571
    1712817711pos 23
    1712917712dimension 20
    1713017713uid 4977,0
    1713117714)
    17132 *637 (MRCItem
    17133 litem &548
     17715*663 (MRCItem
     17716litem &572
    1713417717pos 57
    1713517718dimension 20
    1713617719uid 5199,0
    1713717720)
    17138 *638 (MRCItem
    17139 litem &549
     17721*664 (MRCItem
     17722litem &573
    1714017723pos 58
    1714117724dimension 20
    1714217725uid 5201,0
    1714317726)
    17144 *639 (MRCItem
    17145 litem &550
     17727*665 (MRCItem
     17728litem &574
    1714617729pos 59
    1714717730dimension 20
    1714817731uid 5203,0
    1714917732)
    17150 *640 (MRCItem
    17151 litem &551
     17733*666 (MRCItem
     17734litem &575
    1715217735pos 60
    1715317736dimension 20
    1715417737uid 5205,0
    1715517738)
    17156 *641 (MRCItem
    17157 litem &552
     17739*667 (MRCItem
     17740litem &576
    1715817741pos 61
    1715917742dimension 20
    1716017743uid 5207,0
    1716117744)
    17162 *642 (MRCItem
    17163 litem &553
     17745*668 (MRCItem
     17746litem &577
    1716417747pos 62
    1716517748dimension 20
    1716617749uid 5209,0
    1716717750)
    17168 *643 (MRCItem
    17169 litem &554
     17751*669 (MRCItem
     17752litem &578
    1717017753pos 63
    1717117754dimension 20
    1717217755uid 5211,0
    1717317756)
    17174 *644 (MRCItem
    17175 litem &555
     17757*670 (MRCItem
     17758litem &579
    1717617759pos 64
    1717717760dimension 20
    1717817761uid 5213,0
    1717917762)
    17180 *645 (MRCItem
    17181 litem &556
     17763*671 (MRCItem
     17764litem &580
    1718217765pos 65
    1718317766dimension 20
    1718417767uid 5215,0
    1718517768)
    17186 *646 (MRCItem
    17187 litem &557
     17769*672 (MRCItem
     17770litem &581
    1718817771pos 24
    1718917772dimension 20
    1719017773uid 5227,0
    1719117774)
    17192 *647 (MRCItem
    17193 litem &558
     17775*673 (MRCItem
     17776litem &582
    1719417777pos 66
    1719517778dimension 20
    1719617779uid 5286,0
    1719717780)
    17198 *648 (MRCItem
    17199 litem &559
     17781*674 (MRCItem
     17782litem &583
    1720017783pos 67
    1720117784dimension 20
    1720217785uid 5503,0
    1720317786)
    17204 *649 (MRCItem
    17205 litem &560
     17787*675 (MRCItem
     17788litem &584
    1720617789pos 68
    1720717790dimension 20
    1720817791uid 5505,0
    1720917792)
    17210 *650 (MRCItem
    17211 litem &561
     17793*676 (MRCItem
     17794litem &585
    1721217795pos 69
    1721317796dimension 20
    1721417797uid 5601,0
    1721517798)
    17216 *651 (MRCItem
    17217 litem &562
     17799*677 (MRCItem
     17800litem &586
    1721817801pos 70
    1721917802dimension 20
    1722017803uid 5643,0
    1722117804)
    17222 *652 (MRCItem
    17223 litem &563
     17805*678 (MRCItem
     17806litem &587
    1722417807pos 71
    1722517808dimension 20
    1722617809uid 5645,0
    1722717810)
    17228 *653 (MRCItem
    17229 litem &564
     17811*679 (MRCItem
     17812litem &588
    1723017813pos 72
    1723117814dimension 20
    1723217815uid 5752,0
    1723317816)
    17234 *654 (MRCItem
    17235 litem &565
     17817*680 (MRCItem
     17818litem &589
    1723617819pos 25
    1723717820dimension 20
    1723817821uid 5868,0
    1723917822)
    17240 *655 (MRCItem
    17241 litem &566
     17823*681 (MRCItem
     17824litem &590
    1724217825pos 26
    1724317826dimension 20
    1724417827uid 5870,0
    1724517828)
    17246 *656 (MRCItem
    17247 litem &567
     17829*682 (MRCItem
     17830litem &591
    1724817831pos 27
    1724917832dimension 20
    1725017833uid 5872,0
    1725117834)
    17252 *657 (MRCItem
    17253 litem &568
     17835*683 (MRCItem
     17836litem &592
    1725417837pos 28
    1725517838dimension 20
    1725617839uid 5874,0
    1725717840)
    17258 *658 (MRCItem
    17259 litem &569
     17841*684 (MRCItem
     17842litem &593
    1726017843pos 73
    1726117844dimension 20
    1726217845uid 5967,0
    1726317846)
    17264 *659 (MRCItem
    17265 litem &570
     17847*685 (MRCItem
     17848litem &594
    1726617849pos 74
    1726717850dimension 20
    1726817851uid 5969,0
    1726917852)
    17270 *660 (MRCItem
    17271 litem &571
     17853*686 (MRCItem
     17854litem &595
    1727217855pos 75
    1727317856dimension 20
    1727417857uid 6023,0
    1727517858)
    17276 *661 (MRCItem
    17277 litem &572
     17859*687 (MRCItem
     17860litem &596
    1727817861pos 76
    1727917862dimension 20
    1728017863uid 6025,0
    1728117864)
    17282 *662 (MRCItem
    17283 litem &573
     17865*688 (MRCItem
     17866litem &597
    1728417867pos 77
    1728517868dimension 20
    1728617869uid 6027,0
    1728717870)
    17288 *663 (MRCItem
    17289 litem &574
     17871*689 (MRCItem
     17872litem &598
    1729017873pos 29
    1729117874dimension 20
    1729217875uid 6173,0
    1729317876)
    17294 *664 (MRCItem
    17295 litem &575
     17877*690 (MRCItem
     17878litem &599
    1729617879pos 30
    1729717880dimension 20
    1729817881uid 6375,0
    1729917882)
    17300 *665 (MRCItem
    17301 litem &576
     17883*691 (MRCItem
     17884litem &600
    1730217885pos 78
    1730317886dimension 20
    1730417887uid 6465,0
    1730517888)
    17306 *666 (MRCItem
    17307 litem &577
     17889*692 (MRCItem
     17890litem &601
    1730817891pos 79
    1730917892dimension 20
    1731017893uid 6555,0
    1731117894)
    17312 *667 (MRCItem
    17313 litem &578
     17895*693 (MRCItem
     17896litem &602
    1731417897pos 80
    1731517898dimension 20
    1731617899uid 8421,0
    1731717900)
    17318 *668 (MRCItem
    17319 litem &579
     17901*694 (MRCItem
     17902litem &603
    1732017903pos 81
    1732117904dimension 20
    1732217905uid 8610,0
    1732317906)
    17324 *669 (MRCItem
    17325 litem &580
     17907*695 (MRCItem
     17908litem &604
    1732617909pos 82
    1732717910dimension 20
    1732817911uid 8612,0
    1732917912)
    17330 *670 (MRCItem
    17331 litem &581
     17913*696 (MRCItem
     17914litem &605
    1733217915pos 83
    1733317916dimension 20
    1733417917uid 8614,0
     17918)
     17919*697 (MRCItem
     17920litem &606
     17921pos 84
     17922dimension 20
     17923uid 8759,0
     17924)
     17925*698 (MRCItem
     17926litem &607
     17927pos 85
     17928dimension 20
     17929uid 8761,0
    1733517930)
    1733617931]
     
    1734517940uid 73,0
    1734617941optionalChildren [
    17347 *671 (MRCItem
    17348 litem &489
     17942*699 (MRCItem
     17943litem &513
    1734917944pos 0
    1735017945dimension 20
    1735117946uid 74,0
    1735217947)
    17353 *672 (MRCItem
    17354 litem &491
     17948*700 (MRCItem
     17949litem &515
    1735517950pos 1
    1735617951dimension 50
    1735717952uid 75,0
    1735817953)
    17359 *673 (MRCItem
    17360 litem &492
     17954*701 (MRCItem
     17955litem &516
    1736117956pos 2
    1736217957dimension 100
    1736317958uid 76,0
    1736417959)
    17365 *674 (MRCItem
    17366 litem &493
     17960*702 (MRCItem
     17961litem &517
    1736717962pos 3
    1736817963dimension 50
    1736917964uid 77,0
    1737017965)
    17371 *675 (MRCItem
    17372 litem &494
     17966*703 (MRCItem
     17967litem &518
    1737317968pos 4
    1737417969dimension 100
    1737517970uid 78,0
    1737617971)
    17377 *676 (MRCItem
    17378 litem &495
     17972*704 (MRCItem
     17973litem &519
    1737917974pos 5
    1738017975dimension 100
    1738117976uid 79,0
    1738217977)
    17383 *677 (MRCItem
    17384 litem &496
     17978*705 (MRCItem
     17979litem &520
    1738517980pos 6
    1738617981dimension 50
    1738717982uid 80,0
    1738817983)
    17389 *678 (MRCItem
    17390 litem &497
     17984*706 (MRCItem
     17985litem &521
    1739117986pos 7
    1739217987dimension 80
     
    1740818003genericsCommonDM (CommonDM
    1740918004ldm (LogicalDM
    17410 emptyRow *679 (LEmptyRow
     18005emptyRow *707 (LEmptyRow
    1741118006)
    1741218007uid 83,0
    1741318008optionalChildren [
    17414 *680 (RefLabelRowHdr
    17415 )
    17416 *681 (TitleRowHdr
    17417 )
    17418 *682 (FilterRowHdr
    17419 )
    17420 *683 (RefLabelColHdr
     18009*708 (RefLabelRowHdr
     18010)
     18011*709 (TitleRowHdr
     18012)
     18013*710 (FilterRowHdr
     18014)
     18015*711 (RefLabelColHdr
    1742118016tm "RefLabelColHdrMgr"
    1742218017)
    17423 *684 (RowExpandColHdr
     18018*712 (RowExpandColHdr
    1742418019tm "RowExpandColHdrMgr"
    1742518020)
    17426 *685 (GroupColHdr
     18021*713 (GroupColHdr
    1742718022tm "GroupColHdrMgr"
    1742818023)
    17429 *686 (NameColHdr
     18024*714 (NameColHdr
    1743018025tm "GenericNameColHdrMgr"
    1743118026)
    17432 *687 (TypeColHdr
     18027*715 (TypeColHdr
    1743318028tm "GenericTypeColHdrMgr"
    1743418029)
    17435 *688 (InitColHdr
     18030*716 (InitColHdr
    1743618031tm "GenericValueColHdrMgr"
    1743718032)
    17438 *689 (PragmaColHdr
     18033*717 (PragmaColHdr
    1743918034tm "GenericPragmaColHdrMgr"
    1744018035)
    17441 *690 (EolColHdr
     18036*718 (EolColHdr
    1744218037tm "GenericEolColHdrMgr"
    1744318038)
    17444 *691 (LogGeneric
     18039*719 (LogGeneric
    1744518040generic (GiElement
    1744618041name "RAMADDRWIDTH64b"
     
    1745718052uid 95,0
    1745818053optionalChildren [
    17459 *692 (Sheet
     18054*720 (Sheet
    1746018055sheetRow (SheetRow
    1746118056headerVa (MVa
     
    1747418069font "Tahoma,10,0"
    1747518070)
    17476 emptyMRCItem *693 (MRCItem
    17477 litem &679
     18071emptyMRCItem *721 (MRCItem
     18072litem &707
    1747818073pos 1
    1747918074dimension 20
     
    1748118076uid 97,0
    1748218077optionalChildren [
    17483 *694 (MRCItem
    17484 litem &680
     18078*722 (MRCItem
     18079litem &708
    1748518080pos 0
    1748618081dimension 20
    1748718082uid 98,0
    1748818083)
    17489 *695 (MRCItem
    17490 litem &681
     18084*723 (MRCItem
     18085litem &709
    1749118086pos 1
    1749218087dimension 23
    1749318088uid 99,0
    1749418089)
    17495 *696 (MRCItem
    17496 litem &682
     18090*724 (MRCItem
     18091litem &710
    1749718092pos 2
    1749818093hidden 1
     
    1750018095uid 100,0
    1750118096)
    17502 *697 (MRCItem
    17503 litem &691
     18097*725 (MRCItem
     18098litem &719
    1750418099pos 0
    1750518100dimension 20
     
    1751718112uid 101,0
    1751818113optionalChildren [
    17519 *698 (MRCItem
    17520 litem &683
     18114*726 (MRCItem
     18115litem &711
    1752118116pos 0
    1752218117dimension 20
    1752318118uid 102,0
    1752418119)
    17525 *699 (MRCItem
    17526 litem &685
     18120*727 (MRCItem
     18121litem &713
    1752718122pos 1
    1752818123dimension 50
    1752918124uid 103,0
    1753018125)
    17531 *700 (MRCItem
    17532 litem &686
     18126*728 (MRCItem
     18127litem &714
    1753318128pos 2
    1753418129dimension 186
    1753518130uid 104,0
    1753618131)
    17537 *701 (MRCItem
    17538 litem &687
     18132*729 (MRCItem
     18133litem &715
    1753918134pos 3
    1754018135dimension 96
    1754118136uid 105,0
    1754218137)
    17543 *702 (MRCItem
    17544 litem &688
     18138*730 (MRCItem
     18139litem &716
    1754518140pos 4
    1754618141dimension 50
    1754718142uid 106,0
    1754818143)
    17549 *703 (MRCItem
    17550 litem &689
     18144*731 (MRCItem
     18145litem &717
    1755118146pos 5
    1755218147dimension 50
    1755318148uid 107,0
    1755418149)
    17555 *704 (MRCItem
    17556 litem &690
     18150*732 (MRCItem
     18151litem &718
    1755718152pos 6
    1755818153dimension 80
Note: See TracChangeset for help on using the changeset viewer.