Ignore:
Timestamp:
07/22/10 10:33:08 (14 years ago)
Author:
dneise
Message:
possible to switch off SPI SCLK now.
see new revision of simple_daq in tools/FAD/
File:
1 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak

    r252 r260  
    152152uid 8277,0
    153153)
     154(Instance
     155name "U_0"
     156duLibraryName "moduleware"
     157duName "mux"
     158elements [
     159]
     160mwi 1
     161uid 8562,0
     162)
    154163]
    155164libraryRefs [
     
    359368(vvPair
    360369variable "time"
    361 value "11:42:03"
     370value "14:21:30"
    362371)
    363372(vvPair
     
    450459font "Courier New,8,0"
    451460)
    452 xt "-85000,84200,-41500,85000"
    453 st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\""
     461xt "-85000,86600,-41500,87400"
     462st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
     463"
    454464)
    455465)
     
    469479)
    470480xt "-85000,47400,-45000,48200"
    471 st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     481st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     482"
    472483)
    473484)
     
    487498)
    488499xt "-85000,61800,-52500,62600"
    489 st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)"
     500st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)
     501"
    490502)
    491503)
     
    504516font "Courier New,8,0"
    505517)
    506 xt "-85000,69000,-45000,69800"
    507 st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
     518xt "-85000,71400,-45000,72200"
     519st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
     520"
    508521)
    509522)
     
    522535font "Courier New,8,0"
    523536)
    524 xt "-85000,69800,-52500,70600"
    525 st "SIGNAL ram_data               : std_logic_vector(15 downto 0)"
     537xt "-85000,72200,-52500,73000"
     538st "SIGNAL ram_data               : std_logic_vector(15 downto 0)
     539"
    526540)
    527541)
     
    541555)
    542556xt "-85000,39800,-45000,40600"
    543 st "wiz_reset              : std_logic                                    := '1'"
     557st "wiz_reset              : std_logic                                    := '1'
     558"
    544559)
    545560)
     
    559574)
    560575xt "-85000,37400,-56500,38200"
    561 st "wiz_addr               : std_logic_vector(9 DOWNTO 0)"
     576st "wiz_addr               : std_logic_vector(9 DOWNTO 0)
     577"
    562578)
    563579)
     
    577593)
    578594xt "-85000,42200,-56000,43000"
    579 st "wiz_data               : std_logic_vector(15 DOWNTO 0)"
     595st "wiz_data               : std_logic_vector(15 DOWNTO 0)
     596"
    580597)
    581598)
     
    595612)
    596613xt "-85000,38200,-45000,39000"
    597 st "wiz_cs                 : std_logic                                    := '1'"
     614st "wiz_cs                 : std_logic                                    := '1'
     615"
    598616)
    599617)
     
    613631)
    614632xt "-85000,40600,-45000,41400"
    615 st "wiz_wr                 : std_logic                                    := '1'"
     633st "wiz_wr                 : std_logic                                    := '1'
     634"
    616635)
    617636)
     
    631650)
    632651xt "-85000,39000,-45000,39800"
    633 st "wiz_rd                 : std_logic                                    := '1'"
     652st "wiz_rd                 : std_logic                                    := '1'
     653"
    634654)
    635655)
     
    648668)
    649669xt "-85000,26200,-66500,27000"
    650 st "wiz_int                : std_logic"
     670st "wiz_int                : std_logic
     671"
    651672)
    652673)
     
    24732494)
    24742495xt "-85000,23800,-56500,24600"
    2475 st "board_id               : std_logic_vector(3 downto 0)"
     2496st "board_id               : std_logic_vector(3 downto 0)
     2497"
    24762498)
    24772499)
     
    24922514)
    24932515xt "-85000,25400,-66500,26200"
    2494 st "trigger                : std_logic"
     2516st "trigger                : std_logic
     2517"
    24952518)
    24962519)
     
    37203743)
    37213744xt "-85000,24600,-56500,25400"
    3722 st "crate_id               : std_logic_vector(1 downto 0)"
     3745st "crate_id               : std_logic_vector(1 downto 0)
     3746"
    37233747)
    37243748)
     
    39403964font "Courier New,8,0"
    39413965)
    3942 xt "-85000,77000,-52500,77800"
    3943 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)"
     3966xt "-85000,79400,-52500,80200"
     3967st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
     3968"
    39443969)
    39453970)
     
    39603985font "Courier New,8,0"
    39613986)
    3962 xt "-85000,70600,-45000,71400"
    3963 st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     3987xt "-85000,73000,-45000,73800"
     3988st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     3989"
    39643990)
    39653991)
     
    47664792font "Courier New,8,0"
    47674793)
    4768 xt "-85000,78600,-62500,79400"
    4769 st "SIGNAL wiz_busy               : std_logic"
     4794xt "-85000,81000,-62500,81800"
     4795st "SIGNAL wiz_busy               : std_logic
     4796"
    47704797)
    47714798)
     
    47854812font "Courier New,8,0"
    47864813)
    4787 xt "-85000,81000,-41500,81800"
    4788 st "SIGNAL wiz_write_ea           : std_logic                                    := '0'"
     4814xt "-85000,83400,-41500,84200"
     4815st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
     4816"
    47894817)
    47904818)
     
    48054833font "Courier New,8,0"
    48064834)
    4807 xt "-85000,83400,-35500,84200"
    4808 st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')"
     4835xt "-85000,85800,-35500,86600"
     4836st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
     4837"
    48094838)
    48104839)
     
    48264855font "Courier New,8,0"
    48274856)
    4828 xt "-85000,80200,-35500,81000"
    4829 st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
     4857xt "-85000,82600,-35500,83400"
     4858st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
     4859"
    48304860)
    48314861)
     
    48464876font "Courier New,8,0"
    48474877)
    4848 xt "-85000,79400,-35500,80200"
    4849 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')"
     4878xt "-85000,81800,-35500,82600"
     4879st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
     4880"
    48504881)
    48514882)
     
    48654896font "Courier New,8,0"
    48664897)
    4867 xt "-85000,81800,-41500,82600"
    4868 st "SIGNAL wiz_write_end          : std_logic                                    := '0'"
     4898xt "-85000,84200,-41500,85000"
     4899st "SIGNAL wiz_write_end          : std_logic                                    := '0'
     4900"
    48694901)
    48704902)
     
    48844916font "Courier New,8,0"
    48854917)
    4886 xt "-85000,82600,-41500,83400"
    4887 st "SIGNAL wiz_write_header       : std_logic                                    := '0'"
     4918xt "-85000,85000,-41500,85800"
     4919st "SIGNAL wiz_write_header       : std_logic                                    := '0'
     4920"
    48884921)
    48894922)
     
    49014934font "Courier New,8,0"
    49024935)
    4903 xt "-85000,71400,-62500,72200"
    4904 st "SIGNAL ram_write_ea           : std_logic"
     4936xt "-85000,73800,-62500,74600"
     4937st "SIGNAL ram_write_ea           : std_logic
     4938"
    49054939)
    49064940)
     
    49194953font "Courier New,8,0"
    49204954)
    4921 xt "-85000,72200,-41500,73000"
    4922 st "SIGNAL ram_write_ready        : std_logic                                    := '0'"
     4955xt "-85000,74600,-41500,75400"
     4956st "SIGNAL ram_write_ready        : std_logic                                    := '0'
     4957"
    49234958)
    49244959)
     
    49384973)
    49394974xt "-85000,54600,-41500,55400"
    4940 st "SIGNAL config_start           : std_logic                                    := '0'"
     4975st "SIGNAL config_start           : std_logic                                    := '0'
     4976"
    49414977)
    49424978)
     
    49554991)
    49564992xt "-85000,52200,-62500,53000"
    4957 st "SIGNAL config_ready           : std_logic"
     4993st "SIGNAL config_ready           : std_logic
     4994"
    49584995)
    49594996)
     
    49715008font "Courier New,8,0"
    49725009)
    4973 xt "-85000,73800,-61000,74600"
    4974 st "SIGNAL roi_max                : roi_max_type"
     5010xt "-85000,76200,-61000,77000"
     5011st "SIGNAL roi_max                : roi_max_type
     5012"
    49755013)
    49765014)
     
    49895027font "Courier New,8,0"
    49905028)
    4991 xt "-85000,68200,-52500,69000"
    4992 st "SIGNAL package_length         : std_logic_vector(15 downto 0)"
     5029xt "-85000,70600,-52500,71400"
     5030st "SIGNAL package_length         : std_logic_vector(15 downto 0)
     5031"
    49935032)
    49945033)
     
    50085047)
    50095048xt "-85000,30200,-45000,31000"
    5010 st "adc_oeb                : std_logic                                    := '1'"
     5049st "adc_oeb                : std_logic                                    := '1'
     5050"
    50115051)
    50125052)
     
    51145154font "Courier New,8,0"
    51155155)
    5116 xt "-85000,73000,-60000,73800"
    5117 st "SIGNAL roi_array              : roi_array_type"
     5156xt "-85000,75400,-60000,76200"
     5157st "SIGNAL roi_array              : roi_array_type
     5158"
    51185159)
    51195160)
     
    55485589)
    55495590xt "-85000,27000,-66500,27800"
    5550 st "CLK_25_PS              : std_logic"
     5591st "CLK_25_PS              : std_logic
     5592"
    55515593)
    55525594)
     
    56105652)
    56115653xt "-85000,27800,-66500,28600"
    5612 st "CLK_50                 : std_logic"
     5654st "CLK_50                 : std_logic
     5655"
    56135656)
    56145657)
     
    58605903)
    58615904xt "-85000,45000,-62500,45800"
    5862 st "SIGNAL CLK_25                 : std_logic"
     5905st "SIGNAL CLK_25                 : std_logic
     5906"
    58635907)
    58645908)
     
    59225966)
    59235967xt "-85000,18200,-66500,19000"
    5924 st "CLK                    : std_logic"
     5968st "CLK                    : std_logic
     5969"
    59255970)
    59265971)
     
    59405985)
    59415986xt "-85000,23000,-56500,23800"
    5942 st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)"
     5987st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)
     5988"
    59435989)
    59445990)
     
    59576003)
    59586004xt "-85000,22200,-61000,23000"
    5959 st "adc_data_array         : adc_data_array_type"
     6005st "adc_data_array         : adc_data_array_type
     6006"
    59606007)
    59616008)
     
    60196066font "Courier New,8,0"
    60206067)
    6021 xt "-85000,62600,-41500,63400"
    6022 st "SIGNAL drs_clk_en             : std_logic                                    := '0'"
     6068xt "-85000,65000,-41500,65800"
     6069st "SIGNAL drs_clk_en             : std_logic                                    := '0'
     6070"
    60236071)
    60246072)
     
    60366084font "Courier New,8,0"
    60376085)
    6038 xt "-85000,65000,-56500,65800"
    6039 st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type"
     6086xt "-85000,67400,-56500,68200"
     6087st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type
     6088"
    60406089)
    60416090)
     
    60546103font "Courier New,8,0"
    60556104)
    6056 xt "-85000,63400,-41500,64200"
    6057 st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'"
     6105xt "-85000,65800,-41500,66600"
     6106st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'
     6107"
    60586108)
    60596109)
     
    60746124)
    60756125xt "-85000,32600,-39000,33400"
    6076 st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')"
     6126st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')
     6127"
    60776128)
    60786129)
     
    60926143)
    60936144xt "-85000,33400,-45000,34200"
    6094 st "drs_dwrite             : std_logic                                    := '1'"
     6145st "drs_dwrite             : std_logic                                    := '1'
     6146"
    60956147)
    60966148)
     
    61086160sl 0
    61096161ro 90
    6110 xt "-28000,56625,-26500,57375"
     6162xt "-39000,99625,-37500,100375"
    61116163)
    61126164(Line
     
    61146166sl 0
    61156167ro 90
    6116 xt "-26500,57000,-26000,57000"
     6168xt "-37500,100000,-37000,100000"
    61176169pts [
    6118 "-26000,57000"
    6119 "-26500,57000"
     6170"-37000,100000"
     6171"-37500,100000"
    61206172]
    61216173)
     
    61326184va (VaSet
    61336185)
    6134 xt "-34900,56500,-29000,57500"
     6186xt "-45900,99500,-40000,100500"
    61356187st "drs_channel_id"
    61366188ju 2
    6137 blo "-29000,57300"
     6189blo "-40000,100300"
    61386190tm "WireNameMgr"
    61396191)
     
    61996251)
    62006252xt "-85000,19000,-66500,19800"
    6201 st "SROUT_in_0             : std_logic"
     6253st "SROUT_in_0             : std_logic
     6254"
    62026255)
    62036256)
     
    62166269)
    62176270xt "-85000,19800,-66500,20600"
    6218 st "SROUT_in_1             : std_logic"
     6271st "SROUT_in_1             : std_logic
     6272"
    62196273)
    62206274)
     
    62336287)
    62346288xt "-85000,20600,-66500,21400"
    6235 st "SROUT_in_2             : std_logic"
     6289st "SROUT_in_2             : std_logic
     6290"
    62366291)
    62376292)
     
    62506305)
    62516306xt "-85000,21400,-66500,22200"
    6252 st "SROUT_in_3             : std_logic"
     6307st "SROUT_in_3             : std_logic
     6308"
    62536309)
    62546310)
     
    64466502font "Courier New,8,0"
    64476503)
    6448 xt "-85000,64200,-62500,65000"
    6449 st "SIGNAL drs_read_s_cell_ready  : std_logic"
     6504xt "-85000,66600,-62500,67400"
     6505st "SIGNAL drs_read_s_cell_ready  : std_logic
     6506"
    64506507)
    64516508)
     
    69266983)
    69276984xt "-85000,28600,-45000,29400"
    6928 st "RSRLOAD                : std_logic                                    := '0'"
     6985st "RSRLOAD                : std_logic                                    := '0'
     6986"
    69296987)
    69306988)
     
    69897047)
    69907048xt "-85000,29400,-45000,30200"
    6991 st "SRCLK                  : std_logic                                    := '0'"
     7049st "SRCLK                  : std_logic                                    := '0'
     7050"
    69927051)
    69937052)
     
    72147273t "std_logic_vector"
    72157274b "(15 DOWNTO 0)"
    7216 o 12
     7275o 14
    72177276suid 5,0
    72187277)
     
    72497308n "roi_array"
    72507309t "roi_array_type"
    7251 o 11
     7310o 13
    72527311suid 6,0
    72537312)
     
    74647523)
    74657524)
     7525*227 (CptPort
     7526uid 8500,0
     7527ps "OnEdgeStrategy"
     7528shape (Triangle
     7529uid 8501,0
     7530ro 90
     7531va (VaSet
     7532vasetType 1
     7533fg "0,65535,0"
     7534)
     7535xt "92000,109625,92750,110375"
     7536)
     7537tg (CPTG
     7538uid 8502,0
     7539ps "CptPortTextPlaceStrategy"
     7540stg "RightVerticalLayoutStrategy"
     7541f (Text
     7542uid 8503,0
     7543va (VaSet
     7544)
     7545xt "83800,109500,91000,110500"
     7546st "drs_address : (3:0)"
     7547ju 2
     7548blo "91000,110300"
     7549)
     7550)
     7551thePort (LogicalPort
     7552m 1
     7553decl (Decl
     7554n "drs_address"
     7555t "std_logic_vector"
     7556b "(3 DOWNTO 0)"
     7557o 11
     7558suid 13,0
     7559)
     7560)
     7561)
     7562*228 (CptPort
     7563uid 8504,0
     7564ps "OnEdgeStrategy"
     7565shape (Triangle
     7566uid 8505,0
     7567ro 90
     7568va (VaSet
     7569vasetType 1
     7570fg "0,65535,0"
     7571)
     7572xt "92000,110625,92750,111375"
     7573)
     7574tg (CPTG
     7575uid 8506,0
     7576ps "CptPortTextPlaceStrategy"
     7577stg "RightVerticalLayoutStrategy"
     7578f (Text
     7579uid 8507,0
     7580va (VaSet
     7581)
     7582xt "83800,110500,91000,111500"
     7583st "drs_address_mode"
     7584ju 2
     7585blo "91000,111300"
     7586)
     7587)
     7588thePort (LogicalPort
     7589m 1
     7590decl (Decl
     7591n "drs_address_mode"
     7592t "std_logic"
     7593o 12
     7594suid 14,0
     7595)
     7596)
     7597)
    74667598]
    74677599shape (Rectangle
     
    74817613stg "VerticalLayoutStrategy"
    74827614textVec [
    7483 *227 (Text
     7615*229 (Text
    74847616uid 5075,0
    74857617va (VaSet
     
    74917623tm "BdLibraryNameMgr"
    74927624)
    7493 *228 (Text
     7625*230 (Text
    74947626uid 5076,0
    74957627va (VaSet
     
    75017633tm "CptNameMgr"
    75027634)
    7503 *229 (Text
     7635*231 (Text
    75047636uid 5077,0
    75057637va (VaSet
     
    75477679archFileType "UNKNOWN"
    75487680)
    7549 *230 (Net
     7681*232 (Net
    75507682uid 5088,0
    75517683decl (Decl
     
    75627694)
    75637695xt "-85000,48200,-53000,49000"
    7564 st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)"
    7565 )
    7566 )
    7567 *231 (Net
     7696st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)
     7697"
     7698)
     7699)
     7700*233 (Net
    75687701uid 5096,0
    75697702decl (Decl
     
    75797712)
    75807713xt "-85000,50600,-62500,51400"
    7581 st "SIGNAL config_data_valid      : std_logic"
    7582 )
    7583 )
    7584 *232 (Net
     7714st "SIGNAL config_data_valid      : std_logic
     7715"
     7716)
     7717)
     7718*234 (Net
    75857719uid 5104,0
    75867720decl (Decl
     
    75967730)
    75977731xt "-85000,49000,-62500,49800"
    7598 st "SIGNAL config_busy            : std_logic"
    7599 )
    7600 )
    7601 *233 (Net
     7732st "SIGNAL config_busy            : std_logic
     7733"
     7734)
     7735)
     7736*235 (Net
    76027737uid 5112,0
    76037738decl (Decl
     
    76147749)
    76157750xt "-85000,49800,-52500,50600"
    7616 st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)"
    7617 )
    7618 )
    7619 *234 (Net
     7751st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)
     7752"
     7753)
     7754)
     7755*236 (Net
    76207756uid 5120,0
    76217757decl (Decl
     
    76317767)
    76327768xt "-85000,60200,-62500,61000"
    7633 st "SIGNAL config_wr_en           : std_logic"
    7634 )
    7635 )
    7636 *235 (Net
     7769st "SIGNAL config_wr_en           : std_logic
     7770"
     7771)
     7772)
     7773*237 (Net
    76377774uid 5128,0
    76387775decl (Decl
     
    76487785)
    76497786xt "-85000,51400,-62500,52200"
    7650 st "SIGNAL config_rd_en           : std_logic"
    7651 )
    7652 )
    7653 *236 (Net
     7787st "SIGNAL config_rd_en           : std_logic
     7788"
     7789)
     7790)
     7791*238 (Net
    76547792uid 5144,0
    76557793decl (Decl
     
    76657803)
    76667804xt "-85000,61000,-60000,61800"
    7667 st "SIGNAL dac_array              : dac_array_type"
    7668 )
    7669 )
    7670 *237 (Net
     7805st "SIGNAL dac_array              : dac_array_type
     7806"
     7807)
     7808)
     7809*239 (Net
    76717810uid 5194,0
    76727811decl (Decl
     
    76827821)
    76837822xt "-85000,55400,-62500,56200"
    7684 st "SIGNAL config_start_cm        : std_logic"
    7685 )
    7686 )
    7687 *238 (Net
     7823st "SIGNAL config_start_cm        : std_logic
     7824"
     7825)
     7826)
     7827*240 (Net
    76887828uid 5196,0
    76897829decl (Decl
     
    76997839)
    77007840xt "-85000,53000,-62500,53800"
    7701 st "SIGNAL config_ready_cm        : std_logic"
    7702 )
    7703 )
    7704 *239 (Net
     7841st "SIGNAL config_ready_cm        : std_logic
     7842"
     7843)
     7844)
     7845*241 (Net
    77057846uid 5220,0
    77067847decl (Decl
     
    77197860)
    77207861xt "-85000,34200,-39000,35000"
    7721 st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    7722 )
    7723 )
    7724 *240 (Net
     7862st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
     7863"
     7864)
     7865)
     7866*242 (Net
    77257867uid 5279,0
    77267868decl (Decl
     
    77367878font "Courier New,8,0"
    77377879)
    7738 xt "-85000,74600,-41500,75400"
    7739 st "SIGNAL s_trigger              : std_logic                                    := '0'"
    7740 )
    7741 )
    7742 *241 (Net
     7880xt "-85000,77000,-41500,77800"
     7881st "SIGNAL s_trigger              : std_logic                                    := '0'
     7882"
     7883)
     7884)
     7885*243 (Net
    77437886uid 5472,0
    77447887decl (Decl
     
    77537896font "Courier New,8,0"
    77547897)
    7755 xt "-85000,76200,-62500,77000"
    7756 st "SIGNAL sensor_ready           : std_logic"
    7757 )
    7758 )
    7759 *242 (Net
     7898xt "-85000,78600,-62500,79400"
     7899st "SIGNAL sensor_ready           : std_logic
     7900"
     7901)
     7902)
     7903*244 (Net
    77607904uid 5478,0
    77617905decl (Decl
     
    77707914font "Courier New,8,0"
    77717915)
    7772 xt "-85000,75400,-58500,76200"
    7773 st "SIGNAL sensor_array           : sensor_array_type"
    7774 )
    7775 )
    7776 *243 (Net
     7916xt "-85000,77800,-58500,78600"
     7917st "SIGNAL sensor_array           : sensor_array_type
     7918"
     7919)
     7920)
     7921*245 (Net
    77777922uid 5588,0
    77787923decl (Decl
     
    77887933)
    77897934xt "-85000,53800,-62500,54600"
    7790 st "SIGNAL config_ready_spi       : std_logic"
    7791 )
    7792 )
    7793 *244 (Net
     7935st "SIGNAL config_ready_spi       : std_logic
     7936"
     7937)
     7938)
     7939*246 (Net
    77947940uid 5632,0
    77957941lang 10
     
    78077953)
    78087954xt "-85000,46600,-53000,47400"
    7809 st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)"
    7810 )
    7811 )
    7812 *245 (Net
     7955st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)
     7956"
     7957)
     7958)
     7959*247 (Net
    78137960uid 5640,0
    78147961decl (Decl
     
    78247971)
    78257972xt "-85000,45800,-57500,46600"
    7826 st "SIGNAL adc_data_array_int     : adc_data_array_type"
    7827 )
    7828 )
    7829 *246 (SaComponent
     7973st "SIGNAL adc_data_array_int     : adc_data_array_type
     7974"
     7975)
     7976)
     7977*248 (SaComponent
    78307978uid 5678,0
    78317979optionalChildren [
    7832 *247 (CptPort
     7980*249 (CptPort
    78337981uid 5658,0
    78347982ps "OnEdgeStrategy"
     
    78658013)
    78668014)
    7867 *248 (CptPort
     8015*250 (CptPort
    78688016uid 5662,0
    78698017ps "OnEdgeStrategy"
     
    79028050)
    79038051)
    7904 *249 (CptPort
     8052*251 (CptPort
    79058053uid 5666,0
    79068054ps "OnEdgeStrategy"
     
    79418089)
    79428090)
    7943 *250 (CptPort
     8091*252 (CptPort
    79448092uid 5670,0
    79458093ps "OnEdgeStrategy"
     
    79778125)
    79788126)
    7979 *251 (CptPort
     8127*253 (CptPort
    79808128uid 5674,0
    79818129ps "OnEdgeStrategy"
     
    80308178stg "VerticalLayoutStrategy"
    80318179textVec [
    8032 *252 (Text
     8180*254 (Text
    80338181uid 5681,0
    80348182va (VaSet
     
    80408188tm "BdLibraryNameMgr"
    80418189)
    8042 *253 (Text
     8190*255 (Text
    80438191uid 5682,0
    80448192va (VaSet
     
    80508198tm "CptNameMgr"
    80518199)
    8052 *254 (Text
     8200*256 (Text
    80538201uid 5683,0
    80548202va (VaSet
     
    80998247archFileType "UNKNOWN"
    81008248)
    8101 *255 (Net
     8249*257 (Net
    81028250uid 5743,0
    81038251decl (Decl
     
    81148262)
    81158263xt "-85000,56200,-41500,57000"
    8116 st "SIGNAL config_start_spi       : std_logic                                    := '0'"
    8117 )
    8118 )
    8119 *256 (SaComponent
     8264st "SIGNAL config_start_spi       : std_logic                                    := '0'
     8265"
     8266)
     8267)
     8268*258 (SaComponent
    81208269uid 5793,0
    81218270optionalChildren [
    8122 *257 (CptPort
     8271*259 (CptPort
    81238272uid 5753,0
    81248273ps "OnEdgeStrategy"
     
    81558304)
    81568305)
    8157 *258 (CptPort
     8306*260 (CptPort
    81588307uid 5761,0
    81598308ps "OnEdgeStrategy"
     
    81908339)
    81918340)
    8192 *259 (CptPort
     8341*261 (CptPort
    81938342uid 5765,0
    81948343ps "OnEdgeStrategy"
     
    82268375)
    82278376)
    8228 *260 (CptPort
     8377*262 (CptPort
    82298378uid 5769,0
    82308379ps "OnEdgeStrategy"
     
    82618410)
    82628411)
    8263 *261 (CptPort
     8412*263 (CptPort
    82648413uid 5773,0
    82658414ps "OnEdgeStrategy"
     
    82978446)
    82988447)
    8299 *262 (CptPort
     8448*264 (CptPort
    83008449uid 5777,0
    83018450ps "OnEdgeStrategy"
     
    83338482)
    83348483)
    8335 *263 (CptPort
     8484*265 (CptPort
    83368485uid 5781,0
    83378486ps "OnEdgeStrategy"
     
    83688517)
    83698518)
    8370 *264 (CptPort
     8519*266 (CptPort
    83718520uid 5785,0
    83728521ps "OnEdgeStrategy"
     
    84048553)
    84058554)
    8406 *265 (CptPort
     8555*267 (CptPort
    84078556uid 5789,0
    84088557ps "OnEdgeStrategy"
     
    84408589)
    84418590)
    8442 *266 (CptPort
     8591*268 (CptPort
    84438592uid 5986,0
    84448593ps "OnEdgeStrategy"
     
    84778626)
    84788627)
    8479 *267 (CptPort
     8628*269 (CptPort
    84808629uid 6154,0
    84818630ps "OnEdgeStrategy"
     
    85138662)
    85148663)
    8515 *268 (CptPort
     8664*270 (CptPort
    85168665uid 6317,0
    85178666ps "OnEdgeStrategy"
     
    85678716stg "VerticalLayoutStrategy"
    85688717textVec [
    8569 *269 (Text
     8718*271 (Text
    85708719uid 5796,0
    85718720va (VaSet
     
    85778726tm "BdLibraryNameMgr"
    85788727)
    8579 *270 (Text
     8728*272 (Text
    85808729uid 5797,0
    85818730va (VaSet
     
    85878736tm "CptNameMgr"
    85888737)
    8589 *271 (Text
     8738*273 (Text
    85908739uid 5798,0
    85918740va (VaSet
     
    86338782archFileType "UNKNOWN"
    86348783)
    8635 *272 (Net
     8784*274 (Net
    86368785uid 5811,0
    86378786decl (Decl
     
    86478796)
    86488797xt "-85000,35800,-66500,36600"
    8649 st "sclk                   : std_logic"
    8650 )
    8651 )
    8652 *273 (Net
     8798st "sclk                   : std_logic
     8799"
     8800)
     8801)
     8802*275 (Net
    86538803uid 5819,0
    86548804decl (Decl
     
    86668816)
    86678817xt "-85000,41400,-66500,42200"
    8668 st "sio                    : std_logic"
    8669 )
    8670 )
    8671 *274 (Net
     8818st "sio                    : std_logic
     8819"
     8820)
     8821)
     8822*276 (Net
    86728823uid 5827,0
    86738824decl (Decl
     
    86838834)
    86848835xt "-85000,31000,-66500,31800"
    8685 st "dac_cs                 : std_logic"
    8686 )
    8687 )
    8688 *275 (Net
     8836st "dac_cs                 : std_logic
     8837"
     8838)
     8839)
     8840*277 (Net
    86898841uid 5835,0
    86908842decl (Decl
     
    87018853)
    87028854xt "-85000,36600,-56500,37400"
    8703 st "sensor_cs              : std_logic_vector(3 DOWNTO 0)"
    8704 )
    8705 )
    8706 *276 (PortIoOut
     8855st "sensor_cs              : std_logic_vector(3 DOWNTO 0)
     8856"
     8857)
     8858)
     8859*278 (PortIoOut
    87078860uid 5843,0
    87088861shape (CompositeShape
     
    87498902)
    87508903)
    8751 *277 (PortIoInOut
     8904*279 (PortIoInOut
    87528905uid 5849,0
    87538906shape (CompositeShape
     
    87948947)
    87958948)
    8796 *278 (PortIoOut
     8949*280 (PortIoOut
    87978950uid 5855,0
    87988951shape (CompositeShape
     
    88398992)
    88408993)
    8841 *279 (PortIoOut
     8994*281 (PortIoOut
    88428995uid 5861,0
    88438996shape (CompositeShape
     
    88849037)
    88859038)
    8886 *280 (Net
     9039*282 (Net
    88879040uid 5948,0
    88889041decl (Decl
     
    88989051font "Courier New,8,0"
    88999052)
    8900 xt "-85000,67400,-41500,68200"
    8901 st "SIGNAL new_config             : std_logic                                    := '0'"
    8902 )
    8903 )
    8904 *281 (Net
     9053xt "-85000,69800,-41500,70600"
     9054st "SIGNAL new_config             : std_logic                                    := '0'
     9055"
     9056)
     9057)
     9058*283 (Net
    89059059uid 5960,0
    89069060decl (Decl
     
    89169070)
    89179071xt "-85000,57000,-62500,57800"
    8918 st "SIGNAL config_started         : std_logic"
    8919 )
    8920 )
    8921 *282 (Net
     9072st "SIGNAL config_started         : std_logic
     9073"
     9074)
     9075)
     9076*284 (Net
    89229077uid 6012,0
    89239078decl (Decl
     
    89349089)
    89359090xt "-85000,59400,-41500,60200"
    8936 st "SIGNAL config_started_spi     : std_logic                                    := '0'"
    8937 )
    8938 )
    8939 *283 (Net
     9091st "SIGNAL config_started_spi     : std_logic                                    := '0'
     9092"
     9093)
     9094)
     9095*285 (Net
    89409096uid 6014,0
    89419097decl (Decl
     
    89529108)
    89539109xt "-85000,57800,-41500,58600"
    8954 st "SIGNAL config_started_cu      : std_logic                                    := '0'"
    8955 )
    8956 )
    8957 *284 (Net
     9110st "SIGNAL config_started_cu      : std_logic                                    := '0'
     9111"
     9112)
     9113)
     9114*286 (Net
    89589115uid 6016,0
    89599116decl (Decl
     
    89699126)
    89709127xt "-85000,58600,-62500,59400"
    8971 st "SIGNAL config_started_mm      : std_logic"
    8972 )
    8973 )
    8974 *285 (Net
     9128st "SIGNAL config_started_mm      : std_logic
     9129"
     9130)
     9131)
     9132*287 (Net
    89759133uid 6158,0
    89769134decl (Decl
     
    89879145)
    89889146xt "-85000,35000,-45000,35800"
    8989 st "mosi                   : std_logic                                    := '0'"
    8990 )
    8991 )
    8992 *286 (PortIoOut
     9147st "mosi                   : std_logic                                    := '0'
     9148"
     9149)
     9150)
     9151*288 (PortIoOut
    89939152uid 6166,0
    89949153shape (CompositeShape
     
    90359194)
    90369195)
    9037 *287 (Net
     9196*289 (Net
    90389197uid 6360,0
    90399198decl (Decl
     
    90529211)
    90539212xt "-85000,31800,-31500,32600"
    9054 st "denable                : std_logic                                    := '0' -- default domino wave off"
    9055 )
    9056 )
    9057 *288 (PortIoOut
     9213st "denable                : std_logic                                    := '0' -- default domino wave off
     9214"
     9215)
     9216)
     9217*290 (PortIoOut
    90589218uid 6368,0
    90599219shape (CompositeShape
     
    90999259)
    91009260)
    9101 *289 (Net
     9261*291 (Net
    91029262uid 6450,0
    91039263decl (Decl
     
    91139273font "Courier New,8,0"
    91149274)
    9115 xt "-85000,66600,-41500,67400"
    9116 st "SIGNAL dwrite_enable          : std_logic                                    := '1'"
    9117 )
    9118 )
    9119 *290 (MWC
     9275xt "-85000,69000,-41500,69800"
     9276st "SIGNAL dwrite_enable          : std_logic                                    := '1'
     9277"
     9278)
     9279)
     9280*292 (MWC
    91209281uid 6529,0
    91219282optionalChildren [
    9122 *291 (CptPort
     9283*293 (CptPort
    91239284uid 6501,0
    91249285optionalChildren [
    9125 *292 (Line
     9286*294 (Line
    91269287uid 6505,0
    91279288layer 5
     
    91369297]
    91379298)
    9138 *293 (Property
     9299*295 (Property
    91399300uid 6506,0
    91409301pclass "_MW_GEOM_"
     
    91819342)
    91829343)
    9183 *294 (CptPort
     9344*296 (CptPort
    91849345uid 6507,0
    91859346optionalChildren [
    9186 *295 (Line
     9347*297 (Line
    91879348uid 6511,0
    91889349layer 5
     
    92369397)
    92379398)
    9238 *296 (CptPort
     9399*298 (CptPort
    92399400uid 6512,0
    92409401optionalChildren [
    9241 *297 (Line
     9402*299 (Line
    92429403uid 6516,0
    92439404layer 5
     
    92919452)
    92929453)
    9293 *298 (CommentGraphic
     9454*300 (CommentGraphic
    92949455uid 6517,0
    92959456optionalChildren [
    9296 *299 (Property
     9457*301 (Property
    92979458uid 6519,0
    92989459pclass "_MW_GEOM_"
     
    93189479oxt "11000,10000,11000,10000"
    93199480)
    9320 *300 (CommentGraphic
     9481*302 (CommentGraphic
    93219482uid 6520,0
    93229483optionalChildren [
    9323 *301 (Property
     9484*303 (Property
    93249485uid 6522,0
    93259486pclass "_MW_GEOM_"
     
    93459506oxt "11000,6000,11000,6000"
    93469507)
    9347 *302 (Grouping
     9508*304 (Grouping
    93489509uid 6523,0
    93499510optionalChildren [
    9350 *303 (CommentGraphic
     9511*305 (CommentGraphic
    93519512uid 6525,0
    93529513shape (PolyLine2D
     
    93699530oxt "9000,6000,11000,10000"
    93709531)
    9371 *304 (CommentGraphic
     9532*306 (CommentGraphic
    93729533uid 6527,0
    93739534shape (Arc2D
     
    94229583stg "VerticalLayoutStrategy"
    94239584textVec [
    9424 *305 (Text
     9585*307 (Text
    94259586uid 6532,0
    94269587va (VaSet
     
    94329593blo "3500,59300"
    94339594)
    9434 *306 (Text
     9595*308 (Text
    94359596uid 6533,0
    94369597va (VaSet
     
    94419602blo "3500,60300"
    94429603)
    9443 *307 (Text
     9604*309 (Text
    94449605uid 6534,0
    94459606va (VaSet
     
    94869647)
    94879648)
    9488 *308 (Net
     9649*310 (Net
    94899650uid 6544,0
    94909651decl (Decl
     
    95009661font "Courier New,8,0"
    95019662)
    9502 xt "-85000,65800,-41500,66600"
    9503 st "SIGNAL dwrite                 : std_logic                                    := '1'"
    9504 )
    9505 )
    9506 *309 (SaComponent
     9663xt "-85000,68200,-41500,69000"
     9664st "SIGNAL dwrite                 : std_logic                                    := '1'
     9665"
     9666)
     9667)
     9668*311 (SaComponent
    95079669uid 8277,0
    95089670optionalChildren [
    9509 *310 (CptPort
     9671*312 (CptPort
    95109672uid 8246,0
    95119673ps "OnEdgeStrategy"
     
    95449706)
    95459707)
    9546 *311 (CptPort
     9708*313 (CptPort
    95479709uid 8250,0
    95489710ps "OnEdgeStrategy"
     
    95829744)
    95839745)
    9584 *312 (CptPort
     9746*314 (CptPort
    95859747uid 8254,0
    95869748ps "OnEdgeStrategy"
     
    96209782)
    96219783)
    9622 *313 (CptPort
     9784*315 (CptPort
    96239785uid 8258,0
    96249786ps "OnEdgeStrategy"
     
    96589820)
    96599821)
    9660 *314 (CptPort
     9822*316 (CptPort
    96619823uid 8262,0
    96629824ps "OnEdgeStrategy"
     
    96969858)
    96979859)
    9698 *315 (CptPort
     9860*317 (CptPort
    96999861uid 8266,0
    97009862ps "OnEdgeStrategy"
     
    97359897)
    97369898)
    9737 *316 (CptPort
     9899*318 (CptPort
    97389900uid 8270,0
    97399901ps "OnEdgeStrategy"
     
    97929954stg "VerticalLayoutStrategy"
    97939955textVec [
    9794 *317 (Text
     9956*319 (Text
    97959957uid 8280,0
    97969958va (VaSet
     
    98029964tm "BdLibraryNameMgr"
    98039965)
    9804 *318 (Text
     9966*320 (Text
    98059967uid 8281,0
    98069968va (VaSet
     
    98129974tm "CptNameMgr"
    98139975)
    9814 *319 (Text
     9976*321 (Text
    98159977uid 8282,0
    98169978va (VaSet
     
    986010022archFileType "UNKNOWN"
    986110023)
    9862 *320 (Net
     10024*322 (Net
    986310025uid 8414,0
    986410026lang 2
     
    987410036font "Courier New,8,0"
    987510037)
    9876 xt "-85000,77800,-62500,78600"
    9877 st "SIGNAL wiz_ack                : std_logic"
    9878 )
    9879 )
    9880 *321 (Wire
     10038xt "-85000,80200,-62500,81000"
     10039st "SIGNAL wiz_ack                : std_logic
     10040"
     10041)
     10042)
     10043*323 (Net
     10044uid 8508,0
     10045decl (Decl
     10046n "drs_address"
     10047t "std_logic_vector"
     10048b "(3 DOWNTO 0)"
     10049o 82
     10050suid 184,0
     10051i "(others => '0')"
     10052)
     10053declText (MLText
     10054uid 8509,0
     10055va (VaSet
     10056font "Courier New,8,0"
     10057)
     10058xt "-85000,62600,-35500,63400"
     10059st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
     10060"
     10061)
     10062)
     10063*324 (Net
     10064uid 8516,0
     10065decl (Decl
     10066n "drs_address_mode"
     10067t "std_logic"
     10068o 83
     10069suid 185,0
     10070)
     10071declText (MLText
     10072uid 8517,0
     10073va (VaSet
     10074font "Courier New,8,0"
     10075)
     10076xt "-85000,63400,-62500,64200"
     10077st "SIGNAL drs_address_mode       : std_logic
     10078"
     10079)
     10080)
     10081*325 (MWC
     10082uid 8562,0
     10083optionalChildren [
     10084*326 (CptPort
     10085uid 8524,0
     10086optionalChildren [
     10087*327 (Line
     10088uid 8528,0
     10089layer 5
     10090sl 0
     10091va (VaSet
     10092vasetType 3
     10093lineWidth 2
     10094)
     10095xt "-29999,101000,-29000,101000"
     10096pts [
     10097"-29000,101000"
     10098"-29999,101000"
     10099]
     10100)
     10101]
     10102ps "OnEdgeStrategy"
     10103shape (Triangle
     10104uid 8525,0
     10105ro 270
     10106va (VaSet
     10107vasetType 1
     10108isHidden 1
     10109fg "0,65535,65535"
     10110)
     10111xt "-29000,100625,-28250,101375"
     10112)
     10113tg (CPTG
     10114uid 8526,0
     10115ps "CptPortTextPlaceStrategy"
     10116stg "RightVerticalLayoutStrategy"
     10117f (Text
     10118uid 8527,0
     10119sl 0
     10120va (VaSet
     10121isHidden 1
     10122font "arial,8,0"
     10123)
     10124xt "-98971,288551,-97171,289551"
     10125st "din0"
     10126ju 2
     10127blo "-97171,289351"
     10128)
     10129s (Text
     10130uid 8571,0
     10131sl 0
     10132va (VaSet
     10133font "arial,8,0"
     10134)
     10135xt "-97171,289551,-97171,289551"
     10136ju 2
     10137blo "-97171,289551"
     10138)
     10139)
     10140thePort (LogicalPort
     10141decl (Decl
     10142n "din0"
     10143t "std_logic_vector"
     10144b "(3 DOWNTO 0)"
     10145o 84
     10146suid 1,0
     10147i "(others => '0')"
     10148)
     10149)
     10150)
     10151*328 (CptPort
     10152uid 8529,0
     10153optionalChildren [
     10154*329 (Line
     10155uid 8533,0
     10156layer 5
     10157sl 0
     10158va (VaSet
     10159vasetType 3
     10160lineWidth 2
     10161)
     10162xt "-33000,100000,-31999,100000"
     10163pts [
     10164"-33000,100000"
     10165"-31999,100000"
     10166]
     10167)
     10168*330 (Property
     10169uid 8534,0
     10170pclass "_MW_GEOM_"
     10171pname "fixed"
     10172ptn "String"
     10173)
     10174]
     10175ps "OnEdgeStrategy"
     10176shape (Triangle
     10177uid 8530,0
     10178ro 270
     10179va (VaSet
     10180vasetType 1
     10181isHidden 1
     10182fg "0,65535,65535"
     10183)
     10184xt "-33750,99625,-33000,100375"
     10185)
     10186tg (CPTG
     10187uid 8531,0
     10188ps "CptPortTextPlaceStrategy"
     10189stg "VerticalLayoutStrategy"
     10190f (Text
     10191uid 8532,0
     10192sl 0
     10193va (VaSet
     10194isHidden 1
     10195font "arial,8,0"
     10196)
     10197xt "-100999,287527,-99199,288527"
     10198st "dout"
     10199blo "-100999,288327"
     10200)
     10201s (Text
     10202uid 8572,0
     10203sl 0
     10204va (VaSet
     10205font "arial,8,0"
     10206)
     10207xt "-100999,288527,-100999,288527"
     10208blo "-100999,288527"
     10209)
     10210)
     10211thePort (LogicalPort
     10212m 1
     10213decl (Decl
     10214n "dout"
     10215t "std_logic_vector"
     10216b "(3 DOWNTO 0)"
     10217o 19
     10218suid 2,0
     10219i "(others => '0')"
     10220)
     10221)
     10222)
     10223*331 (CptPort
     10224uid 8535,0
     10225optionalChildren [
     10226*332 (Line
     10227uid 8539,0
     10228layer 5
     10229sl 0
     10230va (VaSet
     10231vasetType 3
     10232lineWidth 2
     10233)
     10234xt "-29999,99000,-29000,99000"
     10235pts [
     10236"-29000,99000"
     10237"-29999,99000"
     10238]
     10239)
     10240]
     10241ps "OnEdgeStrategy"
     10242shape (Triangle
     10243uid 8536,0
     10244ro 270
     10245va (VaSet
     10246vasetType 1
     10247isHidden 1
     10248fg "0,65535,65535"
     10249)
     10250xt "-29000,98625,-28250,99375"
     10251)
     10252tg (CPTG
     10253uid 8537,0
     10254ps "CptPortTextPlaceStrategy"
     10255stg "RightVerticalLayoutStrategy"
     10256f (Text
     10257uid 8538,0
     10258sl 0
     10259va (VaSet
     10260isHidden 1
     10261font "arial,8,0"
     10262)
     10263xt "-98971,286503,-97171,287503"
     10264st "din1"
     10265ju 2
     10266blo "-97171,287303"
     10267)
     10268s (Text
     10269uid 8573,0
     10270sl 0
     10271va (VaSet
     10272font "arial,8,0"
     10273)
     10274xt "-97171,287503,-97171,287503"
     10275ju 2
     10276blo "-97171,287503"
     10277)
     10278)
     10279thePort (LogicalPort
     10280decl (Decl
     10281n "din1"
     10282t "std_logic_vector"
     10283b "(3 DOWNTO 0)"
     10284o 82
     10285suid 3,0
     10286i "(others => '0')"
     10287)
     10288)
     10289)
     10290*333 (CptPort
     10291uid 8540,0
     10292optionalChildren [
     10293*334 (Line
     10294uid 8544,0
     10295layer 5
     10296sl 0
     10297va (VaSet
     10298vasetType 3
     10299)
     10300xt "-31000,101333,-31000,103000"
     10301pts [
     10302"-31000,103000"
     10303"-31000,101333"
     10304]
     10305)
     10306]
     10307ps "OnEdgeStrategy"
     10308shape (Triangle
     10309uid 8541,0
     10310va (VaSet
     10311vasetType 1
     10312isHidden 1
     10313fg "0,65535,65535"
     10314)
     10315xt "-31375,103000,-30625,103750"
     10316)
     10317tg (CPTG
     10318uid 8542,0
     10319ps "CptPortTextPlaceStrategy"
     10320stg "VerticalLayoutStrategy"
     10321f (Text
     10322uid 8543,0
     10323sl 0
     10324ro 270
     10325va (VaSet
     10326isHidden 1
     10327font "arial,8,0"
     10328)
     10329xt "-99473,289183,-98473,290583"
     10330st "sel"
     10331blo "-98673,290583"
     10332)
     10333s (Text
     10334uid 8574,0
     10335sl 0
     10336ro 270
     10337va (VaSet
     10338font "arial,8,0"
     10339)
     10340xt "-98473,290583,-98473,290583"
     10341blo "-98473,290583"
     10342)
     10343)
     10344thePort (LogicalPort
     10345decl (Decl
     10346n "sel"
     10347t "std_logic"
     10348o 83
     10349suid 4,0
     10350)
     10351)
     10352)
     10353*335 (CommentGraphic
     10354uid 8545,0
     10355shape (CustomPolygon
     10356pts [
     10357"-30000,102000"
     10358"-32000,100666"
     10359"-32000,99334"
     10360"-30000,98000"
     10361"-30000,102000"
     10362]
     10363uid 8546,0
     10364layer 0
     10365sl 0
     10366va (VaSet
     10367vasetType 1
     10368fg "0,65535,65535"
     10369bg "0,65535,65535"
     10370lineColor "26368,26368,26368"
     10371)
     10372xt "-32000,98000,-30000,102000"
     10373)
     10374oxt "7000,7000,9000,11000"
     10375)
     10376*336 (CommentGraphic
     10377uid 8547,0
     10378optionalChildren [
     10379*337 (Property
     10380uid 8549,0
     10381pclass "_MW_GEOM_"
     10382pname "expand"
     10383ptn "String"
     10384)
     10385]
     10386shape (PolyLine2D
     10387pts [
     10388"-30000,98000"
     10389"-30000,98000"
     10390]
     10391uid 8548,0
     10392layer 0
     10393sl 0
     10394va (VaSet
     10395vasetType 1
     10396transparent 1
     10397fg "49152,49152,49152"
     10398)
     10399xt "-30000,98000,-30000,98000"
     10400)
     10401oxt "9000,7000,9000,7000"
     10402)
     10403*338 (CommentGraphic
     10404uid 8550,0
     10405optionalChildren [
     10406*339 (Property
     10407uid 8552,0
     10408pclass "_MW_GEOM_"
     10409pname "expand"
     10410ptn "String"
     10411)
     10412]
     10413shape (PolyLine2D
     10414pts [
     10415"-30000,102000"
     10416"-30000,102000"
     10417]
     10418uid 8551,0
     10419layer 0
     10420sl 0
     10421va (VaSet
     10422vasetType 1
     10423transparent 1
     10424fg "49152,49152,49152"
     10425)
     10426xt "-30000,102000,-30000,102000"
     10427)
     10428oxt "9000,11000,9000,11000"
     10429)
     10430*340 (CommentText
     10431uid 8553,0
     10432shape (Rectangle
     10433uid 8554,0
     10434sl 0
     10435va (VaSet
     10436vasetType 1
     10437transparent 1
     10438fg "65535,65535,65535"
     10439lineColor "65535,65535,65535"
     10440lineWidth -1
     10441)
     10442xt "-32000,100000,-30000,101506"
     10443)
     10444oxt "7000,9000,9000,10506"
     10445text (MLText
     10446uid 8555,0
     10447sl 0
     10448va (VaSet
     10449font "arial,8,0"
     10450)
     10451xt "-31800,100200,-30600,101200"
     10452st "
     10453Lo
     10454"
     10455tm "CommentText"
     10456wrapOption 3
     10457visibleHeight 1506
     10458visibleWidth 2000
     10459)
     10460)
     10461*341 (CommentText
     10462uid 8556,0
     10463shape (Rectangle
     10464uid 8557,0
     10465layer 8
     10466sl 0
     10467va (VaSet
     10468vasetType 1
     10469transparent 1
     10470fg "65535,65535,65535"
     10471lineColor "65535,65535,65535"
     10472lineWidth -1
     10473)
     10474xt "-32000,98000,-30002,99556"
     10475)
     10476oxt "7000,7000,8998,8556"
     10477text (MLText
     10478uid 8558,0
     10479sl 0
     10480va (VaSet
     10481font "arial,8,0"
     10482)
     10483xt "-31800,98200,-30600,99200"
     10484st "
     10485Hi
     10486"
     10487tm "CommentText"
     10488wrapOption 3
     10489visibleHeight 1556
     10490visibleWidth 1998
     10491)
     10492)
     10493*342 (CommentText
     10494uid 8559,0
     10495shape (Rectangle
     10496uid 8560,0
     10497layer 0
     10498sl 0
     10499va (VaSet
     10500vasetType 1
     10501transparent 1
     10502fg "65535,65535,65535"
     10503lineColor "65535,65535,65535"
     10504lineWidth -1
     10505)
     10506xt "-32111,99517,-30111,100517"
     10507)
     10508oxt "6889,8517,8889,9517"
     10509text (MLText
     10510uid 8561,0
     10511sl 0
     10512va (VaSet
     10513font "arial,8,0"
     10514)
     10515xt "-31911,99717,-30211,100717"
     10516st "
     10517mux
     10518"
     10519tm "CommentText"
     10520wrapOption 3
     10521visibleHeight 1000
     10522visibleWidth 2000
     10523)
     10524)
     10525]
     10526shape (Rectangle
     10527uid 8563,0
     10528va (VaSet
     10529vasetType 1
     10530transparent 1
     10531fg "65535,65535,65535"
     10532lineWidth -1
     10533)
     10534xt "-33000,97000,-29000,103000"
     10535fos 1
     10536)
     10537showPorts 0
     10538oxt "6000,6000,10000,12000"
     10539ttg (MlTextGroup
     10540uid 8564,0
     10541ps "CenterOffsetStrategy"
     10542stg "VerticalLayoutStrategy"
     10543textVec [
     10544*343 (Text
     10545uid 8565,0
     10546va (VaSet
     10547isHidden 1
     10548font "arial,8,0"
     10549)
     10550xt "-30650,102100,-25850,103100"
     10551st "moduleware"
     10552blo "-30650,102900"
     10553)
     10554*344 (Text
     10555uid 8566,0
     10556va (VaSet
     10557font "arial,8,0"
     10558)
     10559xt "-30650,103100,-28950,104100"
     10560st "mux"
     10561blo "-30650,103900"
     10562)
     10563*345 (Text
     10564uid 8567,0
     10565va (VaSet
     10566font "arial,8,0"
     10567)
     10568xt "-30650,104100,-28850,105100"
     10569st "U_0"
     10570blo "-30650,104900"
     10571tm "InstanceNameMgr"
     10572)
     10573]
     10574)
     10575ga (GenericAssociation
     10576uid 8568,0
     10577ps "EdgeToEdgeStrategy"
     10578matrix (Matrix
     10579uid 8569,0
     10580text (MLText
     10581uid 8570,0
     10582va (VaSet
     10583font "arial,8,0"
     10584)
     10585xt "-36000,79400,-36000,79400"
     10586)
     10587header ""
     10588)
     10589elements [
     10590]
     10591)
     10592sed 1
     10593awe 1
     10594portVis (PortSigDisplay
     10595disp 1
     10596sN 0
     10597sTC 0
     10598selT 0
     10599)
     10600prms (Property
     10601pclass "params"
     10602pname "params"
     10603ptn "String"
     10604)
     10605de 1
     10606visOptions (mwParamsVisibilityOptions
     10607)
     10608)
     10609*346 (Net
     10610uid 8583,0
     10611decl (Decl
     10612n "drs_channel_internal"
     10613t "std_logic_vector"
     10614b "(3 DOWNTO 0)"
     10615o 84
     10616suid 187,0
     10617i "(others => '0')"
     10618)
     10619declText (MLText
     10620uid 8584,0
     10621va (VaSet
     10622font "Courier New,8,0"
     10623)
     10624xt "-85000,64200,-35500,65000"
     10625st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
     10626"
     10627)
     10628)
     10629*347 (Wire
    988110630uid 322,0
    988210631shape (OrthoPolyLine
     
    989410643)
    989510644start &26
    9896 end &313
     10645end &315
    989710646sat 32
    989810647eat 32
     
    991710666on &2
    991810667)
    9919 *322 (Wire
     10668*348 (Wire
    992010669uid 328,0
    992110670shape (OrthoPolyLine
     
    993310682)
    993410683start &25
    9935 end &312
     10684end &314
    993610685sat 32
    993710686eat 32
     
    995610705on &3
    995710706)
    9958 *323 (Wire
     10707*349 (Wire
    995910708uid 334,0
    996010709shape (OrthoPolyLine
     
    997210721)
    997310722start &24
    9974 end &311
     10723end &313
    997510724sat 32
    997610725eat 32
     
    999510744on &4
    999610745)
    9997 *324 (Wire
     10746*350 (Wire
    999810747uid 364,0
    999910748shape (OrthoPolyLine
     
    1001210761)
    1001310762start &79
    10014 end &315
     10763end &317
    1001510764sat 32
    1001610765eat 32
     
    1003510784on &5
    1003610785)
    10037 *325 (Wire
     10786*351 (Wire
    1003810787uid 370,0
    1003910788shape (OrthoPolyLine
     
    1005210801)
    1005310802start &78
    10054 end &316
     10803end &318
    1005510804sat 32
    1005610805eat 32
     
    1007510824on &6
    1007610825)
    10077 *326 (Wire
     10826*352 (Wire
    1007810827uid 376,0
    1007910828shape (OrthoPolyLine
     
    1011310862on &7
    1011410863)
    10115 *327 (Wire
     10864*353 (Wire
    1011610865uid 384,0
    1011710866shape (OrthoPolyLine
     
    1015310902on &8
    1015410903)
    10155 *328 (Wire
     10904*354 (Wire
    1015610905uid 392,0
    1015710906shape (OrthoPolyLine
     
    1019310942on &9
    1019410943)
    10195 *329 (Wire
     10944*355 (Wire
    1019610945uid 400,0
    1019710946shape (OrthoPolyLine
     
    1023110980on &10
    1023210981)
    10233 *330 (Wire
     10982*356 (Wire
    1023410983uid 408,0
    1023510984shape (OrthoPolyLine
     
    1026911018on &11
    1027011019)
    10271 *331 (Wire
     11020*357 (Wire
    1027211021uid 424,0
    1027311022shape (OrthoPolyLine
     
    1030711056on &12
    1030811057)
    10309 *332 (Wire
     11058*358 (Wire
    1031011059uid 432,0
    1031111060shape (OrthoPolyLine
     
    1034511094on &13
    1034611095)
    10347 *333 (Wire
     11096*359 (Wire
    1034811097uid 1411,0
    1034911098shape (OrthoPolyLine
     
    1038411133on &64
    1038511134)
    10386 *334 (Wire
     11135*360 (Wire
    1038711136uid 1425,0
    1038811137optionalChildren [
    10389 *335 (BdJunction
     11138*361 (BdJunction
    1039011139uid 4391,0
    1039111140ps "OnConnectorStrategy"
     
    1043711186on &65
    1043811187)
    10439 *336 (Wire
     11188*362 (Wire
    1044011189uid 1682,0
    1044111190shape (OrthoPolyLine
     
    1047611225on &100
    1047711226)
    10478 *337 (Wire
     11227*363 (Wire
    1047911228uid 1983,0
    1048011229shape (OrthoPolyLine
     
    1051511264on &108
    1051611265)
    10517 *338 (Wire
     11266*364 (Wire
    1051811267uid 2299,0
    1051911268shape (OrthoPolyLine
     
    1055511304on &109
    1055611305)
    10557 *339 (Wire
     11306*365 (Wire
    1055811307uid 2470,0
    1055911308shape (OrthoPolyLine
     
    1059211341on &132
    1059311342)
    10594 *340 (Wire
     11343*366 (Wire
    1059511344uid 2476,0
    1059611345shape (OrthoPolyLine
     
    1062911378on &133
    1063011379)
    10631 *341 (Wire
     11380*367 (Wire
    1063211381uid 2482,0
    1063311382shape (OrthoPolyLine
     
    1066811417on &134
    1066911418)
    10670 *342 (Wire
     11419*368 (Wire
    1067111420uid 2488,0
    1067211421shape (OrthoPolyLine
     
    1070711456on &135
    1070811457)
    10709 *343 (Wire
     11458*369 (Wire
    1071011459uid 2494,0
    1071111460shape (OrthoPolyLine
     
    1074611495on &136
    1074711496)
    10748 *344 (Wire
     11497*370 (Wire
    1074911498uid 2500,0
    1075011499shape (OrthoPolyLine
     
    1078311532on &137
    1078411533)
    10785 *345 (Wire
     11534*371 (Wire
    1078611535uid 2506,0
    1078711536shape (OrthoPolyLine
     
    1082011569on &138
    1082111570)
    10822 *346 (Wire
     11571*372 (Wire
    1082311572uid 2576,0
    1082411573shape (OrthoPolyLine
     
    1085811607on &139
    1085911608)
    10860 *347 (Wire
     11609*373 (Wire
    1086111610uid 2582,0
    1086211611shape (OrthoPolyLine
     
    1089611645on &140
    1089711646)
    10898 *348 (Wire
     11647*374 (Wire
    1089911648uid 2588,0
    1090011649shape (OrthoPolyLine
     
    1093511684on &141
    1093611685)
    10937 *349 (Wire
     11686*375 (Wire
    1093811687uid 2594,0
    1093911688shape (OrthoPolyLine
     
    1097311722on &142
    1097411723)
    10975 *350 (Wire
     11724*376 (Wire
    1097611725uid 2600,0
    1097711726shape (OrthoPolyLine
     
    1101111760on &143
    1101211761)
    11013 *351 (Wire
     11762*377 (Wire
    1101411763uid 2642,0
    1101511764shape (OrthoPolyLine
     
    1105111800on &144
    1105211801)
    11053 *352 (Wire
     11802*378 (Wire
    1105411803uid 2778,0
    1105511804shape (OrthoPolyLine
     
    1108911838on &145
    1109011839)
    11091 *353 (Wire
     11840*379 (Wire
    1109211841uid 2786,0
    1109311842shape (OrthoPolyLine
     
    1110411853)
    1110511854start &147
    11106 end &250
     11855end &252
    1110711856sat 32
    1110811857eat 32
     
    1112911878on &176
    1113011879)
    11131 *354 (Wire
     11880*380 (Wire
    1113211881uid 2876,0
    1113311882shape (OrthoPolyLine
     
    1114311892]
    1114411893)
    11145 start &335
     11894start &361
    1114611895end &103
    1114711896es 0
     
    1116711916on &65
    1116811917)
    11169 *355 (Wire
     11918*381 (Wire
    1117011919uid 3888,0
    1117111920optionalChildren [
    11172 *356 (BdJunction
     11921*382 (BdJunction
    1117311922uid 4230,0
    1117411923ps "OnConnectorStrategy"
     
    1118211931)
    1118311932)
    11184 *357 (BdJunction
     11933*383 (BdJunction
    1118511934uid 4244,0
    1118611935ps "OnConnectorStrategy"
     
    1123311982on &164
    1123411983)
    11235 *358 (Wire
     11984*384 (Wire
    1123611985uid 3984,0
    1123711986shape (OrthoPolyLine
     
    1127412023on &162
    1127512024)
    11276 *359 (Wire
     12025*385 (Wire
    1127712026uid 4042,0
    1127812027shape (OrthoPolyLine
     
    1131212061on &175
    1131312062)
    11314 *360 (Wire
     12063*386 (Wire
    1131512064uid 4226,0
    1131612065shape (OrthoPolyLine
     
    1132812077)
    1132912078start &174
    11330 end &356
     12079end &382
    1133112080sat 32
    1133212081eat 32
     
    1135212101on &164
    1135312102)
    11354 *361 (Wire
     12103*387 (Wire
    1135512104uid 4240,0
    1135612105shape (OrthoPolyLine
     
    1136712116]
    1136812117)
    11369 start &314
    11370 end &357
     12118start &316
     12119end &383
    1137112120sat 32
    1137212121eat 32
     
    1139112140on &164
    1139212141)
    11393 *362 (Wire
     12142*388 (Wire
    1139412143uid 4272,0
    1139512144shape (OrthoPolyLine
     
    1140512154)
    1140612155start &178
    11407 end &247
     12156end &249
    1140812157sat 32
    1140912158eat 32
     
    1142912178on &177
    1143012179)
    11431 *363 (Wire
     12180*389 (Wire
    1143212181uid 4401,0
    1143312182shape (OrthoPolyLine
     
    1146512214on &179
    1146612215)
    11467 *364 (Wire
     12216*390 (Wire
    1146812217uid 4407,0
    1146912218shape (OrthoPolyLine
     
    1150112250on &180
    1150212251)
    11503 *365 (Wire
     12252*391 (Wire
    1150412253uid 4419,0
    1150512254shape (OrthoPolyLine
     
    1153712286on &181
    1153812287)
    11539 *366 (Wire
     12288*392 (Wire
    1154012289uid 4537,0
    1154112290shape (OrthoPolyLine
     
    1154512294lineWidth 2
    1154612295)
    11547 xt "-26000,57000,18250,57000"
     12296xt "-37000,100000,-33000,100000"
    1154812297pts [
    11549 "18250,57000"
    11550 "-26000,57000"
    11551 ]
    11552 )
    11553 start &39
     12298"-33000,100000"
     12299"-37000,100000"
     12300]
     12301)
     12302start &328
    1155412303end &184
    1155512304sat 32
     
    1156912318isHidden 1
    1157012319)
    11571 xt "-20000,56000,-14100,57000"
     12320xt "-71000,99000,-65100,100000"
    1157212321st "drs_channel_id"
    11573 blo "-20000,56800"
     12322blo "-71000,99800"
    1157412323tm "WireNameMgr"
    1157512324)
     
    1157712326on &182
    1157812327)
    11579 *367 (Wire
     12328*393 (Wire
    1158012329uid 4545,0
    1158112330shape (OrthoPolyLine
     
    1159012339]
    1159112340)
    11592 start &291
     12341start &293
    1159312342end &185
    1159412343sat 32
     
    1161412363on &183
    1161512364)
    11616 *368 (Wire
     12365*394 (Wire
    1161712366uid 4671,0
    1161812367shape (OrthoPolyLine
     
    1165212401on &186
    1165312402)
    11654 *369 (Wire
     12403*395 (Wire
    1165512404uid 4679,0
    1165612405shape (OrthoPolyLine
     
    1169012439on &187
    1169112440)
    11692 *370 (Wire
     12441*396 (Wire
    1169312442uid 4687,0
    1169412443shape (OrthoPolyLine
     
    1172812477on &188
    1172912478)
    11730 *371 (Wire
     12479*397 (Wire
    1173112480uid 4695,0
    1173212481shape (OrthoPolyLine
     
    1176612515on &189
    1176712516)
    11768 *372 (Wire
     12517*398 (Wire
    1176912518uid 4743,0
    1177012519shape (OrthoPolyLine
     
    1180212551on &194
    1180312552)
    11804 *373 (Wire
     12553*399 (Wire
    1180512554uid 4757,0
    1180612555optionalChildren [
    11807 *374 (BdJunction
     12556*400 (BdJunction
    1180812557uid 6076,0
    1180912558ps "OnConnectorStrategy"
     
    1183312582)
    1183412583start &196
    11835 end *375 (BdJunction
     12584end *401 (BdJunction
    1183612585uid 6080,0
    1183712586ps "OnConnectorStrategy"
     
    1186712616on &173
    1186812617)
    11869 *376 (Wire
     12618*402 (Wire
    1187012619uid 4948,0
    1187112620shape (OrthoPolyLine
     
    1190512654on &210
    1190612655)
    11907 *377 (Wire
     12656*403 (Wire
    1190812657uid 4962,0
    1190912658shape (OrthoPolyLine
     
    1194312692on &212
    1194412693)
    11945 *378 (Wire
     12694*404 (Wire
    1194612695uid 5090,0
    1194712696shape (OrthoPolyLine
     
    1198012729)
    1198112730)
    11982 on &230
    11983 )
    11984 *379 (Wire
     12731on &232
     12732)
     12733*405 (Wire
    1198512734uid 5098,0
    1198612735shape (OrthoPolyLine
     
    1201412763)
    1201512764)
    12016 on &231
    12017 )
    12018 *380 (Wire
     12765on &233
     12766)
     12767*406 (Wire
    1201912768uid 5106,0
    1202012769shape (OrthoPolyLine
     
    1205112800)
    1205212801)
    12053 on &232
    12054 )
    12055 *381 (Wire
     12802on &234
     12803)
     12804*407 (Wire
    1205612805uid 5114,0
    1205712806shape (OrthoPolyLine
     
    1209012839)
    1209112840)
    12092 on &233
    12093 )
    12094 *382 (Wire
     12841on &235
     12842)
     12843*408 (Wire
    1209512844uid 5122,0
    1209612845shape (OrthoPolyLine
     
    1212712876)
    1212812877)
    12129 on &234
    12130 )
    12131 *383 (Wire
     12878on &236
     12879)
     12880*409 (Wire
    1213212881uid 5130,0
    1213312882shape (OrthoPolyLine
     
    1216412913)
    1216512914)
    12166 on &235
    12167 )
    12168 *384 (Wire
     12915on &237
     12916)
     12917*410 (Wire
    1216912918uid 5138,0
    1217012919optionalChildren [
    12171 *385 (BdJunction
     12920*411 (BdJunction
    1217212921uid 5400,0
    1217312922ps "OnConnectorStrategy"
     
    1221912968on &148
    1222012969)
    12221 *386 (Wire
     12970*412 (Wire
    1222212971uid 5146,0
    1222312972shape (OrthoPolyLine
     
    1223312982)
    1223412983start &222
    12235 end &258
     12984end &260
    1223612985es 0
    1223712986sat 32
     
    1225313002)
    1225413003)
    12255 on &236
    12256 )
    12257 *387 (Wire
     13004on &238
     13005)
     13006*413 (Wire
    1225813007uid 5168,0
    1225913008shape (OrthoPolyLine
     
    1226813017]
    1226913018)
    12270 start &385
     13019start &411
    1227113020end &125
    1227213021sat 32
     
    1229113040on &148
    1229213041)
    12293 *388 (Wire
     13042*414 (Wire
    1229413043uid 5184,0
    1229513044shape (OrthoPolyLine
     
    1232613075)
    1232713076)
    12328 on &237
    12329 )
    12330 *389 (Wire
     13077on &239
     13078)
     13079*415 (Wire
    1233113080uid 5190,0
    1233213081shape (OrthoPolyLine
     
    1236313112)
    1236413113)
    12365 on &238
    12366 )
    12367 *390 (Wire
     13114on &240
     13115)
     13116*416 (Wire
    1236813117uid 5222,0
    1236913118shape (OrthoPolyLine
     
    1240313152)
    1240413153)
    12405 on &239
    12406 )
    12407 *391 (Wire
     13154on &241
     13155)
     13156*417 (Wire
    1240813157uid 5281,0
    1240913158shape (OrthoPolyLine
     
    1244113190)
    1244213191)
    12443 on &240
    12444 )
    12445 *392 (Wire
     13192on &242
     13193)
     13194*418 (Wire
    1244613195uid 5404,0
    1244713196shape (OrthoPolyLine
     
    1245813207]
    1245913208)
    12460 start &259
     13209start &261
    1246113210end &50
    1246213211sat 32
     
    1247813227)
    1247913228)
    12480 on &243
    12481 )
    12482 *393 (Wire
     13229on &245
     13230)
     13231*419 (Wire
    1248313232uid 5474,0
    1248413233shape (OrthoPolyLine
     
    1249513244]
    1249613245)
    12497 start &262
     13246start &264
    1249813247end &52
    1249913248sat 32
     
    1251513264)
    1251613265)
    12517 on &241
    12518 )
    12519 *394 (Wire
     13266on &243
     13267)
     13268*420 (Wire
    1252013269uid 5480,0
    1252113270shape (OrthoPolyLine
     
    1253213281]
    1253313282)
    12534 start &261
     13283start &263
    1253513284end &51
    1253613285sat 32
     
    1255213301)
    1255313302)
    12554 on &242
    12555 )
    12556 *395 (Wire
     13303on &244
     13304)
     13305*421 (Wire
    1255713306uid 5582,0
    1255813307shape (OrthoPolyLine
     
    1258913338on &164
    1259013339)
    12591 *396 (Wire
     13340*422 (Wire
    1259213341uid 5602,0
    1259313342optionalChildren [
    12594 &375
    12595 *397 (BdJunction
     13343&401
     13344*423 (BdJunction
    1259613345uid 6086,0
    1259713346ps "OnConnectorStrategy"
     
    1262313372)
    1262413373start &23
    12625 end &310
     13374end &312
    1262613375sat 32
    1262713376eat 32
     
    1264613395on &173
    1264713396)
    12648 *398 (Wire
     13397*424 (Wire
    1264913398uid 5626,0
    1265013399shape (OrthoPolyLine
     
    1266013409)
    1266113410start &45
    12662 end &248
     13411end &250
    1266313412sat 32
    1266413413eat 32
     
    1268013429)
    1268113430)
    12682 on &245
    12683 )
    12684 *399 (Wire
     13431on &247
     13432)
     13433*425 (Wire
    1268513434uid 5634,0
    1268613435shape (OrthoPolyLine
     
    1269713446)
    1269813447start &38
    12699 end &249
     13448end &251
    1270013449sat 32
    1270113450eat 32
     
    1271813467)
    1271913468)
    12720 on &244
    12721 )
    12722 *400 (Wire
     13469on &246
     13470)
     13471*426 (Wire
    1272313472uid 5646,0
    1272413473shape (OrthoPolyLine
     
    1273413483]
    1273513484)
    12736 end &251
     13485end &253
    1273713486sat 16
    1273813487eat 32
     
    1275613505on &162
    1275713506)
    12758 *401 (Wire
     13507*427 (Wire
    1275913508uid 5745,0
    1276013509shape (OrthoPolyLine
     
    1277213521)
    1277313522start &54
    12774 end &260
     13523end &262
    1277513524sat 32
    1277613525eat 32
     
    1279213541)
    1279313542)
    12794 on &255
    12795 )
    12796 *402 (Wire
     13543on &257
     13544)
     13545*428 (Wire
    1279713546uid 5805,0
    1279813547shape (OrthoPolyLine
     
    1280713556]
    1280813557)
    12809 end &265
     13558end &267
    1281013559sat 16
    1281113560eat 32
     
    1282813577on &164
    1282913578)
    12830 *403 (Wire
     13579*429 (Wire
    1283113580uid 5813,0
    1283213581shape (OrthoPolyLine
     
    1284113590]
    1284213591)
    12843 start &257
    12844 end &276
     13592start &259
     13593end &278
    1284513594sat 32
    1284613595eat 32
     
    1286413613)
    1286513614)
    12866 on &272
    12867 )
    12868 *404 (Wire
     13615on &274
     13616)
     13617*430 (Wire
    1286913618uid 5821,0
    1287013619shape (OrthoPolyLine
     
    1287913628]
    1288013629)
    12881 start &268
    12882 end &277
     13630start &270
     13631end &279
    1288313632sat 32
    1288413633eat 32
     
    1290213651)
    1290313652)
    12904 on &273
    12905 )
    12906 *405 (Wire
     13653on &275
     13654)
     13655*431 (Wire
    1290713656uid 5829,0
    1290813657shape (OrthoPolyLine
     
    1291713666]
    1291813667)
    12919 start &263
    12920 end &278
     13668start &265
     13669end &280
    1292113670sat 32
    1292213671eat 32
     
    1294013689)
    1294113690)
    12942 on &274
    12943 )
    12944 *406 (Wire
     13691on &276
     13692)
     13693*432 (Wire
    1294513694uid 5837,0
    1294613695shape (OrthoPolyLine
     
    1295613705]
    1295713706)
    12958 start &264
    12959 end &279
     13707start &266
     13708end &281
    1296013709sat 32
    1296113710eat 32
     
    1298013729)
    1298113730)
    12982 on &275
    12983 )
    12984 *407 (Wire
     13731on &277
     13732)
     13733*433 (Wire
    1298513734uid 5950,0
    1298613735shape (OrthoPolyLine
     
    1301813767)
    1301913768)
    13020 on &280
    13021 )
    13022 *408 (Wire
     13769on &282
     13770)
     13771*434 (Wire
    1302313772uid 5962,0
    1302413773shape (OrthoPolyLine
     
    1305613805)
    1305713806)
    13058 on &281
    13059 )
    13060 *409 (Wire
     13807on &283
     13808)
     13809*435 (Wire
    1306113810uid 6002,0
    1306213811shape (OrthoPolyLine
     
    1309413843)
    1309513844)
    13096 on &283
    13097 )
    13098 *410 (Wire
     13845on &285
     13846)
     13847*436 (Wire
    1309913848uid 6008,0
    1310013849shape (OrthoPolyLine
     
    1311113860]
    1311213861)
    13113 start &266
     13862start &268
    1311413863end &59
    1311513864sat 32
     
    1313213881)
    1313313882)
    13134 on &282
    13135 )
    13136 *411 (Wire
     13883on &284
     13884)
     13885*437 (Wire
    1313713886uid 6018,0
    1313813887shape (OrthoPolyLine
     
    1317013919)
    1317113920)
    13172 on &284
    13173 )
    13174 *412 (Wire
     13921on &286
     13922)
     13923*438 (Wire
    1317513924uid 6064,0
    1317613925shape (OrthoPolyLine
     
    1320513954)
    1320613955)
    13207 on &236
    13208 )
    13209 *413 (Wire
     13956on &238
     13957)
     13958*439 (Wire
    1321013959uid 6072,0
    1321113960shape (OrthoPolyLine
     
    1322313972)
    1322413973start &167
    13225 end &374
     13974end &400
    1322613975sat 32
    1322713976eat 32
     
    1324613995on &173
    1324713996)
    13248 *414 (Wire
     13997*440 (Wire
    1324913998uid 6082,0
    1325013999shape (OrthoPolyLine
     
    1326214011)
    1326314012start &112
    13264 end &397
     14013end &423
    1326514014sat 32
    1326614015eat 32
     
    1328514034on &173
    1328614035)
    13287 *415 (Wire
     14036*441 (Wire
    1328814037uid 6160,0
    1328914038shape (OrthoPolyLine
     
    1329814047]
    1329914048)
    13300 start &267
    13301 end &286
     14049start &269
     14050end &288
    1330214051sat 32
    1330314052eat 32
     
    1332114070)
    1332214071)
    13323 on &285
    13324 )
    13325 *416 (Wire
     14072on &287
     14073)
     14074*442 (Wire
    1332614075uid 6276,0
    1332714076shape (OrthoPolyLine
     
    1335714106on &162
    1335814107)
    13359 *417 (Wire
     14108*443 (Wire
    1336014109uid 6362,0
    1336114110shape (OrthoPolyLine
     
    1337114120)
    1337214121start &94
    13373 end &288
     14122end &290
    1337414123sat 32
    1337514124eat 32
     
    1339314142)
    1339414143)
    13395 on &287
    13396 )
    13397 *418 (Wire
     14144on &289
     14145)
     14146*444 (Wire
    1339814147uid 6452,0
    1339914148shape (OrthoPolyLine
     
    1343014179)
    1343114180)
    13432 on &289
    13433 )
    13434 *419 (Wire
     14181on &291
     14182)
     14183*445 (Wire
    1343514184uid 6540,0
    1343614185shape (OrthoPolyLine
     
    1344514194]
    1344614195)
    13447 start &294
     14196start &296
    1344814197end &41
    1344914198sat 32
     
    1346714216)
    1346814217)
    13469 on &308
    13470 )
    13471 *420 (Wire
     14218on &310
     14219)
     14220*446 (Wire
    1347214221uid 6548,0
    1347314222shape (OrthoPolyLine
     
    1348214231]
    1348314232)
    13484 start &296
     14233start &298
    1348514234sat 32
    1348614235eat 16
     
    1350314252)
    1350414253)
    13505 on &289
    13506 )
    13507 *421 (Wire
     14254on &291
     14255)
     14256*447 (Wire
    1350814257uid 8416,0
    1350914258shape (OrthoPolyLine
     
    1353914288)
    1354014289)
    13541 on &320
     14290on &322
     14291)
     14292*448 (Wire
     14293uid 8510,0
     14294shape (OrthoPolyLine
     14295uid 8511,0
     14296va (VaSet
     14297vasetType 3
     14298lineWidth 2
     14299)
     14300xt "92750,110000,102000,110000"
     14301pts [
     14302"92750,110000"
     14303"102000,110000"
     14304]
     14305)
     14306start &227
     14307sat 32
     14308eat 16
     14309sty 1
     14310st 0
     14311sf 1
     14312si 0
     14313tg (WTG
     14314uid 8514,0
     14315ps "ConnStartEndStrategy"
     14316stg "STSignalDisplayStrategy"
     14317f (Text
     14318uid 8515,0
     14319va (VaSet
     14320)
     14321xt "94000,109000,101200,110000"
     14322st "drs_address : (3:0)"
     14323blo "94000,109800"
     14324tm "WireNameMgr"
     14325)
     14326)
     14327on &323
     14328)
     14329*449 (Wire
     14330uid 8518,0
     14331shape (OrthoPolyLine
     14332uid 8519,0
     14333va (VaSet
     14334vasetType 3
     14335)
     14336xt "92750,111000,102000,111000"
     14337pts [
     14338"92750,111000"
     14339"102000,111000"
     14340]
     14341)
     14342start &228
     14343sat 32
     14344eat 16
     14345st 0
     14346sf 1
     14347si 0
     14348tg (WTG
     14349uid 8522,0
     14350ps "ConnStartEndStrategy"
     14351stg "STSignalDisplayStrategy"
     14352f (Text
     14353uid 8523,0
     14354va (VaSet
     14355)
     14356xt "94000,110000,101200,111000"
     14357st "drs_address_mode"
     14358blo "94000,110800"
     14359tm "WireNameMgr"
     14360)
     14361)
     14362on &324
     14363)
     14364*450 (Wire
     14365uid 8577,0
     14366shape (OrthoPolyLine
     14367uid 8578,0
     14368va (VaSet
     14369vasetType 3
     14370lineWidth 2
     14371)
     14372xt "7000,57000,18250,57000"
     14373pts [
     14374"18250,57000"
     14375"7000,57000"
     14376]
     14377)
     14378start &39
     14379sat 32
     14380eat 16
     14381sty 1
     14382st 0
     14383sf 1
     14384si 0
     14385tg (WTG
     14386uid 8581,0
     14387ps "ConnStartEndStrategy"
     14388stg "STSignalDisplayStrategy"
     14389f (Text
     14390uid 8582,0
     14391va (VaSet
     14392)
     14393xt "8000,56000,18400,57000"
     14394st "drs_channel_internal : (3:0)"
     14395blo "8000,56800"
     14396tm "WireNameMgr"
     14397)
     14398)
     14399on &346
     14400)
     14401*451 (Wire
     14402uid 8587,0
     14403shape (OrthoPolyLine
     14404uid 8588,0
     14405va (VaSet
     14406vasetType 3
     14407lineWidth 2
     14408)
     14409xt "-29000,101000,-20000,101000"
     14410pts [
     14411"-20000,101000"
     14412"-29000,101000"
     14413]
     14414)
     14415end &326
     14416sat 16
     14417eat 32
     14418sty 1
     14419stc 0
     14420st 0
     14421sf 1
     14422si 0
     14423tg (WTG
     14424uid 8591,0
     14425ps "ConnStartEndStrategy"
     14426stg "STSignalDisplayStrategy"
     14427f (Text
     14428uid 8592,0
     14429va (VaSet
     14430)
     14431xt "-29000,100000,-20800,101000"
     14432st "drs_channel_internal"
     14433blo "-29000,100800"
     14434tm "WireNameMgr"
     14435)
     14436)
     14437on &346
     14438)
     14439*452 (Wire
     14440uid 8595,0
     14441shape (OrthoPolyLine
     14442uid 8596,0
     14443va (VaSet
     14444vasetType 3
     14445lineWidth 2
     14446)
     14447xt "-29000,99000,-20000,99000"
     14448pts [
     14449"-20000,99000"
     14450"-29000,99000"
     14451]
     14452)
     14453end &331
     14454sat 16
     14455eat 32
     14456sty 1
     14457stc 0
     14458st 0
     14459sf 1
     14460si 0
     14461tg (WTG
     14462uid 8599,0
     14463ps "ConnStartEndStrategy"
     14464stg "VerticalLayoutStrategy"
     14465f (Text
     14466uid 8600,0
     14467va (VaSet
     14468)
     14469xt "-29000,98000,-24000,99000"
     14470st "drs_address"
     14471blo "-29000,98800"
     14472tm "WireNameMgr"
     14473)
     14474)
     14475on &323
     14476)
     14477*453 (Wire
     14478uid 8603,0
     14479shape (OrthoPolyLine
     14480uid 8604,0
     14481va (VaSet
     14482vasetType 3
     14483)
     14484xt "-31000,103000,-20000,107000"
     14485pts [
     14486"-20000,107000"
     14487"-31000,107000"
     14488"-31000,103000"
     14489]
     14490)
     14491end &333
     14492sat 16
     14493eat 32
     14494stc 0
     14495st 0
     14496sf 1
     14497si 0
     14498tg (WTG
     14499uid 8607,0
     14500ps "ConnStartEndStrategy"
     14501stg "VerticalLayoutStrategy"
     14502f (Text
     14503uid 8608,0
     14504va (VaSet
     14505)
     14506xt "-29000,106000,-21800,107000"
     14507st "drs_address_mode"
     14508blo "-29000,106800"
     14509tm "WireNameMgr"
     14510)
     14511)
     14512on &324
    1354214513)
    1354314514]
     
    1355314524color "26368,26368,26368"
    1355414525)
    13555 packageList *422 (PackageList
     14526packageList *454 (PackageList
    1355614527uid 41,0
    1355714528stg "VerticalLayoutStrategy"
    1355814529textVec [
    13559 *423 (Text
     14530*455 (Text
    1356014531uid 42,0
    1356114532va (VaSet
     
    1356614537blo "-87000,1800"
    1356714538)
    13568 *424 (MLText
     14539*456 (MLText
    1356914540uid 43,0
    1357014541va (VaSet
     
    1359114562stg "VerticalLayoutStrategy"
    1359214563textVec [
    13593 *425 (Text
     14564*457 (Text
    1359414565uid 45,0
    1359514566va (VaSet
     
    1360114572blo "20000,800"
    1360214573)
    13603 *426 (Text
     14574*458 (Text
    1360414575uid 46,0
    1360514576va (VaSet
     
    1361114582blo "20000,1800"
    1361214583)
    13613 *427 (MLText
     14584*459 (MLText
    1361414585uid 47,0
    1361514586va (VaSet
     
    1362114592tm "BdCompilerDirectivesTextMgr"
    1362214593)
    13623 *428 (Text
     14594*460 (Text
    1362414595uid 48,0
    1362514596va (VaSet
     
    1363114602blo "20000,4800"
    1363214603)
    13633 *429 (MLText
     14604*461 (MLText
    1363414605uid 49,0
    1363514606va (VaSet
     
    1363914610tm "BdCompilerDirectivesTextMgr"
    1364014611)
    13641 *430 (Text
     14612*462 (Text
    1364214613uid 50,0
    1364314614va (VaSet
     
    1364914620blo "20000,5800"
    1365014621)
    13651 *431 (MLText
     14622*463 (MLText
    1365214623uid 51,0
    1365314624va (VaSet
     
    1366114632)
    1366214633windowSize "0,0,1281,1024"
    13663 viewArea "-62364,34906,23843,105999"
    13664 cachedDiagramExtent "-87000,0,162300,301700"
     14634viewArea "-73966,37109,33461,125703"
     14635cachedDiagramExtent "-100999,0,162300,301700"
    1366514636pageSetupInfo (PageSetupInfo
    1366614637ptrCmd "eDocPrintPro,winspool,"
     
    1368714658hasePageBreakOrigin 1
    1368814659pageBreakOrigin "-73000,0"
    13689 lastUid 8460,0
     14660lastUid 8614,0
    1369014661defaultCommentText (CommentText
    1369114662shape (Rectangle
     
    1374914720stg "VerticalLayoutStrategy"
    1375014721textVec [
    13751 *432 (Text
     14722*464 (Text
    1375214723va (VaSet
    1375314724font "Arial,8,1"
     
    1375814729tm "BdLibraryNameMgr"
    1375914730)
    13760 *433 (Text
     14731*465 (Text
    1376114732va (VaSet
    1376214733font "Arial,8,1"
     
    1376714738tm "BlkNameMgr"
    1376814739)
    13769 *434 (Text
     14740*466 (Text
    1377014741va (VaSet
    1377114742font "Arial,8,1"
     
    1381814789stg "VerticalLayoutStrategy"
    1381914790textVec [
    13820 *435 (Text
     14791*467 (Text
    1382114792va (VaSet
    1382214793font "Arial,8,1"
     
    1382614797blo "550,4300"
    1382714798)
    13828 *436 (Text
     14799*468 (Text
    1382914800va (VaSet
    1383014801font "Arial,8,1"
     
    1383414805blo "550,5300"
    1383514806)
    13836 *437 (Text
     14807*469 (Text
    1383714808va (VaSet
    1383814809font "Arial,8,1"
     
    1388314854stg "VerticalLayoutStrategy"
    1388414855textVec [
    13885 *438 (Text
     14856*470 (Text
    1388614857va (VaSet
    1388714858font "Arial,8,1"
     
    1389214863tm "BdLibraryNameMgr"
    1389314864)
    13894 *439 (Text
     14865*471 (Text
    1389514866va (VaSet
    1389614867font "Arial,8,1"
     
    1390114872tm "CptNameMgr"
    1390214873)
    13903 *440 (Text
     14874*472 (Text
    1390414875va (VaSet
    1390514876font "Arial,8,1"
     
    1395514926stg "VerticalLayoutStrategy"
    1395614927textVec [
    13957 *441 (Text
     14928*473 (Text
    1395814929va (VaSet
    1395914930font "Arial,8,1"
     
    1396314934blo "500,4300"
    1396414935)
    13965 *442 (Text
     14936*474 (Text
    1396614937va (VaSet
    1396714938font "Arial,8,1"
     
    1397114942blo "500,5300"
    1397214943)
    13973 *443 (Text
     14944*475 (Text
    1397414945va (VaSet
    1397514946font "Arial,8,1"
     
    1401614987stg "VerticalLayoutStrategy"
    1401714988textVec [
    14018 *444 (Text
     14989*476 (Text
    1401914990va (VaSet
    1402014991font "Arial,8,1"
     
    1402414995blo "50,4300"
    1402514996)
    14026 *445 (Text
     14997*477 (Text
    1402714998va (VaSet
    1402814999font "Arial,8,1"
     
    1403215003blo "50,5300"
    1403315004)
    14034 *446 (Text
     15005*478 (Text
    1403515006va (VaSet
    1403615007font "Arial,8,1"
     
    1407315044stg "VerticalLayoutStrategy"
    1407415045textVec [
    14075 *447 (Text
     15046*479 (Text
    1407615047va (VaSet
    1407715048font "Arial,8,1"
     
    1408215053tm "HdlTextNameMgr"
    1408315054)
    14084 *448 (Text
     15055*480 (Text
    1408515056va (VaSet
    1408615057font "Arial,8,1"
     
    1448515456stg "VerticalLayoutStrategy"
    1448615457textVec [
    14487 *449 (Text
     15458*481 (Text
    1448815459va (VaSet
    1448915460font "Arial,8,1"
     
    1449315464blo "14100,20800"
    1449415465)
    14495 *450 (MLText
     15466*482 (MLText
    1449615467va (VaSet
    1449715468)
     
    1454515516stg "VerticalLayoutStrategy"
    1454615517textVec [
    14547 *451 (Text
     15518*483 (Text
    1454815519va (VaSet
    1454915520font "Arial,8,1"
     
    1455315524blo "14100,20800"
    1455415525)
    14555 *452 (MLText
     15526*484 (MLText
    1455615527va (VaSet
    1455715528)
     
    1467815649font "Arial,8,1"
    1467915650)
    14680 xt "-87000,85000,-82300,86000"
     15651xt "-87000,87400,-82300,88400"
    1468115652st "Post User:"
    14682 blo "-87000,85800"
     15653blo "-87000,88200"
    1468315654)
    1468415655postUserText (MLText
     
    1469315664commonDM (CommonDM
    1469415665ldm (LogicalDM
    14695 suid 183,0
     15666suid 190,0
    1469615667usingSuid 1
    14697 emptyRow *453 (LEmptyRow
     15668emptyRow *485 (LEmptyRow
    1469815669)
    1469915670uid 54,0
    1470015671optionalChildren [
    14701 *454 (RefLabelRowHdr
    14702 )
    14703 *455 (TitleRowHdr
    14704 )
    14705 *456 (FilterRowHdr
    14706 )
    14707 *457 (RefLabelColHdr
     15672*486 (RefLabelRowHdr
     15673)
     15674*487 (TitleRowHdr
     15675)
     15676*488 (FilterRowHdr
     15677)
     15678*489 (RefLabelColHdr
    1470815679tm "RefLabelColHdrMgr"
    1470915680)
    14710 *458 (RowExpandColHdr
     15681*490 (RowExpandColHdr
    1471115682tm "RowExpandColHdrMgr"
    1471215683)
    14713 *459 (GroupColHdr
     15684*491 (GroupColHdr
    1471415685tm "GroupColHdrMgr"
    1471515686)
    14716 *460 (NameColHdr
     15687*492 (NameColHdr
    1471715688tm "BlockDiagramNameColHdrMgr"
    1471815689)
    14719 *461 (ModeColHdr
     15690*493 (ModeColHdr
    1472015691tm "BlockDiagramModeColHdrMgr"
    1472115692)
    14722 *462 (TypeColHdr
     15693*494 (TypeColHdr
    1472315694tm "BlockDiagramTypeColHdrMgr"
    1472415695)
    14725 *463 (BoundsColHdr
     15696*495 (BoundsColHdr
    1472615697tm "BlockDiagramBoundsColHdrMgr"
    1472715698)
    14728 *464 (InitColHdr
     15699*496 (InitColHdr
    1472915700tm "BlockDiagramInitColHdrMgr"
    1473015701)
    14731 *465 (EolColHdr
     15702*497 (EolColHdr
    1473215703tm "BlockDiagramEolColHdrMgr"
    1473315704)
    14734 *466 (LeafLogPort
     15705*498 (LeafLogPort
    1473515706port (LogicalPort
    1473615707m 4
     
    1474615717uid 516,0
    1474715718)
    14748 *467 (LeafLogPort
     15719*499 (LeafLogPort
    1474915720port (LogicalPort
    1475015721m 4
     
    1475915730uid 518,0
    1476015731)
    14761 *468 (LeafLogPort
     15732*500 (LeafLogPort
    1476215733port (LogicalPort
    1476315734m 4
     
    1477215743uid 520,0
    1477315744)
    14774 *469 (LeafLogPort
     15745*501 (LeafLogPort
    1477515746port (LogicalPort
    1477615747m 4
     
    1478515756uid 530,0
    1478615757)
    14787 *470 (LeafLogPort
     15758*502 (LeafLogPort
    1478815759port (LogicalPort
    1478915760m 4
     
    1479815769uid 532,0
    1479915770)
    14800 *471 (LeafLogPort
     15771*503 (LeafLogPort
    1480115772port (LogicalPort
    1480215773m 1
     
    1481115782uid 534,0
    1481215783)
    14813 *472 (LeafLogPort
     15784*504 (LeafLogPort
    1481415785port (LogicalPort
    1481515786m 1
     
    1482415795uid 536,0
    1482515796)
    14826 *473 (LeafLogPort
     15797*505 (LeafLogPort
    1482715798port (LogicalPort
    1482815799m 2
     
    1483715808uid 538,0
    1483815809)
    14839 *474 (LeafLogPort
     15810*506 (LeafLogPort
    1484015811port (LogicalPort
    1484115812m 1
     
    1485015821uid 540,0
    1485115822)
    14852 *475 (LeafLogPort
     15823*507 (LeafLogPort
    1485315824port (LogicalPort
    1485415825m 1
     
    1486315834uid 542,0
    1486415835)
    14865 *476 (LeafLogPort
     15836*508 (LeafLogPort
    1486615837port (LogicalPort
    1486715838m 1
     
    1487615847uid 546,0
    1487715848)
    14878 *477 (LeafLogPort
     15849*509 (LeafLogPort
    1487915850port (LogicalPort
    1488015851decl (Decl
     
    1488715858uid 548,0
    1488815859)
    14889 *478 (LeafLogPort
     15860*510 (LeafLogPort
    1489015861port (LogicalPort
    1489115862decl (Decl
     
    1490115872uid 1455,0
    1490215873)
    14903 *479 (LeafLogPort
     15874*511 (LeafLogPort
    1490415875port (LogicalPort
    1490515876decl (Decl
     
    1491415885uid 1457,0
    1491515886)
    14916 *480 (LeafLogPort
     15887*512 (LeafLogPort
    1491715888port (LogicalPort
    1491815889decl (Decl
     
    1492615897uid 1694,0
    1492715898)
    14928 *481 (LeafLogPort
     15899*513 (LeafLogPort
    1492915900port (LogicalPort
    1493015901lang 2
     
    1494215913uid 1993,0
    1494315914)
    14944 *482 (LeafLogPort
     15915*514 (LeafLogPort
    1494515916port (LogicalPort
    1494615917m 4
     
    1495715928uid 2305,0
    1495815929)
    14959 *483 (LeafLogPort
     15930*515 (LeafLogPort
    1496015931port (LogicalPort
    1496115932lang 2
     
    1497015941uid 2510,0
    1497115942)
    14972 *484 (LeafLogPort
     15943*516 (LeafLogPort
    1497315944port (LogicalPort
    1497415945lang 2
     
    1498415955uid 2512,0
    1498515956)
    14986 *485 (LeafLogPort
     15957*517 (LeafLogPort
    1498715958port (LogicalPort
    1498815959lang 2
     
    1499915970uid 2514,0
    1500015971)
    15001 *486 (LeafLogPort
     15972*518 (LeafLogPort
    1500215973port (LogicalPort
    1500315974lang 2
     
    1501515986uid 2516,0
    1501615987)
    15017 *487 (LeafLogPort
     15988*519 (LeafLogPort
    1501815989port (LogicalPort
    1501915990lang 2
     
    1503016001uid 2518,0
    1503116002)
    15032 *488 (LeafLogPort
     16003*520 (LeafLogPort
    1503316004port (LogicalPort
    1503416005lang 2
     
    1504416015uid 2520,0
    1504516016)
    15046 *489 (LeafLogPort
     16017*521 (LeafLogPort
    1504716018port (LogicalPort
    1504816019lang 2
     
    1505816029uid 2522,0
    1505916030)
    15060 *490 (LeafLogPort
     16031*522 (LeafLogPort
    1506116032port (LogicalPort
    1506216033m 4
     
    1507016041uid 2604,0
    1507116042)
    15072 *491 (LeafLogPort
     16043*523 (LeafLogPort
    1507316044port (LogicalPort
    1507416045m 4
     
    1508316054uid 2606,0
    1508416055)
    15085 *492 (LeafLogPort
     16056*524 (LeafLogPort
    1508616057port (LogicalPort
    1508716058m 4
     
    1509616067uid 2608,0
    1509716068)
    15098 *493 (LeafLogPort
     16069*525 (LeafLogPort
    1509916070port (LogicalPort
    1510016071m 4
     
    1510816079uid 2610,0
    1510916080)
    15110 *494 (LeafLogPort
     16081*526 (LeafLogPort
    1511116082port (LogicalPort
    1511216083m 4
     
    1512016091uid 2612,0
    1512116092)
    15122 *495 (LeafLogPort
     16093*527 (LeafLogPort
    1512316094port (LogicalPort
    1512416095m 4
     
    1513316104uid 2646,0
    1513416105)
    15135 *496 (LeafLogPort
     16106*528 (LeafLogPort
    1513616107port (LogicalPort
    1513716108m 1
     
    1514616117uid 2812,0
    1514716118)
    15148 *497 (LeafLogPort
     16119*529 (LeafLogPort
    1514916120port (LogicalPort
    1515016121m 4
     
    1515816129uid 2962,0
    1515916130)
    15160 *498 (LeafLogPort
     16131*530 (LeafLogPort
    1516116132port (LogicalPort
    1516216133m 1
     
    1517016141uid 3902,0
    1517116142)
    15172 *499 (LeafLogPort
     16143*531 (LeafLogPort
    1517316144port (LogicalPort
    1517416145m 1
     
    1518216153uid 4070,0
    1518316154)
    15184 *500 (LeafLogPort
     16155*532 (LeafLogPort
    1518516156port (LogicalPort
    1518616157m 4
     
    1519416165uid 4212,0
    1519516166)
    15196 *501 (LeafLogPort
     16167*533 (LeafLogPort
    1519716168port (LogicalPort
    1519816169decl (Decl
     
    1520516176uid 4234,0
    1520616177)
    15207 *502 (LeafLogPort
     16178*534 (LeafLogPort
    1520816179port (LogicalPort
    1520916180decl (Decl
     
    1521716188uid 4262,0
    1521816189)
    15219 *503 (LeafLogPort
     16190*535 (LeafLogPort
    1522016191port (LogicalPort
    1522116192decl (Decl
     
    1522816199uid 4276,0
    1522916200)
    15230 *504 (LeafLogPort
     16201*536 (LeafLogPort
    1523116202port (LogicalPort
    1523216203m 4
     
    1524116212uid 4563,0
    1524216213)
    15243 *505 (LeafLogPort
     16214*537 (LeafLogPort
    1524416215port (LogicalPort
    1524516216m 4
     
    1525316224uid 4565,0
    1525416225)
    15255 *506 (LeafLogPort
     16226*538 (LeafLogPort
    1525616227port (LogicalPort
    1525716228m 4
     
    1526616237uid 4569,0
    1526716238)
    15268 *507 (LeafLogPort
     16239*539 (LeafLogPort
    1526916240port (LogicalPort
    1527016241m 1
     
    1528016251uid 4585,0
    1528116252)
    15282 *508 (LeafLogPort
     16253*540 (LeafLogPort
    1528316254port (LogicalPort
    1528416255m 1
     
    1529316264uid 4587,0
    1529416265)
    15295 *509 (LeafLogPort
     16266*541 (LeafLogPort
    1529616267port (LogicalPort
    1529716268decl (Decl
     
    1530416275uid 4733,0
    1530516276)
    15306 *510 (LeafLogPort
     16277*542 (LeafLogPort
    1530716278port (LogicalPort
    1530816279decl (Decl
     
    1531516286uid 4735,0
    1531616287)
    15317 *511 (LeafLogPort
     16288*543 (LeafLogPort
    1531816289port (LogicalPort
    1531916290decl (Decl
     
    1532616297uid 4737,0
    1532716298)
    15328 *512 (LeafLogPort
     16299*544 (LeafLogPort
    1532916300port (LogicalPort
    1533016301decl (Decl
     
    1533716308uid 4739,0
    1533816309)
    15339 *513 (LeafLogPort
     16310*545 (LeafLogPort
    1534016311port (LogicalPort
    1534116312m 4
     
    1534916320uid 4749,0
    1535016321)
    15351 *514 (LeafLogPort
     16322*546 (LeafLogPort
    1535216323port (LogicalPort
    1535316324m 1
     
    1536216333uid 4974,0
    1536316334)
    15364 *515 (LeafLogPort
     16335*547 (LeafLogPort
    1536516336port (LogicalPort
    1536616337m 1
     
    1537516346uid 4976,0
    1537616347)
    15377 *516 (LeafLogPort
     16348*548 (LeafLogPort
    1537816349port (LogicalPort
    1537916350m 4
     
    1538816359uid 5198,0
    1538916360)
    15390 *517 (LeafLogPort
     16361*549 (LeafLogPort
    1539116362port (LogicalPort
    1539216363m 4
     
    1540016371uid 5200,0
    1540116372)
    15402 *518 (LeafLogPort
     16373*550 (LeafLogPort
    1540316374port (LogicalPort
    1540416375m 4
     
    1541216383uid 5202,0
    1541316384)
    15414 *519 (LeafLogPort
     16385*551 (LeafLogPort
    1541516386port (LogicalPort
    1541616387m 4
     
    1542516396uid 5204,0
    1542616397)
    15427 *520 (LeafLogPort
     16398*552 (LeafLogPort
    1542816399port (LogicalPort
    1542916400m 4
     
    1543716408uid 5206,0
    1543816409)
    15439 *521 (LeafLogPort
     16410*553 (LeafLogPort
    1544016411port (LogicalPort
    1544116412m 4
     
    1544916420uid 5208,0
    1545016421)
    15451 *522 (LeafLogPort
     16422*554 (LeafLogPort
    1545216423port (LogicalPort
    1545316424m 4
     
    1546116432uid 5210,0
    1546216433)
    15463 *523 (LeafLogPort
     16434*555 (LeafLogPort
    1546416435port (LogicalPort
    1546516436m 4
     
    1547316444uid 5212,0
    1547416445)
    15475 *524 (LeafLogPort
     16446*556 (LeafLogPort
    1547616447port (LogicalPort
    1547716448m 4
     
    1548516456uid 5214,0
    1548616457)
    15487 *525 (LeafLogPort
     16458*557 (LeafLogPort
    1548816459port (LogicalPort
    1548916460m 1
     
    1550016471uid 5226,0
    1550116472)
    15502 *526 (LeafLogPort
     16473*558 (LeafLogPort
    1550316474port (LogicalPort
    1550416475m 4
     
    1551316484uid 5285,0
    1551416485)
    15515 *527 (LeafLogPort
     16486*559 (LeafLogPort
    1551616487port (LogicalPort
    1551716488m 4
     
    1552516496uid 5502,0
    1552616497)
    15527 *528 (LeafLogPort
     16498*560 (LeafLogPort
    1552816499port (LogicalPort
    1552916500m 4
     
    1553716508uid 5504,0
    1553816509)
    15539 *529 (LeafLogPort
     16510*561 (LeafLogPort
    1554016511port (LogicalPort
    1554116512m 4
     
    1554916520uid 5600,0
    1555016521)
    15551 *530 (LeafLogPort
     16522*562 (LeafLogPort
    1555216523port (LogicalPort
    1555316524lang 10
     
    1556316534uid 5642,0
    1556416535)
    15565 *531 (LeafLogPort
     16536*563 (LeafLogPort
    1556616537port (LogicalPort
    1556716538m 4
     
    1557516546uid 5644,0
    1557616547)
    15577 *532 (LeafLogPort
     16548*564 (LeafLogPort
    1557816549port (LogicalPort
    1557916550m 4
     
    1558816559uid 5751,0
    1558916560)
    15590 *533 (LeafLogPort
     16561*565 (LeafLogPort
    1559116562port (LogicalPort
    1559216563m 1
     
    1560016571uid 5867,0
    1560116572)
    15602 *534 (LeafLogPort
     16573*566 (LeafLogPort
    1560316574port (LogicalPort
    1560416575m 2
     
    1561416585uid 5869,0
    1561516586)
    15616 *535 (LeafLogPort
     16587*567 (LeafLogPort
    1561716588port (LogicalPort
    1561816589m 1
     
    1562616597uid 5871,0
    1562716598)
    15628 *536 (LeafLogPort
     16599*568 (LeafLogPort
    1562916600port (LogicalPort
    1563016601m 1
     
    1563916610uid 5873,0
    1564016611)
    15641 *537 (LeafLogPort
     16612*569 (LeafLogPort
    1564216613port (LogicalPort
    1564316614m 4
     
    1565216623uid 5966,0
    1565316624)
    15654 *538 (LeafLogPort
     16625*570 (LeafLogPort
    1565516626port (LogicalPort
    1565616627m 4
     
    1566416635uid 5968,0
    1566516636)
    15666 *539 (LeafLogPort
     16637*571 (LeafLogPort
    1566716638port (LogicalPort
    1566816639m 4
     
    1567716648uid 6022,0
    1567816649)
    15679 *540 (LeafLogPort
     16650*572 (LeafLogPort
    1568016651port (LogicalPort
    1568116652m 4
     
    1569016661uid 6024,0
    1569116662)
    15692 *541 (LeafLogPort
     16663*573 (LeafLogPort
    1569316664port (LogicalPort
    1569416665m 4
     
    1570216673uid 6026,0
    1570316674)
    15704 *542 (LeafLogPort
     16675*574 (LeafLogPort
    1570516676port (LogicalPort
    1570616677m 1
     
    1571516686uid 6172,0
    1571616687)
    15717 *543 (LeafLogPort
     16688*575 (LeafLogPort
    1571816689port (LogicalPort
    1571916690m 1
     
    1573016701uid 6374,0
    1573116702)
    15732 *544 (LeafLogPort
     16703*576 (LeafLogPort
    1573316704port (LogicalPort
    1573416705m 4
     
    1574316714uid 6464,0
    1574416715)
    15745 *545 (LeafLogPort
     16716*577 (LeafLogPort
    1574616717port (LogicalPort
    1574716718m 4
     
    1575616727uid 6554,0
    1575716728)
    15758 *546 (LeafLogPort
     16729*578 (LeafLogPort
    1575916730port (LogicalPort
    1576016731lang 2
     
    1576816739)
    1576916740uid 8420,0
     16741)
     16742*579 (LeafLogPort
     16743port (LogicalPort
     16744m 4
     16745decl (Decl
     16746n "drs_address"
     16747t "std_logic_vector"
     16748b "(3 DOWNTO 0)"
     16749o 82
     16750suid 184,0
     16751i "(others => '0')"
     16752)
     16753)
     16754uid 8609,0
     16755)
     16756*580 (LeafLogPort
     16757port (LogicalPort
     16758m 4
     16759decl (Decl
     16760n "drs_address_mode"
     16761t "std_logic"
     16762o 83
     16763suid 185,0
     16764)
     16765)
     16766uid 8611,0
     16767)
     16768*581 (LeafLogPort
     16769port (LogicalPort
     16770m 4
     16771decl (Decl
     16772n "drs_channel_internal"
     16773t "std_logic_vector"
     16774b "(3 DOWNTO 0)"
     16775o 84
     16776suid 187,0
     16777i "(others => '0')"
     16778)
     16779)
     16780uid 8613,0
    1577016781)
    1577116782]
     
    1577616787uid 67,0
    1577716788optionalChildren [
    15778 *547 (Sheet
     16789*582 (Sheet
    1577916790sheetRow (SheetRow
    1578016791headerVa (MVa
     
    1579316804font "Tahoma,10,0"
    1579416805)
    15795 emptyMRCItem *548 (MRCItem
    15796 litem &453
    15797 pos 81
     16806emptyMRCItem *583 (MRCItem
     16807litem &485
     16808pos 84
    1579816809dimension 20
    1579916810)
    1580016811uid 69,0
    1580116812optionalChildren [
    15802 *549 (MRCItem
    15803 litem &454
     16813*584 (MRCItem
     16814litem &486
    1580416815pos 0
    1580516816dimension 20
    1580616817uid 70,0
    1580716818)
    15808 *550 (MRCItem
    15809 litem &455
     16819*585 (MRCItem
     16820litem &487
    1581016821pos 1
    1581116822dimension 23
    1581216823uid 71,0
    1581316824)
    15814 *551 (MRCItem
    15815 litem &456
     16825*586 (MRCItem
     16826litem &488
    1581616827pos 2
    1581716828hidden 1
     
    1581916830uid 72,0
    1582016831)
    15821 *552 (MRCItem
    15822 litem &466
     16832*587 (MRCItem
     16833litem &498
    1582316834pos 31
    1582416835dimension 20
    1582516836uid 517,0
    1582616837)
    15827 *553 (MRCItem
    15828 litem &467
     16838*588 (MRCItem
     16839litem &499
    1582916840pos 32
    1583016841dimension 20
    1583116842uid 519,0
    1583216843)
    15833 *554 (MRCItem
    15834 litem &468
     16844*589 (MRCItem
     16845litem &500
    1583516846pos 33
    1583616847dimension 20
    1583716848uid 521,0
    1583816849)
    15839 *555 (MRCItem
    15840 litem &469
     16850*590 (MRCItem
     16851litem &501
    1584116852pos 34
    1584216853dimension 20
    1584316854uid 531,0
    1584416855)
    15845 *556 (MRCItem
    15846 litem &470
     16856*591 (MRCItem
     16857litem &502
    1584716858pos 35
    1584816859dimension 20
    1584916860uid 533,0
    1585016861)
    15851 *557 (MRCItem
    15852 litem &471
     16862*592 (MRCItem
     16863litem &503
    1585316864pos 0
    1585416865dimension 20
    1585516866uid 535,0
    1585616867)
    15857 *558 (MRCItem
    15858 litem &472
     16868*593 (MRCItem
     16869litem &504
    1585916870pos 1
    1586016871dimension 20
    1586116872uid 537,0
    1586216873)
    15863 *559 (MRCItem
    15864 litem &473
     16874*594 (MRCItem
     16875litem &505
    1586516876pos 2
    1586616877dimension 20
    1586716878uid 539,0
    1586816879)
    15869 *560 (MRCItem
    15870 litem &474
     16880*595 (MRCItem
     16881litem &506
    1587116882pos 3
    1587216883dimension 20
    1587316884uid 541,0
    1587416885)
    15875 *561 (MRCItem
    15876 litem &475
     16886*596 (MRCItem
     16887litem &507
    1587716888pos 4
    1587816889dimension 20
    1587916890uid 543,0
    1588016891)
    15881 *562 (MRCItem
    15882 litem &476
     16892*597 (MRCItem
     16893litem &508
    1588316894pos 5
    1588416895dimension 20
    1588516896uid 547,0
    1588616897)
    15887 *563 (MRCItem
    15888 litem &477
     16898*598 (MRCItem
     16899litem &509
    1588916900pos 6
    1589016901dimension 20
    1589116902uid 549,0
    1589216903)
    15893 *564 (MRCItem
    15894 litem &478
     16904*599 (MRCItem
     16905litem &510
    1589516906pos 8
    1589616907dimension 20
    1589716908uid 1456,0
    1589816909)
    15899 *565 (MRCItem
    15900 litem &479
     16910*600 (MRCItem
     16911litem &511
    1590116912pos 7
    1590216913dimension 20
    1590316914uid 1458,0
    1590416915)
    15905 *566 (MRCItem
    15906 litem &480
     16916*601 (MRCItem
     16917litem &512
    1590716918pos 9
    1590816919dimension 20
    1590916920uid 1695,0
    1591016921)
    15911 *567 (MRCItem
    15912 litem &481
     16922*602 (MRCItem
     16923litem &513
    1591316924pos 36
    1591416925dimension 20
    1591516926uid 1994,0
    1591616927)
    15917 *568 (MRCItem
    15918 litem &482
     16928*603 (MRCItem
     16929litem &514
    1591916930pos 37
    1592016931dimension 20
    1592116932uid 2306,0
    1592216933)
    15923 *569 (MRCItem
    15924 litem &483
     16934*604 (MRCItem
     16935litem &515
    1592516936pos 38
    1592616937dimension 20
    1592716938uid 2511,0
    1592816939)
    15929 *570 (MRCItem
    15930 litem &484
     16940*605 (MRCItem
     16941litem &516
    1593116942pos 39
    1593216943dimension 20
    1593316944uid 2513,0
    1593416945)
    15935 *571 (MRCItem
    15936 litem &485
     16946*606 (MRCItem
     16947litem &517
    1593716948pos 40
    1593816949dimension 20
    1593916950uid 2515,0
    1594016951)
    15941 *572 (MRCItem
    15942 litem &486
     16952*607 (MRCItem
     16953litem &518
    1594316954pos 41
    1594416955dimension 20
    1594516956uid 2517,0
    1594616957)
    15947 *573 (MRCItem
    15948 litem &487
     16958*608 (MRCItem
     16959litem &519
    1594916960pos 42
    1595016961dimension 20
    1595116962uid 2519,0
    1595216963)
    15953 *574 (MRCItem
    15954 litem &488
     16964*609 (MRCItem
     16965litem &520
    1595516966pos 43
    1595616967dimension 20
    1595716968uid 2521,0
    1595816969)
    15959 *575 (MRCItem
    15960 litem &489
     16970*610 (MRCItem
     16971litem &521
    1596116972pos 44
    1596216973dimension 20
    1596316974uid 2523,0
    1596416975)
    15965 *576 (MRCItem
    15966 litem &490
     16976*611 (MRCItem
     16977litem &522
    1596716978pos 45
    1596816979dimension 20
    1596916980uid 2605,0
    1597016981)
    15971 *577 (MRCItem
    15972 litem &491
     16982*612 (MRCItem
     16983litem &523
    1597316984pos 46
    1597416985dimension 20
    1597516986uid 2607,0
    1597616987)
    15977 *578 (MRCItem
    15978 litem &492
     16988*613 (MRCItem
     16989litem &524
    1597916990pos 47
    1598016991dimension 20
    1598116992uid 2609,0
    1598216993)
    15983 *579 (MRCItem
    15984 litem &493
     16994*614 (MRCItem
     16995litem &525
    1598516996pos 48
    1598616997dimension 20
    1598716998uid 2611,0
    1598816999)
    15989 *580 (MRCItem
    15990 litem &494
     17000*615 (MRCItem
     17001litem &526
    1599117002pos 49
    1599217003dimension 20
    1599317004uid 2613,0
    1599417005)
    15995 *581 (MRCItem
    15996 litem &495
     17006*616 (MRCItem
     17007litem &527
    1599717008pos 50
    1599817009dimension 20
    1599917010uid 2647,0
    1600017011)
    16001 *582 (MRCItem
    16002 litem &496
     17012*617 (MRCItem
     17013litem &528
    1600317014pos 10
    1600417015dimension 20
    1600517016uid 2813,0
    1600617017)
    16007 *583 (MRCItem
    16008 litem &497
     17018*618 (MRCItem
     17019litem &529
    1600917020pos 51
    1601017021dimension 20
    1601117022uid 2963,0
    1601217023)
    16013 *584 (MRCItem
    16014 litem &498
     17024*619 (MRCItem
     17025litem &530
    1601517026pos 11
    1601617027dimension 20
    1601717028uid 3903,0
    1601817029)
    16019 *585 (MRCItem
    16020 litem &499
     17030*620 (MRCItem
     17031litem &531
    1602117032pos 12
    1602217033dimension 20
    1602317034uid 4071,0
    1602417035)
    16025 *586 (MRCItem
    16026 litem &500
     17036*621 (MRCItem
     17037litem &532
    1602717038pos 52
    1602817039dimension 20
    1602917040uid 4213,0
    1603017041)
    16031 *587 (MRCItem
    16032 litem &501
     17042*622 (MRCItem
     17043litem &533
    1603317044pos 13
    1603417045dimension 20
    1603517046uid 4235,0
    1603617047)
    16037 *588 (MRCItem
    16038 litem &502
     17048*623 (MRCItem
     17049litem &534
    1603917050pos 14
    1604017051dimension 20
    1604117052uid 4263,0
    1604217053)
    16043 *589 (MRCItem
    16044 litem &503
     17054*624 (MRCItem
     17055litem &535
    1604517056pos 15
    1604617057dimension 20
    1604717058uid 4277,0
    1604817059)
    16049 *590 (MRCItem
    16050 litem &504
     17060*625 (MRCItem
     17061litem &536
    1605117062pos 53
    1605217063dimension 20
    1605317064uid 4564,0
    1605417065)
    16055 *591 (MRCItem
    16056 litem &505
     17066*626 (MRCItem
     17067litem &537
    1605717068pos 54
    1605817069dimension 20
    1605917070uid 4566,0
    1606017071)
    16061 *592 (MRCItem
    16062 litem &506
     17072*627 (MRCItem
     17073litem &538
    1606317074pos 55
    1606417075dimension 20
    1606517076uid 4570,0
    1606617077)
    16067 *593 (MRCItem
    16068 litem &507
     17078*628 (MRCItem
     17079litem &539
    1606917080pos 16
    1607017081dimension 20
    1607117082uid 4586,0
    1607217083)
    16073 *594 (MRCItem
    16074 litem &508
     17084*629 (MRCItem
     17085litem &540
    1607517086pos 17
    1607617087dimension 20
    1607717088uid 4588,0
    1607817089)
    16079 *595 (MRCItem
    16080 litem &509
     17090*630 (MRCItem
     17091litem &541
    1608117092pos 18
    1608217093dimension 20
    1608317094uid 4734,0
    1608417095)
    16085 *596 (MRCItem
    16086 litem &510
     17096*631 (MRCItem
     17097litem &542
    1608717098pos 19
    1608817099dimension 20
    1608917100uid 4736,0
    1609017101)
    16091 *597 (MRCItem
    16092 litem &511
     17102*632 (MRCItem
     17103litem &543
    1609317104pos 20
    1609417105dimension 20
    1609517106uid 4738,0
    1609617107)
    16097 *598 (MRCItem
    16098 litem &512
     17108*633 (MRCItem
     17109litem &544
    1609917110pos 21
    1610017111dimension 20
    1610117112uid 4740,0
    1610217113)
    16103 *599 (MRCItem
    16104 litem &513
     17114*634 (MRCItem
     17115litem &545
    1610517116pos 56
    1610617117dimension 20
    1610717118uid 4750,0
    1610817119)
    16109 *600 (MRCItem
    16110 litem &514
     17120*635 (MRCItem
     17121litem &546
    1611117122pos 22
    1611217123dimension 20
    1611317124uid 4975,0
    1611417125)
    16115 *601 (MRCItem
    16116 litem &515
     17126*636 (MRCItem
     17127litem &547
    1611717128pos 23
    1611817129dimension 20
    1611917130uid 4977,0
    1612017131)
    16121 *602 (MRCItem
    16122 litem &516
     17132*637 (MRCItem
     17133litem &548
    1612317134pos 57
    1612417135dimension 20
    1612517136uid 5199,0
    1612617137)
    16127 *603 (MRCItem
    16128 litem &517
     17138*638 (MRCItem
     17139litem &549
    1612917140pos 58
    1613017141dimension 20
    1613117142uid 5201,0
    1613217143)
    16133 *604 (MRCItem
    16134 litem &518
     17144*639 (MRCItem
     17145litem &550
    1613517146pos 59
    1613617147dimension 20
    1613717148uid 5203,0
    1613817149)
    16139 *605 (MRCItem
    16140 litem &519
     17150*640 (MRCItem
     17151litem &551
    1614117152pos 60
    1614217153dimension 20
    1614317154uid 5205,0
    1614417155)
    16145 *606 (MRCItem
    16146 litem &520
     17156*641 (MRCItem
     17157litem &552
    1614717158pos 61
    1614817159dimension 20
    1614917160uid 5207,0
    1615017161)
    16151 *607 (MRCItem
    16152 litem &521
     17162*642 (MRCItem
     17163litem &553
    1615317164pos 62
    1615417165dimension 20
    1615517166uid 5209,0
    1615617167)
    16157 *608 (MRCItem
    16158 litem &522
     17168*643 (MRCItem
     17169litem &554
    1615917170pos 63
    1616017171dimension 20
    1616117172uid 5211,0
    1616217173)
    16163 *609 (MRCItem
    16164 litem &523
     17174*644 (MRCItem
     17175litem &555
    1616517176pos 64
    1616617177dimension 20
    1616717178uid 5213,0
    1616817179)
    16169 *610 (MRCItem
    16170 litem &524
     17180*645 (MRCItem
     17181litem &556
    1617117182pos 65
    1617217183dimension 20
    1617317184uid 5215,0
    1617417185)
    16175 *611 (MRCItem
    16176 litem &525
     17186*646 (MRCItem
     17187litem &557
    1617717188pos 24
    1617817189dimension 20
    1617917190uid 5227,0
    1618017191)
    16181 *612 (MRCItem
    16182 litem &526
     17192*647 (MRCItem
     17193litem &558
    1618317194pos 66
    1618417195dimension 20
    1618517196uid 5286,0
    1618617197)
    16187 *613 (MRCItem
    16188 litem &527
     17198*648 (MRCItem
     17199litem &559
    1618917200pos 67
    1619017201dimension 20
    1619117202uid 5503,0
    1619217203)
    16193 *614 (MRCItem
    16194 litem &528
     17204*649 (MRCItem
     17205litem &560
    1619517206pos 68
    1619617207dimension 20
    1619717208uid 5505,0
    1619817209)
    16199 *615 (MRCItem
    16200 litem &529
     17210*650 (MRCItem
     17211litem &561
    1620117212pos 69
    1620217213dimension 20
    1620317214uid 5601,0
    1620417215)
    16205 *616 (MRCItem
    16206 litem &530
     17216*651 (MRCItem
     17217litem &562
    1620717218pos 70
    1620817219dimension 20
    1620917220uid 5643,0
    1621017221)
    16211 *617 (MRCItem
    16212 litem &531
     17222*652 (MRCItem
     17223litem &563
    1621317224pos 71
    1621417225dimension 20
    1621517226uid 5645,0
    1621617227)
    16217 *618 (MRCItem
    16218 litem &532
     17228*653 (MRCItem
     17229litem &564
    1621917230pos 72
    1622017231dimension 20
    1622117232uid 5752,0
    1622217233)
    16223 *619 (MRCItem
    16224 litem &533
     17234*654 (MRCItem
     17235litem &565
    1622517236pos 25
    1622617237dimension 20
    1622717238uid 5868,0
    1622817239)
    16229 *620 (MRCItem
    16230 litem &534
     17240*655 (MRCItem
     17241litem &566
    1623117242pos 26
    1623217243dimension 20
    1623317244uid 5870,0
    1623417245)
    16235 *621 (MRCItem
    16236 litem &535
     17246*656 (MRCItem
     17247litem &567
    1623717248pos 27
    1623817249dimension 20
    1623917250uid 5872,0
    1624017251)
    16241 *622 (MRCItem
    16242 litem &536
     17252*657 (MRCItem
     17253litem &568
    1624317254pos 28
    1624417255dimension 20
    1624517256uid 5874,0
    1624617257)
    16247 *623 (MRCItem
    16248 litem &537
     17258*658 (MRCItem
     17259litem &569
    1624917260pos 73
    1625017261dimension 20
    1625117262uid 5967,0
    1625217263)
    16253 *624 (MRCItem
    16254 litem &538
     17264*659 (MRCItem
     17265litem &570
    1625517266pos 74
    1625617267dimension 20
    1625717268uid 5969,0
    1625817269)
    16259 *625 (MRCItem
    16260 litem &539
     17270*660 (MRCItem
     17271litem &571
    1626117272pos 75
    1626217273dimension 20
    1626317274uid 6023,0
    1626417275)
    16265 *626 (MRCItem
    16266 litem &540
     17276*661 (MRCItem
     17277litem &572
    1626717278pos 76
    1626817279dimension 20
    1626917280uid 6025,0
    1627017281)
    16271 *627 (MRCItem
    16272 litem &541
     17282*662 (MRCItem
     17283litem &573
    1627317284pos 77
    1627417285dimension 20
    1627517286uid 6027,0
    1627617287)
    16277 *628 (MRCItem
    16278 litem &542
     17288*663 (MRCItem
     17289litem &574
    1627917290pos 29
    1628017291dimension 20
    1628117292uid 6173,0
    1628217293)
    16283 *629 (MRCItem
    16284 litem &543
     17294*664 (MRCItem
     17295litem &575
    1628517296pos 30
    1628617297dimension 20
    1628717298uid 6375,0
    1628817299)
    16289 *630 (MRCItem
    16290 litem &544
     17300*665 (MRCItem
     17301litem &576
    1629117302pos 78
    1629217303dimension 20
    1629317304uid 6465,0
    1629417305)
    16295 *631 (MRCItem
    16296 litem &545
     17306*666 (MRCItem
     17307litem &577
    1629717308pos 79
    1629817309dimension 20
    1629917310uid 6555,0
    1630017311)
    16301 *632 (MRCItem
    16302 litem &546
     17312*667 (MRCItem
     17313litem &578
    1630317314pos 80
    1630417315dimension 20
    1630517316uid 8421,0
     17317)
     17318*668 (MRCItem
     17319litem &579
     17320pos 81
     17321dimension 20
     17322uid 8610,0
     17323)
     17324*669 (MRCItem
     17325litem &580
     17326pos 82
     17327dimension 20
     17328uid 8612,0
     17329)
     17330*670 (MRCItem
     17331litem &581
     17332pos 83
     17333dimension 20
     17334uid 8614,0
    1630617335)
    1630717336]
     
    1631617345uid 73,0
    1631717346optionalChildren [
    16318 *633 (MRCItem
    16319 litem &457
     17347*671 (MRCItem
     17348litem &489
    1632017349pos 0
    1632117350dimension 20
    1632217351uid 74,0
    1632317352)
    16324 *634 (MRCItem
    16325 litem &459
     17353*672 (MRCItem
     17354litem &491
    1632617355pos 1
    1632717356dimension 50
    1632817357uid 75,0
    1632917358)
    16330 *635 (MRCItem
    16331 litem &460
     17359*673 (MRCItem
     17360litem &492
    1633217361pos 2
    1633317362dimension 100
    1633417363uid 76,0
    1633517364)
    16336 *636 (MRCItem
    16337 litem &461
     17365*674 (MRCItem
     17366litem &493
    1633817367pos 3
    1633917368dimension 50
    1634017369uid 77,0
    1634117370)
    16342 *637 (MRCItem
    16343 litem &462
     17371*675 (MRCItem
     17372litem &494
    1634417373pos 4
    1634517374dimension 100
    1634617375uid 78,0
    1634717376)
    16348 *638 (MRCItem
    16349 litem &463
     17377*676 (MRCItem
     17378litem &495
    1635017379pos 5
    1635117380dimension 100
    1635217381uid 79,0
    1635317382)
    16354 *639 (MRCItem
    16355 litem &464
     17383*677 (MRCItem
     17384litem &496
    1635617385pos 6
    1635717386dimension 50
    1635817387uid 80,0
    1635917388)
    16360 *640 (MRCItem
    16361 litem &465
     17389*678 (MRCItem
     17390litem &497
    1636217391pos 7
    1636317392dimension 80
     
    1637917408genericsCommonDM (CommonDM
    1638017409ldm (LogicalDM
    16381 emptyRow *641 (LEmptyRow
     17410emptyRow *679 (LEmptyRow
    1638217411)
    1638317412uid 83,0
    1638417413optionalChildren [
    16385 *642 (RefLabelRowHdr
    16386 )
    16387 *643 (TitleRowHdr
    16388 )
    16389 *644 (FilterRowHdr
    16390 )
    16391 *645 (RefLabelColHdr
     17414*680 (RefLabelRowHdr
     17415)
     17416*681 (TitleRowHdr
     17417)
     17418*682 (FilterRowHdr
     17419)
     17420*683 (RefLabelColHdr
    1639217421tm "RefLabelColHdrMgr"
    1639317422)
    16394 *646 (RowExpandColHdr
     17423*684 (RowExpandColHdr
    1639517424tm "RowExpandColHdrMgr"
    1639617425)
    16397 *647 (GroupColHdr
     17426*685 (GroupColHdr
    1639817427tm "GroupColHdrMgr"
    1639917428)
    16400 *648 (NameColHdr
     17429*686 (NameColHdr
    1640117430tm "GenericNameColHdrMgr"
    1640217431)
    16403 *649 (TypeColHdr
     17432*687 (TypeColHdr
    1640417433tm "GenericTypeColHdrMgr"
    1640517434)
    16406 *650 (InitColHdr
     17435*688 (InitColHdr
    1640717436tm "GenericValueColHdrMgr"
    1640817437)
    16409 *651 (PragmaColHdr
     17438*689 (PragmaColHdr
    1641017439tm "GenericPragmaColHdrMgr"
    1641117440)
    16412 *652 (EolColHdr
     17441*690 (EolColHdr
    1641317442tm "GenericEolColHdrMgr"
    1641417443)
    16415 *653 (LogGeneric
     17444*691 (LogGeneric
    1641617445generic (GiElement
    1641717446name "RAMADDRWIDTH64b"
     
    1642817457uid 95,0
    1642917458optionalChildren [
    16430 *654 (Sheet
     17459*692 (Sheet
    1643117460sheetRow (SheetRow
    1643217461headerVa (MVa
     
    1644517474font "Tahoma,10,0"
    1644617475)
    16447 emptyMRCItem *655 (MRCItem
    16448 litem &641
     17476emptyMRCItem *693 (MRCItem
     17477litem &679
    1644917478pos 1
    1645017479dimension 20
     
    1645217481uid 97,0
    1645317482optionalChildren [
    16454 *656 (MRCItem
    16455 litem &642
     17483*694 (MRCItem
     17484litem &680
    1645617485pos 0
    1645717486dimension 20
    1645817487uid 98,0
    1645917488)
    16460 *657 (MRCItem
    16461 litem &643
     17489*695 (MRCItem
     17490litem &681
    1646217491pos 1
    1646317492dimension 23
    1646417493uid 99,0
    1646517494)
    16466 *658 (MRCItem
    16467 litem &644
     17495*696 (MRCItem
     17496litem &682
    1646817497pos 2
    1646917498hidden 1
     
    1647117500uid 100,0
    1647217501)
    16473 *659 (MRCItem
    16474 litem &653
     17502*697 (MRCItem
     17503litem &691
    1647517504pos 0
    1647617505dimension 20
     
    1648817517uid 101,0
    1648917518optionalChildren [
    16490 *660 (MRCItem
    16491 litem &645
     17519*698 (MRCItem
     17520litem &683
    1649217521pos 0
    1649317522dimension 20
    1649417523uid 102,0
    1649517524)
    16496 *661 (MRCItem
    16497 litem &647
     17525*699 (MRCItem
     17526litem &685
    1649817527pos 1
    1649917528dimension 50
    1650017529uid 103,0
    1650117530)
    16502 *662 (MRCItem
    16503 litem &648
     17531*700 (MRCItem
     17532litem &686
    1650417533pos 2
    1650517534dimension 186
    1650617535uid 104,0
    1650717536)
    16508 *663 (MRCItem
    16509 litem &649
     17537*701 (MRCItem
     17538litem &687
    1651017539pos 3
    1651117540dimension 96
    1651217541uid 105,0
    1651317542)
    16514 *664 (MRCItem
    16515 litem &650
     17543*702 (MRCItem
     17544litem &688
    1651617545pos 4
    1651717546dimension 50
    1651817547uid 106,0
    1651917548)
    16520 *665 (MRCItem
    16521 litem &651
     17549*703 (MRCItem
     17550litem &689
    1652217551pos 5
    1652317552dimension 50
    1652417553uid 107,0
    1652517554)
    16526 *666 (MRCItem
    16527 litem &652
     17555*704 (MRCItem
     17556litem &690
    1652817557pos 6
    1652917558dimension 80
Note: See TracChangeset for help on using the changeset viewer.