Ignore:
Timestamp:
07/22/10 10:33:08 (14 years ago)
Author:
dneise
Message:
possible to switch off SPI SCLK now.
see new revision of simple_daq in tools/FAD/
File:
1 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/w5300_modul/symbol.sb

    r246 r260  
    3535ldm (LogicalDM
    3636ordering 1
    37 suid 34,0
     37suid 35,0
    3838usingSuid 1
    3939emptyRow *1 (LEmptyRow
     
    469469uid 890,0
    470470)
     471*43 (LogPort
     472port (LogicalPort
     473m 1
     474decl (Decl
     475n "sclk_enable"
     476t "std_logic"
     477eolc "-- default DWRITE HIGH."
     478posAdd 0
     479o 30
     480suid 35,0
     481i "'1'"
     482)
     483)
     484uid 922,0
     485)
    471486]
    472487)
     
    476491uid 188,0
    477492optionalChildren [
    478 *43 (Sheet
     493*44 (Sheet
    479494sheetRow (SheetRow
    480495headerVa (MVa
     
    493508font "Tahoma,10,0"
    494509)
    495 emptyMRCItem *44 (MRCItem
     510emptyMRCItem *45 (MRCItem
    496511litem &1
    497512pos 3
     
    500515uid 190,0
    501516optionalChildren [
    502 *45 (MRCItem
     517*46 (MRCItem
    503518litem &2
    504519pos 0
     
    506521uid 191,0
    507522)
    508 *46 (MRCItem
     523*47 (MRCItem
    509524litem &3
    510525pos 1
     
    512527uid 192,0
    513528)
    514 *47 (MRCItem
     529*48 (MRCItem
    515530litem &4
    516531pos 2
     
    519534uid 193,0
    520535)
    521 *48 (MRCItem
     536*49 (MRCItem
    522537litem &14
    523538pos 0
     
    525540uid 137,0
    526541)
    527 *49 (MRCItem
     542*50 (MRCItem
    528543litem &15
    529544pos 1
     
    531546uid 139,0
    532547)
    533 *50 (MRCItem
     548*51 (MRCItem
    534549litem &16
    535550pos 2
     
    537552uid 141,0
    538553)
    539 *51 (MRCItem
     554*52 (MRCItem
    540555litem &17
    541556pos 3
     
    543558uid 143,0
    544559)
    545 *52 (MRCItem
     560*53 (MRCItem
    546561litem &18
    547562pos 4
     
    549564uid 145,0
    550565)
    551 *53 (MRCItem
     566*54 (MRCItem
    552567litem &19
    553568pos 5
     
    555570uid 147,0
    556571)
    557 *54 (MRCItem
     572*55 (MRCItem
    558573litem &20
    559574pos 6
     
    561576uid 151,0
    562577)
    563 *55 (MRCItem
     578*56 (MRCItem
    564579litem &21
    565580pos 7
     
    567582uid 153,0
    568583)
    569 *56 (MRCItem
     584*57 (MRCItem
    570585litem &22
    571586pos 8
     
    573588uid 155,0
    574589)
    575 *57 (MRCItem
     590*58 (MRCItem
    576591litem &23
    577592pos 9
     
    579594uid 157,0
    580595)
    581 *58 (MRCItem
     596*59 (MRCItem
    582597litem &24
    583598pos 10
     
    585600uid 159,0
    586601)
    587 *59 (MRCItem
     602*60 (MRCItem
    588603litem &25
    589604pos 11
     
    591606uid 161,0
    592607)
    593 *60 (MRCItem
     608*61 (MRCItem
    594609litem &26
    595610pos 12
     
    597612uid 163,0
    598613)
    599 *61 (MRCItem
     614*62 (MRCItem
    600615litem &27
    601616pos 13
     
    603618uid 165,0
    604619)
    605 *62 (MRCItem
     620*63 (MRCItem
    606621litem &28
    607622pos 14
     
    609624uid 359,0
    610625)
    611 *63 (MRCItem
     626*64 (MRCItem
    612627litem &29
    613628pos 15
     
    615630uid 361,0
    616631)
    617 *64 (MRCItem
     632*65 (MRCItem
    618633litem &30
    619634pos 16
     
    621636uid 391,0
    622637)
    623 *65 (MRCItem
     638*66 (MRCItem
    624639litem &31
    625640pos 17
     
    627642uid 497,0
    628643)
    629 *66 (MRCItem
     644*67 (MRCItem
    630645litem &32
    631646pos 18
     
    633648uid 527,0
    634649)
    635 *67 (MRCItem
     650*68 (MRCItem
    636651litem &33
    637652pos 19
     
    639654uid 582,0
    640655)
    641 *68 (MRCItem
     656*69 (MRCItem
    642657litem &34
    643658pos 20
     
    645660uid 584,0
    646661)
    647 *69 (MRCItem
     662*70 (MRCItem
    648663litem &35
    649664pos 21
     
    651666uid 586,0
    652667)
    653 *70 (MRCItem
     668*71 (MRCItem
    654669litem &36
    655670pos 22
     
    657672uid 588,0
    658673)
    659 *71 (MRCItem
     674*72 (MRCItem
    660675litem &37
    661676pos 23
     
    663678uid 590,0
    664679)
    665 *72 (MRCItem
     680*73 (MRCItem
    666681litem &38
    667682pos 24
     
    669684uid 592,0
    670685)
    671 *73 (MRCItem
     686*74 (MRCItem
    672687litem &39
    673688pos 25
     
    675690uid 646,0
    676691)
    677 *74 (MRCItem
     692*75 (MRCItem
    678693litem &40
    679694pos 26
     
    681696uid 676,0
    682697)
    683 *75 (MRCItem
     698*76 (MRCItem
    684699litem &41
    685700pos 27
     
    687702uid 729,0
    688703)
    689 *76 (MRCItem
     704*77 (MRCItem
    690705litem &42
    691706pos 28
    692707dimension 20
    693708uid 891,0
     709)
     710*78 (MRCItem
     711litem &43
     712pos 29
     713dimension 20
     714uid 923,0
    694715)
    695716]
     
    704725uid 194,0
    705726optionalChildren [
    706 *77 (MRCItem
     727*79 (MRCItem
    707728litem &5
    708729pos 0
     
    710731uid 195,0
    711732)
    712 *78 (MRCItem
     733*80 (MRCItem
    713734litem &7
    714735pos 1
     
    716737uid 196,0
    717738)
    718 *79 (MRCItem
     739*81 (MRCItem
    719740litem &8
    720741pos 2
     
    722743uid 197,0
    723744)
    724 *80 (MRCItem
     745*82 (MRCItem
    725746litem &9
    726747pos 3
     
    728749uid 198,0
    729750)
    730 *81 (MRCItem
     751*83 (MRCItem
    731752litem &10
    732753pos 4
     
    734755uid 199,0
    735756)
    736 *82 (MRCItem
     757*84 (MRCItem
    737758litem &11
    738759pos 5
     
    740761uid 200,0
    741762)
    742 *83 (MRCItem
     763*85 (MRCItem
    743764litem &12
    744765pos 6
     
    746767uid 201,0
    747768)
    748 *84 (MRCItem
     769*86 (MRCItem
    749770litem &13
    750771pos 7
     
    767788genericsCommonDM (CommonDM
    768789ldm (LogicalDM
    769 emptyRow *85 (LEmptyRow
     790emptyRow *87 (LEmptyRow
    770791)
    771792uid 204,0
    772793optionalChildren [
    773 *86 (RefLabelRowHdr
    774 )
    775 *87 (TitleRowHdr
    776 )
    777 *88 (FilterRowHdr
    778 )
    779 *89 (RefLabelColHdr
     794*88 (RefLabelRowHdr
     795)
     796*89 (TitleRowHdr
     797)
     798*90 (FilterRowHdr
     799)
     800*91 (RefLabelColHdr
    780801tm "RefLabelColHdrMgr"
    781802)
    782 *90 (RowExpandColHdr
     803*92 (RowExpandColHdr
    783804tm "RowExpandColHdrMgr"
    784805)
    785 *91 (GroupColHdr
     806*93 (GroupColHdr
    786807tm "GroupColHdrMgr"
    787808)
    788 *92 (NameColHdr
     809*94 (NameColHdr
    789810tm "GenericNameColHdrMgr"
    790811)
    791 *93 (TypeColHdr
     812*95 (TypeColHdr
    792813tm "GenericTypeColHdrMgr"
    793814)
    794 *94 (InitColHdr
     815*96 (InitColHdr
    795816tm "GenericValueColHdrMgr"
    796817)
    797 *95 (PragmaColHdr
     818*97 (PragmaColHdr
    798819tm "GenericPragmaColHdrMgr"
    799820)
    800 *96 (EolColHdr
     821*98 (EolColHdr
    801822tm "GenericEolColHdrMgr"
    802823)
    803 *97 (LogGeneric
     824*99 (LogGeneric
    804825generic (GiElement
    805826name "RAM_ADDR_WIDTH"
     
    807828value "14"
    808829)
    809 uid 892,0
     830uid 924,0
    810831)
    811832]
     
    816837uid 216,0
    817838optionalChildren [
    818 *98 (Sheet
     839*100 (Sheet
    819840sheetRow (SheetRow
    820841headerVa (MVa
     
    833854font "Tahoma,10,0"
    834855)
    835 emptyMRCItem *99 (MRCItem
    836 litem &85
     856emptyMRCItem *101 (MRCItem
     857litem &87
    837858pos 3
    838859dimension 20
     
    840861uid 218,0
    841862optionalChildren [
    842 *100 (MRCItem
    843 litem &86
     863*102 (MRCItem
     864litem &88
    844865pos 0
    845866dimension 20
    846867uid 219,0
    847868)
    848 *101 (MRCItem
    849 litem &87
     869*103 (MRCItem
     870litem &89
    850871pos 1
    851872dimension 23
    852873uid 220,0
    853874)
    854 *102 (MRCItem
    855 litem &88
     875*104 (MRCItem
     876litem &90
    856877pos 2
    857878hidden 1
     
    859880uid 221,0
    860881)
    861 *103 (MRCItem
    862 litem &97
     882*105 (MRCItem
     883litem &99
    863884pos 0
    864885dimension 20
    865 uid 893,0
     886uid 925,0
    866887)
    867888]
     
    876897uid 222,0
    877898optionalChildren [
    878 *104 (MRCItem
    879 litem &89
     899*106 (MRCItem
     900litem &91
    880901pos 0
    881902dimension 20
    882903uid 223,0
    883904)
    884 *105 (MRCItem
    885 litem &91
     905*107 (MRCItem
     906litem &93
    886907pos 1
    887908dimension 50
    888909uid 224,0
    889910)
    890 *106 (MRCItem
    891 litem &92
     911*108 (MRCItem
     912litem &94
    892913pos 2
    893914dimension 100
    894915uid 225,0
    895916)
    896 *107 (MRCItem
    897 litem &93
     917*109 (MRCItem
     918litem &95
    898919pos 3
    899920dimension 100
    900921uid 226,0
    901922)
    902 *108 (MRCItem
    903 litem &94
     923*110 (MRCItem
     924litem &96
    904925pos 4
    905926dimension 50
    906927uid 227,0
    907928)
    908 *109 (MRCItem
    909 litem &95
     929*111 (MRCItem
     930litem &97
    910931pos 5
    911932dimension 50
    912933uid 228,0
    913934)
    914 *110 (MRCItem
    915 litem &96
     935*112 (MRCItem
     936litem &98
    916937pos 6
    917938dimension 80
     
    936957(vvPair
    937958variable "HDLDir"
    938 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hdl"
     959value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    939960)
    940961(vvPair
    941962variable "HDSDir"
    942 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
     963value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    943964)
    944965(vvPair
    945966variable "SideDataDesignDir"
    946 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.info"
     967value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.info"
    947968)
    948969(vvPair
    949970variable "SideDataUserDir"
    950 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.user"
     971value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.user"
    951972)
    952973(vvPair
    953974variable "SourceDir"
    954 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
     975value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    955976)
    956977(vvPair
     
    968989(vvPair
    969990variable "d"
    970 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul"
     991value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul"
    971992)
    972993(vvPair
    973994variable "d_logical"
    974 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul"
     995value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul"
    975996)
    976997(vvPair
    977998variable "date"
    978 value "02.07.2010"
     999value "21.07.2010"
    9791000)
    9801001(vvPair
    9811002variable "day"
    982 value "Fr"
     1003value "Mi"
    9831004)
    9841005(vvPair
    9851006variable "day_long"
    986 value "Freitag"
     1007value "Mittwoch"
    9871008)
    9881009(vvPair
    9891010variable "dd"
    990 value "02"
     1011value "21"
    9911012)
    9921013(vvPair
     
    10161037(vvPair
    10171038variable "host"
    1018 value "TU-CC4900F8C7D2"
     1039value "E5B-LABOR6"
    10191040)
    10201041(vvPair
     
    10681089(vvPair
    10691090variable "p"
    1070 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
     1091value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
    10711092)
    10721093(vvPair
    10731094variable "p_logical"
    1074 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
     1095value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
    10751096)
    10761097(vvPair
     
    11281149(vvPair
    11291150variable "time"
    1130 value "10:38:02"
     1151value "11:26:29"
    11311152)
    11321153(vvPair
     
    11591180uid 173,0
    11601181optionalChildren [
    1161 *111 (SymbolBody
     1182*113 (SymbolBody
    11621183uid 8,0
    11631184optionalChildren [
    1164 *112 (CptPort
     1185*114 (CptPort
    11651186uid 48,0
    11661187ps "OnEdgeStrategy"
     
    12081229)
    12091230)
    1210 *113 (CptPort
     1231*115 (CptPort
    12111232uid 53,0
    12121233ps "OnEdgeStrategy"
     
    12571278)
    12581279)
    1259 *114 (CptPort
     1280*116 (CptPort
    12601281uid 58,0
    12611282ps "OnEdgeStrategy"
     
    13061327)
    13071328)
    1308 *115 (CptPort
     1329*117 (CptPort
    13091330uid 63,0
    13101331ps "OnEdgeStrategy"
     
    13551376)
    13561377)
    1357 *116 (CptPort
     1378*118 (CptPort
    13581379uid 68,0
    13591380ps "OnEdgeStrategy"
     
    14041425)
    14051426)
    1406 *117 (CptPort
     1427*119 (CptPort
    14071428uid 73,0
    14081429ps "OnEdgeStrategy"
     
    14531474)
    14541475)
    1455 *118 (CptPort
     1476*120 (CptPort
    14561477uid 83,0
    14571478ps "OnEdgeStrategy"
     
    15021523)
    15031524)
    1504 *119 (CptPort
     1525*121 (CptPort
    15051526uid 88,0
    15061527ps "OnEdgeStrategy"
     
    15481569)
    15491570)
    1550 *120 (CptPort
     1571*122 (CptPort
    15511572uid 93,0
    15521573ps "OnEdgeStrategy"
     
    15951616)
    15961617)
    1597 *121 (CptPort
     1618*123 (CptPort
    15981619uid 98,0
    15991620ps "OnEdgeStrategy"
     
    16421663)
    16431664)
    1644 *122 (CptPort
     1665*124 (CptPort
    16451666uid 103,0
    16461667ps "OnEdgeStrategy"
     
    16891710)
    16901711)
    1691 *123 (CptPort
     1712*125 (CptPort
    16921713uid 108,0
    16931714ps "OnEdgeStrategy"
     
    17381759)
    17391760)
    1740 *124 (CptPort
     1761*126 (CptPort
    17411762uid 113,0
    17421763ps "OnEdgeStrategy"
     
    17841805)
    17851806)
    1786 *125 (CptPort
     1807*127 (CptPort
    17871808uid 118,0
    17881809ps "OnEdgeStrategy"
     
    18331854)
    18341855)
    1835 *126 (CommentText
     1856*128 (CommentText
    18361857uid 299,0
    18371858ps "EdgeToEdgeStrategy"
     
    18671888excludeCommentLeader 1
    18681889)
    1869 *127 (CptPort
     1890*129 (CptPort
    18701891uid 348,0
    18711892ps "OnEdgeStrategy"
     
    19111932)
    19121933)
    1913 *128 (CptPort
     1934*130 (CptPort
    19141935uid 353,0
    19151936ps "OnEdgeStrategy"
     
    19551976)
    19561977)
    1957 *129 (CptPort
     1978*131 (CptPort
    19581979uid 385,0
    19591980ps "OnEdgeStrategy"
     
    20002021)
    20012022)
    2002 *130 (CptPort
     2023*132 (CptPort
    20032024uid 491,0
    20042025ps "OnEdgeStrategy"
     
    20492070)
    20502071)
    2051 *131 (CptPort
     2072*133 (CptPort
    20522073uid 521,0
    20532074ps "OnEdgeStrategy"
     
    20962117)
    20972118)
    2098 *132 (CptPort
     2119*134 (CptPort
    20992120uid 551,0
    21002121ps "OnEdgeStrategy"
     
    21432164)
    21442165)
    2145 *133 (CptPort
     2166*135 (CptPort
    21462167uid 556,0
    21472168ps "OnEdgeStrategy"
     
    21872208)
    21882209)
    2189 *134 (CptPort
     2210*136 (CptPort
    21902211uid 561,0
    21912212ps "OnEdgeStrategy"
     
    22352256)
    22362257)
    2237 *135 (CptPort
     2258*137 (CptPort
    22382259uid 566,0
    22392260ps "OnEdgeStrategy"
     
    22792300)
    22802301)
    2281 *136 (CptPort
     2302*138 (CptPort
    22822303uid 571,0
    22832304ps "OnEdgeStrategy"
     
    23262347)
    23272348)
    2328 *137 (CptPort
     2349*139 (CptPort
    23292350uid 576,0
    23302351ps "OnEdgeStrategy"
     
    23732394)
    23742395)
    2375 *138 (CptPort
     2396*140 (CptPort
    23762397uid 640,0
    23772398ps "OnEdgeStrategy"
     
    24202441)
    24212442)
    2422 *139 (CptPort
     2443*141 (CptPort
    24232444uid 670,0
    24242445ps "OnEdgeStrategy"
     
    24692490)
    24702491)
    2471 *140 (CptPort
     2492*142 (CptPort
    24722493uid 723,0
    24732494ps "OnEdgeStrategy"
     
    25012522font "Courier New,8,0"
    25022523)
    2503 xt "2000,38400,47500,39200"
    2504 st "dwrite_enable     : OUT    std_logic                      := '0' -- default DWRITE low.
     2524xt "2000,38400,48500,39200"
     2525st "dwrite_enable     : OUT    std_logic                      := '0' ; -- default DWRITE low.
    25052526"
    25062527)
     
    25192540)
    25202541)
    2521 *141 (CptPort
     2542*143 (CptPort
    25222543uid 885,0
    25232544ps "OnEdgeStrategy"
     
    25662587)
    25672588)
     2589*144 (CptPort
     2590uid 917,0
     2591ps "OnEdgeStrategy"
     2592shape (Triangle
     2593uid 918,0
     2594ro 90
     2595va (VaSet
     2596vasetType 1
     2597fg "0,65535,0"
     2598)
     2599xt "77000,30625,77750,31375"
     2600)
     2601tg (CPTG
     2602uid 919,0
     2603ps "CptPortTextPlaceStrategy"
     2604stg "RightVerticalLayoutStrategy"
     2605f (Text
     2606uid 920,0
     2607va (VaSet
     2608)
     2609xt "71300,30500,76000,31500"
     2610st "sclk_enable"
     2611ju 2
     2612blo "76000,31300"
     2613tm "CptPortNameMgr"
     2614)
     2615)
     2616dt (MLText
     2617uid 921,0
     2618va (VaSet
     2619font "Courier New,8,0"
     2620)
     2621xt "2000,39200,48000,40000"
     2622st "sclk_enable       : OUT    std_logic                      := '1' -- default DWRITE HIGH.
     2623"
     2624)
     2625thePort (LogicalPort
     2626m 1
     2627decl (Decl
     2628n "sclk_enable"
     2629t "std_logic"
     2630eolc "-- default DWRITE HIGH."
     2631posAdd 0
     2632o 30
     2633suid 35,0
     2634i "'1'"
     2635)
     2636)
     2637)
    25682638]
    25692639shape (Rectangle
     
    25752645lineWidth 2
    25762646)
    2577 xt "43000,2000,77000,31000"
     2647xt "43000,2000,77000,32000"
    25782648)
    25792649oxt "43000,2000,56000,22000"
     
    26012671)
    26022672)
    2603 gi *142 (GenericInterface
     2673gi *145 (GenericInterface
    26042674uid 13,0
    26052675ps "CenterOffsetStrategy"
     
    26372707)
    26382708)
    2639 *143 (Grouping
     2709*146 (Grouping
    26402710uid 16,0
    26412711optionalChildren [
    2642 *144 (CommentText
     2712*147 (CommentText
    26432713uid 18,0
    26442714shape (Rectangle
     
    26582728bg "0,0,32768"
    26592729)
    2660 xt "47200,30000,56700,31000"
     2730xt "47200,30000,56500,31000"
    26612731st "
    26622732by %user on %dd %month %year
     
    26712741titleBlock 1
    26722742)
    2673 *145 (CommentText
     2743*148 (CommentText
    26742744uid 21,0
    26752745shape (Rectangle
     
    27022772titleBlock 1
    27032773)
    2704 *146 (CommentText
     2774*149 (CommentText
    27052775uid 24,0
    27062776shape (Rectangle
     
    27332803titleBlock 1
    27342804)
    2735 *147 (CommentText
     2805*150 (CommentText
    27362806uid 27,0
    27372807shape (Rectangle
     
    27642834titleBlock 1
    27652835)
    2766 *148 (CommentText
     2836*151 (CommentText
    27672837uid 30,0
    27682838shape (Rectangle
     
    27942864titleBlock 1
    27952865)
    2796 *149 (CommentText
     2866*152 (CommentText
    27972867uid 33,0
    27982868shape (Rectangle
     
    28252895titleBlock 1
    28262896)
    2827 *150 (CommentText
     2897*153 (CommentText
    28282898uid 36,0
    28292899shape (Rectangle
     
    28562926titleBlock 1
    28572927)
    2858 *151 (CommentText
     2928*154 (CommentText
    28592929uid 39,0
    28602930shape (Rectangle
     
    28872957titleBlock 1
    28882958)
    2889 *152 (CommentText
     2959*155 (CommentText
    28902960uid 42,0
    28912961shape (Rectangle
     
    29182988titleBlock 1
    29192989)
    2920 *153 (CommentText
     2990*156 (CommentText
    29212991uid 45,0
    29222992shape (Rectangle
     
    29623032oxt "14000,66000,55000,71000"
    29633033)
    2964 *154 (CommentText
     3034*157 (CommentText
    29653035uid 133,0
    29663036shape (Rectangle
     
    30053075color "26368,26368,26368"
    30063076)
    3007 packageList *155 (PackageList
     3077packageList *158 (PackageList
    30083078uid 170,0
    30093079stg "VerticalLayoutStrategy"
    30103080textVec [
    3011 *156 (Text
     3081*159 (Text
    30123082uid 171,0
    30133083va (VaSet
     
    30183088blo "0,1800"
    30193089)
    3020 *157 (MLText
     3090*160 (MLText
    30213091uid 172,0
    30223092va (VaSet
     
    31193189)
    31203190)
    3121 gi *158 (GenericInterface
     3191gi *161 (GenericInterface
    31223192ps "CenterOffsetStrategy"
    31233193matrix (Matrix
     
    32163286)
    32173287)
    3218 DeclarativeBlock *159 (SymDeclBlock
     3288DeclarativeBlock *162 (SymDeclBlock
    32193289uid 1,0
    32203290stg "SymDeclLayoutStrategy"
     
    32423312font "Arial,8,1"
    32433313)
    3244 xt "0,39200,2400,40200"
     3314xt "0,40000,2400,41000"
    32453315st "User:"
    3246 blo "0,40000"
     3316blo "0,40800"
    32473317)
    32483318internalLabel (Text
     
    32613331font "Courier New,8,0"
    32623332)
    3263 xt "2000,40200,2000,40200"
     3333xt "2000,41000,2000,41000"
    32643334tm "SyDeclarativeTextMgr"
    32653335)
     
    32743344)
    32753345)
    3276 lastUid 893,0
     3346lastUid 925,0
    32773347activeModelName "Symbol:CDM"
    32783348)
Note: See TracChangeset for help on using the changeset viewer.