Ignore:
Timestamp:
11/10/10 16:21:52 (14 years ago)
Author:
weitzel
Message:
some code cleaning and more comments for FTU firmware
File:
1 edited

Legend:

Unmodified
Added
Removed
  • firmware/FTU/rs485/FTU_rs485_control.vhd

    r10050 r10051  
    247247      case FTU_rs485_control_State is
    248248
    249         when INIT =>
     249        when INIT =>  -- reset CRC register
    250250          reset_crc_sig <= '1';
    251251          FTU_rs485_control_State <= RECEIVE;
     
    373373          end if;
    374374
    375         when SET_DAC_WAIT_2 =>
     375        when SET_DAC_WAIT_2 =>  -- wait one cycle for CRC calculation
    376376          crc_enable_sig <= '0';
    377377          FTU_rs485_control_State <= SET_DAC_TRANSMIT;
     
    396396          end if;
    397397
    398         when SET_ENABLE_WAIT_2 =>
     398        when SET_ENABLE_WAIT_2 =>  -- wait one cycle for CRC calculation
    399399          crc_enable_sig <= '0';
    400400          FTU_rs485_control_State <= SET_ENABLE_TRANSMIT;
     
    417417          end if;
    418418
    419         when SET_PRESCALING_WAIT_2 =>
     419        when SET_PRESCALING_WAIT_2 =>  -- wait one cycle for CRC calculation
    420420          crc_enable_sig <= '0';
    421421          FTU_rs485_control_State <= SET_PRESCALING_TRANSMIT;
     
    444444          end if;
    445445
    446         when READ_RATES_WAIT_2 =>
     446        when READ_RATES_WAIT_2 =>  -- wait one cycle for CRC calculation
    447447          crc_enable_sig <= '0';
    448448          FTU_rs485_control_State <= READ_RATES_TRANSMIT;
     
    468468          end if;
    469469
    470         when READ_DAC_WAIT_2 =>
     470        when READ_DAC_WAIT_2 =>  -- wait one cycle for CRC calculation
    471471          crc_enable_sig <= '0';
    472472          FTU_rs485_control_State <= READ_DAC_TRANSMIT;
     
    491491          end if;
    492492
    493         when READ_ENABLE_WAIT_2 =>
     493        when READ_ENABLE_WAIT_2 =>  -- wait one cycle for CRC calculation
    494494          crc_enable_sig <= '0';
    495495          FTU_rs485_control_State <= READ_ENABLE_TRANSMIT;
     
    512512          end if;
    513513
    514         when READ_PRESCALING_WAIT_2 =>
     514        when READ_PRESCALING_WAIT_2 =>  -- wait one cycle for CRC calculation
    515515          crc_enable_sig <= '0';
    516516          FTU_rs485_control_State <= READ_PRESCALING_TRANSMIT;
     
    532532          end if;
    533533
    534         when PING_PONG_WAIT_2 =>
     534        when PING_PONG_WAIT_2 =>  -- wait one cycle for CRC calculation
    535535          crc_enable_sig <= '0';
    536536          FTU_rs485_control_State <= PING_PONG_TRANSMIT;
Note: See TracChangeset for help on using the changeset viewer.