Ignore:
Timestamp:
01/04/11 17:21:15 (14 years ago)
Author:
neise
Message:
LED controller debugged
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds
Files:
9 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_board_struct.xrf

    r10074 r10075  
    606606DESIGN @f@a@d_@board
    607607VIEW struct.bd
    608 GRAPHIC 12559,0 320 0
     608GRAPHIC 12573,0 320 0
    609609DESIGN @f@a@d_@board
    610610VIEW struct.bd
     
    618618DESIGN @f@a@d_@board
    619619VIEW struct.bd
    620 GRAPHIC 12573,0 325 0
     620GRAPHIC 12559,0 325 0
    621621DESIGN @f@a@d_@board
    622622VIEW struct.bd
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf

    r10074 r10075  
    668668DESIGN @f@a@d_main
    669669VIEW struct.bd
    670 GRAPHIC 10675,0 322 0
    671 DESIGN @f@a@d_main
    672 VIEW struct.bd
    673 GRAPHIC 10682,0 323 1
    674 DESIGN @f@a@d_main
    675 VIEW struct.bd
    676 NO_GRAPHIC 335
    677 DESIGN @f@a@d_main
    678 VIEW struct.bd
    679 GRAPHIC 2311,0 337 0
    680 DESIGN memory_manager
    681 VIEW symbol.sb
    682 GRAPHIC 14,0 338 1
    683 DESIGN memory_manager
    684 VIEW beha
    685 GRAPHIC 138,0 343 0
    686 DESIGN memory_manager
    687 VIEW beha
    688 GRAPHIC 194,0 344 0
    689 DESIGN memory_manager
    690 VIEW beha
    691 GRAPHIC 349,0 345 0
    692 DESIGN memory_manager
    693 VIEW beha
    694 GRAPHIC 949,0 346 0
    695 DESIGN memory_manager
    696 VIEW beha
    697 GRAPHIC 569,0 348 0
    698 DESIGN memory_manager
    699 VIEW beha
    700 GRAPHIC 224,0 350 0
    701 DESIGN memory_manager
    702 VIEW beha
    703 GRAPHIC 254,0 351 0
    704 DESIGN memory_manager
    705 VIEW beha
    706 GRAPHIC 804,0 352 0
    707 DESIGN memory_manager
    708 VIEW beha
    709 GRAPHIC 433,0 353 0
    710 DESIGN memory_manager
    711 VIEW beha
    712 GRAPHIC 622,0 354 0
    713 DESIGN memory_manager
    714 VIEW beha
    715 GRAPHIC 289,0 355 0
    716 DESIGN memory_manager
    717 VIEW beha
    718 GRAPHIC 309,0 356 0
    719 DESIGN memory_manager
    720 VIEW beha
    721 GRAPHIC 284,0 357 0
    722 DESIGN memory_manager
    723 VIEW beha
    724 GRAPHIC 294,0 358 0
    725 DESIGN memory_manager
    726 VIEW beha
    727 GRAPHIC 304,0 359 0
    728 DESIGN memory_manager
    729 VIEW beha
    730 GRAPHIC 299,0 360 0
    731 DESIGN memory_manager
    732 VIEW beha
    733 GRAPHIC 379,0 361 0
    734 DESIGN memory_manager
    735 VIEW beha
    736 GRAPHIC 915,0 362 0
    737 DESIGN memory_manager
    738 VIEW beha
    739 GRAPHIC 51,0 363 0
    740 DESIGN @f@a@d_main
    741 VIEW struct.bd
    742 GRAPHIC 5793,0 366 0
     670GRAPHIC 11209,0 322 0
     671DESIGN @f@a@d_main
     672VIEW struct.bd
     673GRAPHIC 11216,0 323 1
     674DESIGN @f@a@d_main
     675VIEW struct.bd
     676NO_GRAPHIC 336
     677DESIGN @f@a@d_main
     678VIEW struct.bd
     679GRAPHIC 2311,0 338 0
     680DESIGN memory_manager
     681VIEW symbol.sb
     682GRAPHIC 14,0 339 1
     683DESIGN memory_manager
     684VIEW beha
     685GRAPHIC 138,0 344 0
     686DESIGN memory_manager
     687VIEW beha
     688GRAPHIC 194,0 345 0
     689DESIGN memory_manager
     690VIEW beha
     691GRAPHIC 349,0 346 0
     692DESIGN memory_manager
     693VIEW beha
     694GRAPHIC 949,0 347 0
     695DESIGN memory_manager
     696VIEW beha
     697GRAPHIC 569,0 349 0
     698DESIGN memory_manager
     699VIEW beha
     700GRAPHIC 224,0 351 0
     701DESIGN memory_manager
     702VIEW beha
     703GRAPHIC 254,0 352 0
     704DESIGN memory_manager
     705VIEW beha
     706GRAPHIC 804,0 353 0
     707DESIGN memory_manager
     708VIEW beha
     709GRAPHIC 433,0 354 0
     710DESIGN memory_manager
     711VIEW beha
     712GRAPHIC 622,0 355 0
     713DESIGN memory_manager
     714VIEW beha
     715GRAPHIC 289,0 356 0
     716DESIGN memory_manager
     717VIEW beha
     718GRAPHIC 309,0 357 0
     719DESIGN memory_manager
     720VIEW beha
     721GRAPHIC 284,0 358 0
     722DESIGN memory_manager
     723VIEW beha
     724GRAPHIC 294,0 359 0
     725DESIGN memory_manager
     726VIEW beha
     727GRAPHIC 304,0 360 0
     728DESIGN memory_manager
     729VIEW beha
     730GRAPHIC 299,0 361 0
     731DESIGN memory_manager
     732VIEW beha
     733GRAPHIC 379,0 362 0
     734DESIGN memory_manager
     735VIEW beha
     736GRAPHIC 915,0 363 0
     737DESIGN memory_manager
     738VIEW beha
     739GRAPHIC 51,0 364 0
     740DESIGN @f@a@d_main
     741VIEW struct.bd
     742GRAPHIC 5793,0 367 0
    743743DESIGN spi_interface
    744744VIEW symbol.sb
    745 GRAPHIC 1121,0 368 0
     745GRAPHIC 1121,0 369 0
    746746DESIGN spi_interface
    747747VIEW symbol.sb
    748 GRAPHIC 326,0 369 0
     748GRAPHIC 326,0 370 0
    749749DESIGN spi_interface
    750750VIEW symbol.sb
    751 GRAPHIC 197,0 370 0
     751GRAPHIC 197,0 371 0
    752752DESIGN spi_interface
    753753VIEW symbol.sb
    754 GRAPHIC 321,0 371 0
     754GRAPHIC 321,0 372 0
    755755DESIGN spi_interface
    756756VIEW symbol.sb
    757 GRAPHIC 1198,0 372 0
     757GRAPHIC 1198,0 373 0
    758758DESIGN spi_interface
    759759VIEW symbol.sb
    760 GRAPHIC 1017,0 373 0
     760GRAPHIC 1017,0 374 0
    761761DESIGN spi_interface
    762762VIEW symbol.sb
    763 GRAPHIC 1229,0 374 0
     763GRAPHIC 1229,0 375 0
    764764DESIGN spi_interface
    765765VIEW symbol.sb
    766 GRAPHIC 126,0 375 0
     766GRAPHIC 126,0 376 0
    767767DESIGN spi_interface
    768768VIEW symbol.sb
    769 GRAPHIC 819,0 376 0
     769GRAPHIC 819,0 377 0
    770770DESIGN spi_interface
    771771VIEW symbol.sb
    772 GRAPHIC 1022,0 377 0
     772GRAPHIC 1022,0 378 0
    773773DESIGN spi_interface
    774774VIEW symbol.sb
    775 GRAPHIC 824,0 378 0
     775GRAPHIC 824,0 379 0
    776776DESIGN spi_interface
    777777VIEW symbol.sb
    778 GRAPHIC 1283,0 379 0
    779 DESIGN @f@a@d_main
    780 VIEW struct.bd
    781 GRAPHIC 1768,0 382 0
     778GRAPHIC 1283,0 380 0
     779DESIGN @f@a@d_main
     780VIEW struct.bd
     781GRAPHIC 1768,0 383 0
    782782DESIGN trigger_counter
    783783VIEW beha
    784 GRAPHIC 48,0 384 0
     784GRAPHIC 48,0 385 0
    785785DESIGN trigger_counter
    786786VIEW beha
    787 GRAPHIC 53,0 385 0
     787GRAPHIC 53,0 386 0
    788788DESIGN trigger_counter
    789789VIEW beha
    790 GRAPHIC 148,0 386 0
    791 DESIGN @f@a@d_main
    792 VIEW struct.bd
    793 GRAPHIC 1606,0 389 0
    794 DESIGN w5300_modul
    795 VIEW symbol.sb
    796 GRAPHIC 14,0 390 1
    797 DESIGN w5300_modul
    798 VIEW @behavioral
    799 GRAPHIC 48,0 394 0
    800 DESIGN w5300_modul
    801 VIEW @behavioral
    802 GRAPHIC 53,0 395 0
    803 DESIGN w5300_modul
    804 VIEW @behavioral
    805 GRAPHIC 58,0 396 0
    806 DESIGN w5300_modul
    807 VIEW @behavioral
    808 GRAPHIC 63,0 397 0
    809 DESIGN w5300_modul
    810 VIEW @behavioral
    811 GRAPHIC 68,0 398 0
    812 DESIGN w5300_modul
    813 VIEW @behavioral
    814 GRAPHIC 73,0 399 0
    815 DESIGN w5300_modul
    816 VIEW @behavioral
    817 GRAPHIC 491,0 400 0
    818 DESIGN w5300_modul
    819 VIEW @behavioral
    820 GRAPHIC 83,0 401 0
    821 DESIGN w5300_modul
    822 VIEW @behavioral
    823 GRAPHIC 88,0 402 0
    824 DESIGN w5300_modul
    825 VIEW @behavioral
    826 GRAPHIC 93,0 403 0
    827 DESIGN w5300_modul
    828 VIEW @behavioral
    829 GRAPHIC 98,0 404 0
    830 DESIGN w5300_modul
    831 VIEW @behavioral
    832 GRAPHIC 103,0 405 0
    833 DESIGN w5300_modul
    834 VIEW @behavioral
    835 GRAPHIC 108,0 406 0
    836 DESIGN w5300_modul
    837 VIEW @behavioral
    838 GRAPHIC 113,0 407 0
    839 DESIGN w5300_modul
    840 VIEW @behavioral
    841 GRAPHIC 885,0 408 0
    842 DESIGN w5300_modul
    843 VIEW @behavioral
    844 GRAPHIC 118,0 409 0
    845 DESIGN w5300_modul
    846 VIEW @behavioral
    847 GRAPHIC 353,0 410 0
    848 DESIGN w5300_modul
    849 VIEW @behavioral
    850 GRAPHIC 348,0 411 0
    851 DESIGN w5300_modul
    852 VIEW @behavioral
    853 GRAPHIC 385,0 412 0
    854 DESIGN w5300_modul
    855 VIEW @behavioral
    856 GRAPHIC 521,0 413 0
    857 DESIGN w5300_modul
    858 VIEW @behavioral
    859 GRAPHIC 576,0 414 0
    860 DESIGN w5300_modul
    861 VIEW @behavioral
    862 GRAPHIC 566,0 415 0
    863 DESIGN w5300_modul
    864 VIEW @behavioral
    865 GRAPHIC 551,0 416 0
    866 DESIGN w5300_modul
    867 VIEW @behavioral
    868 GRAPHIC 561,0 417 0
    869 DESIGN w5300_modul
    870 VIEW @behavioral
    871 GRAPHIC 571,0 418 0
    872 DESIGN w5300_modul
    873 VIEW @behavioral
    874 GRAPHIC 640,0 419 0
    875 DESIGN w5300_modul
    876 VIEW @behavioral
    877 GRAPHIC 1052,0 420 0
    878 DESIGN w5300_modul
    879 VIEW @behavioral
    880 GRAPHIC 1057,0 422 0
    881 DESIGN w5300_modul
    882 VIEW @behavioral
    883 GRAPHIC 556,0 424 0
    884 DESIGN w5300_modul
    885 VIEW @behavioral
    886 GRAPHIC 670,0 426 0
    887 DESIGN w5300_modul
    888 VIEW @behavioral
    889 GRAPHIC 723,0 427 0
    890 DESIGN w5300_modul
    891 VIEW @behavioral
    892 GRAPHIC 917,0 428 0
    893 DESIGN w5300_modul
    894 VIEW @behavioral
    895 GRAPHIC 949,0 429 0
    896 DESIGN w5300_modul
    897 VIEW @behavioral
    898 GRAPHIC 954,0 430 0
    899 DESIGN w5300_modul
    900 VIEW @behavioral
    901 GRAPHIC 988,0 431 0
    902 DESIGN w5300_modul
    903 VIEW @behavioral
    904 GRAPHIC 1020,0 432 0
    905 DESIGN w5300_modul
    906 VIEW @behavioral
    907 GRAPHIC 1096,0 433 0
    908 DESIGN w5300_modul
    909 VIEW @behavioral
    910 GRAPHIC 1091,0 434 0
     790GRAPHIC 148,0 387 0
     791DESIGN @f@a@d_main
     792VIEW struct.bd
     793GRAPHIC 1606,0 390 0
     794DESIGN w5300_modul
     795VIEW symbol.sb
     796GRAPHIC 14,0 391 1
     797DESIGN w5300_modul
     798VIEW @behavioral
     799GRAPHIC 48,0 395 0
     800DESIGN w5300_modul
     801VIEW @behavioral
     802GRAPHIC 53,0 396 0
     803DESIGN w5300_modul
     804VIEW @behavioral
     805GRAPHIC 58,0 397 0
     806DESIGN w5300_modul
     807VIEW @behavioral
     808GRAPHIC 63,0 398 0
     809DESIGN w5300_modul
     810VIEW @behavioral
     811GRAPHIC 68,0 399 0
     812DESIGN w5300_modul
     813VIEW @behavioral
     814GRAPHIC 73,0 400 0
     815DESIGN w5300_modul
     816VIEW @behavioral
     817GRAPHIC 491,0 401 0
     818DESIGN w5300_modul
     819VIEW @behavioral
     820GRAPHIC 83,0 402 0
     821DESIGN w5300_modul
     822VIEW @behavioral
     823GRAPHIC 88,0 403 0
     824DESIGN w5300_modul
     825VIEW @behavioral
     826GRAPHIC 93,0 404 0
     827DESIGN w5300_modul
     828VIEW @behavioral
     829GRAPHIC 98,0 405 0
     830DESIGN w5300_modul
     831VIEW @behavioral
     832GRAPHIC 103,0 406 0
     833DESIGN w5300_modul
     834VIEW @behavioral
     835GRAPHIC 108,0 407 0
     836DESIGN w5300_modul
     837VIEW @behavioral
     838GRAPHIC 113,0 408 0
     839DESIGN w5300_modul
     840VIEW @behavioral
     841GRAPHIC 885,0 409 0
     842DESIGN w5300_modul
     843VIEW @behavioral
     844GRAPHIC 118,0 410 0
     845DESIGN w5300_modul
     846VIEW @behavioral
     847GRAPHIC 353,0 411 0
     848DESIGN w5300_modul
     849VIEW @behavioral
     850GRAPHIC 348,0 412 0
     851DESIGN w5300_modul
     852VIEW @behavioral
     853GRAPHIC 385,0 413 0
     854DESIGN w5300_modul
     855VIEW @behavioral
     856GRAPHIC 521,0 414 0
     857DESIGN w5300_modul
     858VIEW @behavioral
     859GRAPHIC 576,0 415 0
     860DESIGN w5300_modul
     861VIEW @behavioral
     862GRAPHIC 566,0 416 0
     863DESIGN w5300_modul
     864VIEW @behavioral
     865GRAPHIC 551,0 417 0
     866DESIGN w5300_modul
     867VIEW @behavioral
     868GRAPHIC 561,0 418 0
     869DESIGN w5300_modul
     870VIEW @behavioral
     871GRAPHIC 571,0 419 0
     872DESIGN w5300_modul
     873VIEW @behavioral
     874GRAPHIC 640,0 420 0
     875DESIGN w5300_modul
     876VIEW @behavioral
     877GRAPHIC 1052,0 421 0
     878DESIGN w5300_modul
     879VIEW @behavioral
     880GRAPHIC 1057,0 423 0
     881DESIGN w5300_modul
     882VIEW @behavioral
     883GRAPHIC 556,0 425 0
     884DESIGN w5300_modul
     885VIEW @behavioral
     886GRAPHIC 670,0 427 0
     887DESIGN w5300_modul
     888VIEW @behavioral
     889GRAPHIC 723,0 428 0
     890DESIGN w5300_modul
     891VIEW @behavioral
     892GRAPHIC 917,0 429 0
     893DESIGN w5300_modul
     894VIEW @behavioral
     895GRAPHIC 949,0 430 0
     896DESIGN w5300_modul
     897VIEW @behavioral
     898GRAPHIC 954,0 431 0
     899DESIGN w5300_modul
     900VIEW @behavioral
     901GRAPHIC 988,0 432 0
     902DESIGN w5300_modul
     903VIEW @behavioral
     904GRAPHIC 1020,0 433 0
     905DESIGN w5300_modul
     906VIEW @behavioral
     907GRAPHIC 1096,0 434 0
     908DESIGN w5300_modul
     909VIEW @behavioral
     910GRAPHIC 1091,0 435 0
    911911LIBRARY FACT_FAD_lib
    912912DESIGN @f@a@d_main
    913913VIEW struct.bd
    914 NO_GRAPHIC 437
    915 DESIGN @f@a@d_main
    916 VIEW struct.bd
    917 GRAPHIC 5678,0 440 0
    918 DESIGN @f@a@d_main
    919 VIEW struct.bd
    920 GRAPHIC 9175,0 441 0
    921 DESIGN @f@a@d_main
    922 VIEW struct.bd
    923 GRAPHIC 5072,0 442 0
    924 DESIGN @f@a@d_main
    925 VIEW struct.bd
    926 GRAPHIC 8277,0 443 0
    927 DESIGN @f@a@d_main
    928 VIEW struct.bd
    929 GRAPHIC 1399,0 444 0
    930 DESIGN @f@a@d_main
    931 VIEW struct.bd
    932 GRAPHIC 4903,0 445 0
    933 DESIGN @f@a@d_main
    934 VIEW struct.bd
    935 GRAPHIC 10675,0 446 0
    936 DESIGN @f@a@d_main
    937 VIEW struct.bd
    938 GRAPHIC 2311,0 447 0
    939 DESIGN @f@a@d_main
    940 VIEW struct.bd
    941 GRAPHIC 5793,0 448 0
    942 DESIGN @f@a@d_main
    943 VIEW struct.bd
    944 GRAPHIC 1768,0 449 0
    945 DESIGN @f@a@d_main
    946 VIEW struct.bd
    947 GRAPHIC 1606,0 450 0
    948 DESIGN @f@a@d_main
    949 VIEW struct.bd
    950 NO_GRAPHIC 453
    951 DESIGN @f@a@d_main
    952 VIEW struct.bd
    953 GRAPHIC 6529,0 455 0
    954 DESIGN @f@a@d_main
    955 VIEW struct.bd
    956 GRAPHIC 9957,0 458 0
    957 DESIGN @f@a@d_main
    958 VIEW struct.bd
    959 GRAPHIC 8721,0 461 0
    960 DESIGN @f@a@d_main
    961 VIEW struct.bd
    962 GRAPHIC 9430,0 464 0
    963 DESIGN @f@a@d_main
    964 VIEW struct.bd
    965 GRAPHIC 9472,0 467 0
    966 DESIGN @f@a@d_main
    967 VIEW struct.bd
    968 GRAPHIC 9662,0 470 0
    969 DESIGN @f@a@d_main
    970 VIEW struct.bd
    971 GRAPHIC 9679,0 473 0
    972 DESIGN @f@a@d_main
    973 VIEW struct.bd
    974 GRAPHIC 9710,0 476 0
    975 DESIGN @f@a@d_main
    976 VIEW struct.bd
    977 GRAPHIC 8562,0 479 0
    978 DESIGN @f@a@d_main
    979 VIEW struct.bd
    980 GRAPHIC 10380,0 490 0
    981 DESIGN @f@a@d_main
    982 VIEW struct.bd
    983 NO_GRAPHIC 493
    984 DESIGN @f@a@d_main
    985 VIEW struct.bd
    986 GRAPHIC 5678,0 495 0
    987 DESIGN @f@a@d_main
    988 VIEW struct.bd
    989 GRAPHIC 5646,0 497 0
    990 DESIGN @f@a@d_main
    991 VIEW struct.bd
    992 GRAPHIC 4272,0 498 0
    993 DESIGN @f@a@d_main
    994 VIEW struct.bd
    995 GRAPHIC 2786,0 499 0
    996 DESIGN @f@a@d_main
    997 VIEW struct.bd
    998 GRAPHIC 5626,0 500 0
    999 DESIGN @f@a@d_main
    1000 VIEW struct.bd
    1001 GRAPHIC 5634,0 501 0
    1002 DESIGN @f@a@d_main
    1003 VIEW struct.bd
    1004 GRAPHIC 9175,0 503 0
    1005 DESIGN @f@a@d_main
    1006 VIEW struct.bd
    1007 GRAPHIC 4042,0 505 0
    1008 DESIGN @f@a@d_main
    1009 VIEW struct.bd
    1010 GRAPHIC 10036,0 506 0
    1011 DESIGN @f@a@d_main
    1012 VIEW struct.bd
    1013 GRAPHIC 9253,0 507 0
    1014 DESIGN @f@a@d_main
    1015 VIEW struct.bd
    1016 GRAPHIC 9261,0 508 0
    1017 DESIGN @f@a@d_main
    1018 VIEW struct.bd
    1019 GRAPHIC 6072,0 509 0
    1020 DESIGN @f@a@d_main
    1021 VIEW struct.bd
    1022 GRAPHIC 3984,0 510 0
    1023 DESIGN @f@a@d_main
    1024 VIEW struct.bd
    1025 GRAPHIC 3888,0 511 0
    1026 DESIGN @f@a@d_main
    1027 VIEW struct.bd
    1028 GRAPHIC 9353,0 512 0
    1029 DESIGN @f@a@d_main
    1030 VIEW struct.bd
    1031 GRAPHIC 9269,0 513 0
    1032 DESIGN @f@a@d_main
    1033 VIEW struct.bd
    1034 GRAPHIC 9325,0 514 0
    1035 DESIGN @f@a@d_main
    1036 VIEW struct.bd
    1037 GRAPHIC 9283,0 515 0
    1038 DESIGN @f@a@d_main
    1039 VIEW struct.bd
    1040 GRAPHIC 9311,0 516 0
    1041 DESIGN @f@a@d_main
    1042 VIEW struct.bd
    1043 GRAPHIC 9297,0 517 0
    1044 DESIGN @f@a@d_main
    1045 VIEW struct.bd
    1046 GRAPHIC 9367,0 518 0
    1047 DESIGN @f@a@d_main
    1048 VIEW struct.bd
    1049 GRAPHIC 9397,0 519 0
    1050 DESIGN @f@a@d_main
    1051 VIEW struct.bd
    1052 GRAPHIC 9382,0 520 0
    1053 DESIGN @f@a@d_main
    1054 VIEW struct.bd
    1055 GRAPHIC 5072,0 522 0
    1056 DESIGN @f@a@d_main
    1057 VIEW struct.bd
    1058 GRAPHIC 5582,0 524 0
    1059 DESIGN @f@a@d_main
    1060 VIEW struct.bd
    1061 GRAPHIC 5090,0 525 0
    1062 DESIGN @f@a@d_main
    1063 VIEW struct.bd
    1064 GRAPHIC 5130,0 526 0
    1065 DESIGN @f@a@d_main
    1066 VIEW struct.bd
    1067 GRAPHIC 5184,0 527 0
    1068 DESIGN @f@a@d_main
    1069 VIEW struct.bd
    1070 GRAPHIC 5122,0 528 0
    1071 DESIGN @f@a@d_main
    1072 VIEW struct.bd
    1073 GRAPHIC 5106,0 529 0
    1074 DESIGN @f@a@d_main
    1075 VIEW struct.bd
    1076 GRAPHIC 5098,0 530 0
    1077 DESIGN @f@a@d_main
    1078 VIEW struct.bd
    1079 GRAPHIC 5190,0 531 0
    1080 DESIGN @f@a@d_main
    1081 VIEW struct.bd
    1082 GRAPHIC 10194,0 532 0
    1083 DESIGN @f@a@d_main
    1084 VIEW struct.bd
    1085 GRAPHIC 10202,0 533 0
    1086 DESIGN @f@a@d_main
    1087 VIEW struct.bd
    1088 GRAPHIC 6002,0 534 0
    1089 DESIGN @f@a@d_main
    1090 VIEW struct.bd
    1091 GRAPHIC 5146,0 535 0
    1092 DESIGN @f@a@d_main
    1093 VIEW struct.bd
    1094 GRAPHIC 5138,0 536 0
    1095 DESIGN @f@a@d_main
    1096 VIEW struct.bd
    1097 GRAPHIC 5114,0 537 0
    1098 DESIGN @f@a@d_main
    1099 VIEW struct.bd
    1100 GRAPHIC 8277,0 539 0
    1101 DESIGN @f@a@d_main
    1102 VIEW struct.bd
    1103 GRAPHIC 5602,0 541 0
    1104 DESIGN @f@a@d_main
    1105 VIEW struct.bd
    1106 GRAPHIC 334,0 542 0
    1107 DESIGN @f@a@d_main
    1108 VIEW struct.bd
    1109 GRAPHIC 328,0 543 0
    1110 DESIGN @f@a@d_main
    1111 VIEW struct.bd
    1112 GRAPHIC 322,0 544 0
    1113 DESIGN @f@a@d_main
    1114 VIEW struct.bd
    1115 GRAPHIC 4240,0 545 0
    1116 DESIGN @f@a@d_main
    1117 VIEW struct.bd
    1118 GRAPHIC 364,0 546 0
    1119 DESIGN @f@a@d_main
    1120 VIEW struct.bd
    1121 GRAPHIC 370,0 547 0
    1122 DESIGN @f@a@d_main
    1123 VIEW struct.bd
    1124 GRAPHIC 1399,0 549 0
    1125 DESIGN @f@a@d_main
    1126 VIEW struct.bd
    1127 GRAPHIC 1406,0 550 1
    1128 DESIGN @f@a@d_main
    1129 VIEW struct.bd
    1130 GRAPHIC 5602,0 554 0
    1131 DESIGN @f@a@d_main
    1132 VIEW struct.bd
    1133 GRAPHIC 334,0 555 0
    1134 DESIGN @f@a@d_main
    1135 VIEW struct.bd
    1136 GRAPHIC 328,0 556 0
    1137 DESIGN @f@a@d_main
    1138 VIEW struct.bd
    1139 GRAPHIC 322,0 557 0
    1140 DESIGN @f@a@d_main
    1141 VIEW struct.bd
    1142 GRAPHIC 2299,0 558 0
    1143 DESIGN @f@a@d_main
    1144 VIEW struct.bd
    1145 GRAPHIC 2576,0 559 0
    1146 DESIGN @f@a@d_main
    1147 VIEW struct.bd
    1148 GRAPHIC 2582,0 560 0
    1149 DESIGN @f@a@d_main
    1150 VIEW struct.bd
    1151 GRAPHIC 10467,0 561 0
    1152 DESIGN @f@a@d_main
    1153 VIEW struct.bd
    1154 GRAPHIC 2588,0 562 0
    1155 DESIGN @f@a@d_main
    1156 VIEW struct.bd
    1157 GRAPHIC 5184,0 563 0
    1158 DESIGN @f@a@d_main
    1159 VIEW struct.bd
    1160 GRAPHIC 5745,0 564 0
    1161 DESIGN @f@a@d_main
    1162 VIEW struct.bd
    1163 GRAPHIC 2594,0 565 0
    1164 DESIGN @f@a@d_main
    1165 VIEW struct.bd
    1166 GRAPHIC 5190,0 566 0
    1167 DESIGN @f@a@d_main
    1168 VIEW struct.bd
    1169 GRAPHIC 5404,0 567 0
    1170 DESIGN @f@a@d_main
    1171 VIEW struct.bd
    1172 GRAPHIC 6018,0 568 0
    1173 DESIGN @f@a@d_main
    1174 VIEW struct.bd
    1175 GRAPHIC 6002,0 569 0
    1176 DESIGN @f@a@d_main
    1177 VIEW struct.bd
    1178 GRAPHIC 6008,0 570 0
    1179 DESIGN @f@a@d_main
    1180 VIEW struct.bd
    1181 GRAPHIC 5138,0 571 0
    1182 DESIGN @f@a@d_main
    1183 VIEW struct.bd
    1184 GRAPHIC 2600,0 572 0
    1185 DESIGN @f@a@d_main
    1186 VIEW struct.bd
    1187 GRAPHIC 5480,0 573 0
    1188 DESIGN @f@a@d_main
    1189 VIEW struct.bd
    1190 GRAPHIC 5474,0 574 0
    1191 DESIGN @f@a@d_main
    1192 VIEW struct.bd
    1193 GRAPHIC 6064,0 575 0
    1194 DESIGN @f@a@d_main
    1195 VIEW struct.bd
    1196 GRAPHIC 2642,0 576 0
    1197 DESIGN @f@a@d_main
    1198 VIEW struct.bd
    1199 GRAPHIC 1411,0 577 0
    1200 DESIGN @f@a@d_main
    1201 VIEW struct.bd
    1202 GRAPHIC 1682,0 578 0
    1203 DESIGN @f@a@d_main
    1204 VIEW struct.bd
    1205 GRAPHIC 1983,0 579 0
    1206 DESIGN @f@a@d_main
    1207 VIEW struct.bd
    1208 GRAPHIC 10439,0 580 0
    1209 DESIGN @f@a@d_main
    1210 VIEW struct.bd
    1211 GRAPHIC 5950,0 581 0
    1212 DESIGN @f@a@d_main
    1213 VIEW struct.bd
    1214 GRAPHIC 5962,0 582 0
    1215 DESIGN @f@a@d_main
    1216 VIEW struct.bd
    1217 GRAPHIC 5626,0 583 0
    1218 DESIGN @f@a@d_main
    1219 VIEW struct.bd
    1220 GRAPHIC 2778,0 584 0
    1221 DESIGN @f@a@d_main
    1222 VIEW struct.bd
    1223 GRAPHIC 9006,0 585 0
    1224 DESIGN @f@a@d_main
    1225 VIEW struct.bd
    1226 GRAPHIC 5634,0 586 0
    1227 DESIGN @f@a@d_main
    1228 VIEW struct.bd
    1229 GRAPHIC 8577,0 587 0
    1230 DESIGN @f@a@d_main
    1231 VIEW struct.bd
    1232 GRAPHIC 6540,0 588 0
    1233 DESIGN @f@a@d_main
    1234 VIEW struct.bd
    1235 GRAPHIC 4401,0 589 0
    1236 DESIGN @f@a@d_main
    1237 VIEW struct.bd
    1238 GRAPHIC 4419,0 590 0
    1239 DESIGN @f@a@d_main
    1240 VIEW struct.bd
    1241 GRAPHIC 10298,0 591 0
    1242 DESIGN @f@a@d_main
    1243 VIEW struct.bd
    1244 GRAPHIC 10304,0 592 0
    1245 DESIGN @f@a@d_main
    1246 VIEW struct.bd
    1247 GRAPHIC 10316,0 593 0
    1248 DESIGN @f@a@d_main
    1249 VIEW struct.bd
    1250 GRAPHIC 10310,0 594 0
    1251 DESIGN @f@a@d_main
    1252 VIEW struct.bd
    1253 GRAPHIC 4743,0 595 0
    1254 DESIGN @f@a@d_main
    1255 VIEW struct.bd
    1256 GRAPHIC 4407,0 596 0
    1257 DESIGN @f@a@d_main
    1258 VIEW struct.bd
    1259 GRAPHIC 4903,0 598 0
    1260 DESIGN @f@a@d_main
    1261 VIEW struct.bd
    1262 GRAPHIC 4757,0 600 0
    1263 DESIGN @f@a@d_main
    1264 VIEW struct.bd
    1265 GRAPHIC 4401,0 601 0
    1266 DESIGN @f@a@d_main
    1267 VIEW struct.bd
    1268 GRAPHIC 4419,0 602 0
    1269 DESIGN @f@a@d_main
    1270 VIEW struct.bd
    1271 GRAPHIC 4671,0 603 0
    1272 DESIGN @f@a@d_main
    1273 VIEW struct.bd
    1274 GRAPHIC 4679,0 604 0
    1275 DESIGN @f@a@d_main
    1276 VIEW struct.bd
    1277 GRAPHIC 4687,0 605 0
    1278 DESIGN @f@a@d_main
    1279 VIEW struct.bd
    1280 GRAPHIC 4695,0 606 0
    1281 DESIGN @f@a@d_main
    1282 VIEW struct.bd
    1283 GRAPHIC 4407,0 607 0
    1284 DESIGN @f@a@d_main
    1285 VIEW struct.bd
    1286 GRAPHIC 4743,0 608 0
    1287 DESIGN @f@a@d_main
    1288 VIEW struct.bd
    1289 GRAPHIC 10298,0 609 0
    1290 DESIGN @f@a@d_main
    1291 VIEW struct.bd
    1292 GRAPHIC 10310,0 610 0
    1293 DESIGN @f@a@d_main
    1294 VIEW struct.bd
    1295 GRAPHIC 10304,0 611 0
    1296 DESIGN @f@a@d_main
    1297 VIEW struct.bd
    1298 GRAPHIC 10316,0 612 0
    1299 DESIGN @f@a@d_main
    1300 VIEW struct.bd
    1301 GRAPHIC 10322,0 613 0
    1302 DESIGN @f@a@d_main
    1303 VIEW struct.bd
    1304 GRAPHIC 4948,0 614 0
    1305 DESIGN @f@a@d_main
    1306 VIEW struct.bd
    1307 GRAPHIC 10010,0 615 0
    1308 DESIGN @f@a@d_main
    1309 VIEW struct.bd
    1310 GRAPHIC 10675,0 617 0
    1311 DESIGN @f@a@d_main
    1312 VIEW struct.bd
    1313 GRAPHIC 10682,0 618 1
    1314 DESIGN @f@a@d_main
    1315 VIEW struct.bd
    1316 GRAPHIC 10699,0 623 0
    1317 DESIGN @f@a@d_main
    1318 VIEW struct.bd
    1319 GRAPHIC 10723,0 624 0
    1320 DESIGN @f@a@d_main
    1321 VIEW struct.bd
    1322 GRAPHIC 10737,0 625 0
    1323 DESIGN @f@a@d_main
    1324 VIEW struct.bd
    1325 GRAPHIC 10751,0 626 0
    1326 DESIGN @f@a@d_main
    1327 VIEW struct.bd
    1328 GRAPHIC 10707,0 627 0
    1329 DESIGN @f@a@d_main
    1330 VIEW struct.bd
    1331 GRAPHIC 10685,0 628 0
    1332 DESIGN @f@a@d_main
    1333 VIEW struct.bd
    1334 GRAPHIC 10691,0 629 0
    1335 DESIGN @f@a@d_main
    1336 VIEW struct.bd
    1337 GRAPHIC 2311,0 631 0
    1338 DESIGN @f@a@d_main
    1339 VIEW struct.bd
    1340 GRAPHIC 2318,0 632 1
    1341 DESIGN @f@a@d_main
    1342 VIEW struct.bd
    1343 GRAPHIC 6082,0 637 0
    1344 DESIGN @f@a@d_main
    1345 VIEW struct.bd
    1346 GRAPHIC 2588,0 638 0
    1347 DESIGN @f@a@d_main
    1348 VIEW struct.bd
    1349 GRAPHIC 2582,0 639 0
    1350 DESIGN @f@a@d_main
    1351 VIEW struct.bd
    1352 GRAPHIC 10467,0 640 0
    1353 DESIGN @f@a@d_main
    1354 VIEW struct.bd
    1355 GRAPHIC 5168,0 641 0
    1356 DESIGN @f@a@d_main
    1357 VIEW struct.bd
    1358 GRAPHIC 2576,0 642 0
    1359 DESIGN @f@a@d_main
    1360 VIEW struct.bd
    1361 GRAPHIC 2594,0 643 0
    1362 DESIGN @f@a@d_main
    1363 VIEW struct.bd
    1364 GRAPHIC 6018,0 644 0
    1365 DESIGN @f@a@d_main
    1366 VIEW struct.bd
    1367 GRAPHIC 2600,0 645 0
    1368 DESIGN @f@a@d_main
    1369 VIEW struct.bd
    1370 GRAPHIC 2642,0 646 0
    1371 DESIGN @f@a@d_main
    1372 VIEW struct.bd
    1373 GRAPHIC 2488,0 647 0
    1374 DESIGN @f@a@d_main
    1375 VIEW struct.bd
    1376 GRAPHIC 2482,0 648 0
    1377 DESIGN @f@a@d_main
    1378 VIEW struct.bd
    1379 GRAPHIC 2494,0 649 0
    1380 DESIGN @f@a@d_main
    1381 VIEW struct.bd
    1382 GRAPHIC 2476,0 650 0
    1383 DESIGN @f@a@d_main
    1384 VIEW struct.bd
    1385 GRAPHIC 2506,0 651 0
    1386 DESIGN @f@a@d_main
    1387 VIEW struct.bd
    1388 GRAPHIC 2500,0 652 0
    1389 DESIGN @f@a@d_main
    1390 VIEW struct.bd
    1391 GRAPHIC 2470,0 653 0
    1392 DESIGN @f@a@d_main
    1393 VIEW struct.bd
    1394 GRAPHIC 8416,0 654 0
    1395 DESIGN @f@a@d_main
    1396 VIEW struct.bd
    1397 GRAPHIC 2299,0 655 0
    1398 DESIGN @f@a@d_main
    1399 VIEW struct.bd
    1400 GRAPHIC 5793,0 657 0
    1401 DESIGN @f@a@d_main
    1402 VIEW struct.bd
    1403 GRAPHIC 5805,0 659 0
    1404 DESIGN @f@a@d_main
    1405 VIEW struct.bd
    1406 GRAPHIC 5745,0 660 0
    1407 DESIGN @f@a@d_main
    1408 VIEW struct.bd
    1409 GRAPHIC 5146,0 661 0
    1410 DESIGN @f@a@d_main
    1411 VIEW struct.bd
    1412 GRAPHIC 5404,0 662 0
    1413 DESIGN @f@a@d_main
    1414 VIEW struct.bd
    1415 GRAPHIC 6008,0 663 0
    1416 DESIGN @f@a@d_main
    1417 VIEW struct.bd
    1418 GRAPHIC 5829,0 664 0
    1419 DESIGN @f@a@d_main
    1420 VIEW struct.bd
    1421 GRAPHIC 6160,0 665 0
    1422 DESIGN @f@a@d_main
    1423 VIEW struct.bd
    1424 GRAPHIC 8732,0 666 0
    1425 DESIGN @f@a@d_main
    1426 VIEW struct.bd
    1427 GRAPHIC 5480,0 667 0
    1428 DESIGN @f@a@d_main
    1429 VIEW struct.bd
    1430 GRAPHIC 5837,0 668 0
    1431 DESIGN @f@a@d_main
    1432 VIEW struct.bd
    1433 GRAPHIC 5474,0 669 0
    1434 DESIGN @f@a@d_main
    1435 VIEW struct.bd
    1436 GRAPHIC 5821,0 670 0
    1437 DESIGN @f@a@d_main
    1438 VIEW struct.bd
    1439 GRAPHIC 1768,0 672 0
    1440 DESIGN @f@a@d_main
    1441 VIEW struct.bd
    1442 GRAPHIC 1983,0 674 0
    1443 DESIGN @f@a@d_main
    1444 VIEW struct.bd
    1445 GRAPHIC 10443,0 675 0
    1446 DESIGN @f@a@d_main
    1447 VIEW struct.bd
    1448 GRAPHIC 6276,0 676 0
    1449 DESIGN @f@a@d_main
    1450 VIEW struct.bd
    1451 GRAPHIC 1606,0 678 0
    1452 DESIGN @f@a@d_main
    1453 VIEW struct.bd
    1454 GRAPHIC 1613,0 679 1
    1455 DESIGN @f@a@d_main
    1456 VIEW struct.bd
    1457 GRAPHIC 3888,0 683 0
    1458 DESIGN @f@a@d_main
    1459 VIEW struct.bd
    1460 GRAPHIC 376,0 684 0
    1461 DESIGN @f@a@d_main
    1462 VIEW struct.bd
    1463 GRAPHIC 384,0 685 0
    1464 DESIGN @f@a@d_main
    1465 VIEW struct.bd
    1466 GRAPHIC 392,0 686 0
    1467 DESIGN @f@a@d_main
    1468 VIEW struct.bd
    1469 GRAPHIC 400,0 687 0
    1470 DESIGN @f@a@d_main
    1471 VIEW struct.bd
    1472 GRAPHIC 408,0 688 0
    1473 DESIGN @f@a@d_main
    1474 VIEW struct.bd
    1475 GRAPHIC 5222,0 689 0
    1476 DESIGN @f@a@d_main
    1477 VIEW struct.bd
    1478 GRAPHIC 424,0 690 0
    1479 DESIGN @f@a@d_main
    1480 VIEW struct.bd
    1481 GRAPHIC 432,0 691 0
    1482 DESIGN @f@a@d_main
    1483 VIEW struct.bd
    1484 GRAPHIC 2482,0 692 0
    1485 DESIGN @f@a@d_main
    1486 VIEW struct.bd
    1487 GRAPHIC 2488,0 693 0
    1488 DESIGN @f@a@d_main
    1489 VIEW struct.bd
    1490 GRAPHIC 370,0 694 0
    1491 DESIGN @f@a@d_main
    1492 VIEW struct.bd
    1493 GRAPHIC 364,0 695 0
    1494 DESIGN @f@a@d_main
    1495 VIEW struct.bd
    1496 GRAPHIC 2476,0 696 0
    1497 DESIGN @f@a@d_main
    1498 VIEW struct.bd
    1499 GRAPHIC 8416,0 697 0
    1500 DESIGN @f@a@d_main
    1501 VIEW struct.bd
    1502 GRAPHIC 2470,0 698 0
    1503 DESIGN @f@a@d_main
    1504 VIEW struct.bd
    1505 GRAPHIC 2506,0 699 0
    1506 DESIGN @f@a@d_main
    1507 VIEW struct.bd
    1508 GRAPHIC 2500,0 700 0
    1509 DESIGN @f@a@d_main
    1510 VIEW struct.bd
    1511 GRAPHIC 2494,0 701 0
    1512 DESIGN @f@a@d_main
    1513 VIEW struct.bd
    1514 GRAPHIC 10266,0 702 0
    1515 DESIGN @f@a@d_main
    1516 VIEW struct.bd
    1517 GRAPHIC 5950,0 703 0
    1518 DESIGN @f@a@d_main
    1519 VIEW struct.bd
    1520 GRAPHIC 5962,0 704 0
    1521 DESIGN @f@a@d_main
    1522 VIEW struct.bd
    1523 GRAPHIC 5090,0 705 0
    1524 DESIGN @f@a@d_main
    1525 VIEW struct.bd
    1526 GRAPHIC 5114,0 706 0
    1527 DESIGN @f@a@d_main
    1528 VIEW struct.bd
    1529 GRAPHIC 5122,0 707 0
    1530 DESIGN @f@a@d_main
    1531 VIEW struct.bd
    1532 GRAPHIC 5130,0 708 0
    1533 DESIGN @f@a@d_main
    1534 VIEW struct.bd
    1535 GRAPHIC 10194,0 709 0
    1536 DESIGN @f@a@d_main
    1537 VIEW struct.bd
    1538 GRAPHIC 10202,0 710 0
    1539 DESIGN @f@a@d_main
    1540 VIEW struct.bd
    1541 GRAPHIC 5106,0 711 0
    1542 DESIGN @f@a@d_main
    1543 VIEW struct.bd
    1544 GRAPHIC 6362,0 712 0
    1545 DESIGN @f@a@d_main
    1546 VIEW struct.bd
    1547 GRAPHIC 6452,0 713 0
    1548 DESIGN @f@a@d_main
    1549 VIEW struct.bd
    1550 GRAPHIC 8752,0 714 0
    1551 DESIGN @f@a@d_main
    1552 VIEW struct.bd
    1553 GRAPHIC 9233,0 715 0
    1554 DESIGN @f@a@d_main
    1555 VIEW struct.bd
    1556 GRAPHIC 9241,0 716 0
    1557 DESIGN @f@a@d_main
    1558 VIEW struct.bd
    1559 GRAPHIC 9943,0 717 0
    1560 DESIGN @f@a@d_main
    1561 VIEW struct.bd
    1562 GRAPHIC 9951,0 718 0
    1563 DESIGN @f@a@d_main
    1564 VIEW struct.bd
    1565 GRAPHIC 10637,0 719 0
    1566 DESIGN @f@a@d_main
    1567 VIEW struct.bd
    1568 GRAPHIC 10629,0 720 0
    1569 DESIGN @f@a@d_main
    1570 VIEW struct.bd
    1571 GRAPHIC 6276,0 724 0
    1572 DESIGN @f@a@d_main
    1573 VIEW struct.bd
    1574 GRAPHIC 3888,0 725 0
    1575 DESIGN @f@a@d_main
    1576 VIEW struct.bd
    1577 NO_GRAPHIC 727
     914NO_GRAPHIC 438
     915DESIGN @f@a@d_main
     916VIEW struct.bd
     917GRAPHIC 5678,0 441 0
     918DESIGN @f@a@d_main
     919VIEW struct.bd
     920GRAPHIC 9175,0 442 0
     921DESIGN @f@a@d_main
     922VIEW struct.bd
     923GRAPHIC 5072,0 443 0
     924DESIGN @f@a@d_main
     925VIEW struct.bd
     926GRAPHIC 8277,0 444 0
     927DESIGN @f@a@d_main
     928VIEW struct.bd
     929GRAPHIC 1399,0 445 0
     930DESIGN @f@a@d_main
     931VIEW struct.bd
     932GRAPHIC 4903,0 446 0
     933DESIGN @f@a@d_main
     934VIEW struct.bd
     935GRAPHIC 11209,0 447 0
     936DESIGN @f@a@d_main
     937VIEW struct.bd
     938GRAPHIC 2311,0 448 0
     939DESIGN @f@a@d_main
     940VIEW struct.bd
     941GRAPHIC 5793,0 449 0
     942DESIGN @f@a@d_main
     943VIEW struct.bd
     944GRAPHIC 1768,0 450 0
     945DESIGN @f@a@d_main
     946VIEW struct.bd
     947GRAPHIC 1606,0 451 0
     948DESIGN @f@a@d_main
     949VIEW struct.bd
     950NO_GRAPHIC 454
     951DESIGN @f@a@d_main
     952VIEW struct.bd
     953GRAPHIC 6529,0 456 0
     954DESIGN @f@a@d_main
     955VIEW struct.bd
     956GRAPHIC 9957,0 459 0
     957DESIGN @f@a@d_main
     958VIEW struct.bd
     959GRAPHIC 8721,0 462 0
     960DESIGN @f@a@d_main
     961VIEW struct.bd
     962GRAPHIC 9430,0 465 0
     963DESIGN @f@a@d_main
     964VIEW struct.bd
     965GRAPHIC 9472,0 468 0
     966DESIGN @f@a@d_main
     967VIEW struct.bd
     968GRAPHIC 9662,0 471 0
     969DESIGN @f@a@d_main
     970VIEW struct.bd
     971GRAPHIC 9679,0 474 0
     972DESIGN @f@a@d_main
     973VIEW struct.bd
     974GRAPHIC 9710,0 477 0
     975DESIGN @f@a@d_main
     976VIEW struct.bd
     977GRAPHIC 8562,0 480 0
     978DESIGN @f@a@d_main
     979VIEW struct.bd
     980GRAPHIC 10380,0 491 0
     981DESIGN @f@a@d_main
     982VIEW struct.bd
     983NO_GRAPHIC 494
     984DESIGN @f@a@d_main
     985VIEW struct.bd
     986GRAPHIC 5678,0 496 0
     987DESIGN @f@a@d_main
     988VIEW struct.bd
     989GRAPHIC 5646,0 498 0
     990DESIGN @f@a@d_main
     991VIEW struct.bd
     992GRAPHIC 4272,0 499 0
     993DESIGN @f@a@d_main
     994VIEW struct.bd
     995GRAPHIC 2786,0 500 0
     996DESIGN @f@a@d_main
     997VIEW struct.bd
     998GRAPHIC 5626,0 501 0
     999DESIGN @f@a@d_main
     1000VIEW struct.bd
     1001GRAPHIC 5634,0 502 0
     1002DESIGN @f@a@d_main
     1003VIEW struct.bd
     1004GRAPHIC 9175,0 504 0
     1005DESIGN @f@a@d_main
     1006VIEW struct.bd
     1007GRAPHIC 4042,0 506 0
     1008DESIGN @f@a@d_main
     1009VIEW struct.bd
     1010GRAPHIC 10036,0 507 0
     1011DESIGN @f@a@d_main
     1012VIEW struct.bd
     1013GRAPHIC 9253,0 508 0
     1014DESIGN @f@a@d_main
     1015VIEW struct.bd
     1016GRAPHIC 9261,0 509 0
     1017DESIGN @f@a@d_main
     1018VIEW struct.bd
     1019GRAPHIC 6072,0 510 0
     1020DESIGN @f@a@d_main
     1021VIEW struct.bd
     1022GRAPHIC 3984,0 511 0
     1023DESIGN @f@a@d_main
     1024VIEW struct.bd
     1025GRAPHIC 3888,0 512 0
     1026DESIGN @f@a@d_main
     1027VIEW struct.bd
     1028GRAPHIC 9353,0 513 0
     1029DESIGN @f@a@d_main
     1030VIEW struct.bd
     1031GRAPHIC 9269,0 514 0
     1032DESIGN @f@a@d_main
     1033VIEW struct.bd
     1034GRAPHIC 9325,0 515 0
     1035DESIGN @f@a@d_main
     1036VIEW struct.bd
     1037GRAPHIC 9283,0 516 0
     1038DESIGN @f@a@d_main
     1039VIEW struct.bd
     1040GRAPHIC 9311,0 517 0
     1041DESIGN @f@a@d_main
     1042VIEW struct.bd
     1043GRAPHIC 9297,0 518 0
     1044DESIGN @f@a@d_main
     1045VIEW struct.bd
     1046GRAPHIC 9367,0 519 0
     1047DESIGN @f@a@d_main
     1048VIEW struct.bd
     1049GRAPHIC 9397,0 520 0
     1050DESIGN @f@a@d_main
     1051VIEW struct.bd
     1052GRAPHIC 9382,0 521 0
     1053DESIGN @f@a@d_main
     1054VIEW struct.bd
     1055GRAPHIC 5072,0 523 0
     1056DESIGN @f@a@d_main
     1057VIEW struct.bd
     1058GRAPHIC 5582,0 525 0
     1059DESIGN @f@a@d_main
     1060VIEW struct.bd
     1061GRAPHIC 5090,0 526 0
     1062DESIGN @f@a@d_main
     1063VIEW struct.bd
     1064GRAPHIC 5130,0 527 0
     1065DESIGN @f@a@d_main
     1066VIEW struct.bd
     1067GRAPHIC 5184,0 528 0
     1068DESIGN @f@a@d_main
     1069VIEW struct.bd
     1070GRAPHIC 5122,0 529 0
     1071DESIGN @f@a@d_main
     1072VIEW struct.bd
     1073GRAPHIC 5106,0 530 0
     1074DESIGN @f@a@d_main
     1075VIEW struct.bd
     1076GRAPHIC 5098,0 531 0
     1077DESIGN @f@a@d_main
     1078VIEW struct.bd
     1079GRAPHIC 5190,0 532 0
     1080DESIGN @f@a@d_main
     1081VIEW struct.bd
     1082GRAPHIC 10194,0 533 0
     1083DESIGN @f@a@d_main
     1084VIEW struct.bd
     1085GRAPHIC 10202,0 534 0
     1086DESIGN @f@a@d_main
     1087VIEW struct.bd
     1088GRAPHIC 6002,0 535 0
     1089DESIGN @f@a@d_main
     1090VIEW struct.bd
     1091GRAPHIC 5146,0 536 0
     1092DESIGN @f@a@d_main
     1093VIEW struct.bd
     1094GRAPHIC 5138,0 537 0
     1095DESIGN @f@a@d_main
     1096VIEW struct.bd
     1097GRAPHIC 5114,0 538 0
     1098DESIGN @f@a@d_main
     1099VIEW struct.bd
     1100GRAPHIC 8277,0 540 0
     1101DESIGN @f@a@d_main
     1102VIEW struct.bd
     1103GRAPHIC 5602,0 542 0
     1104DESIGN @f@a@d_main
     1105VIEW struct.bd
     1106GRAPHIC 334,0 543 0
     1107DESIGN @f@a@d_main
     1108VIEW struct.bd
     1109GRAPHIC 328,0 544 0
     1110DESIGN @f@a@d_main
     1111VIEW struct.bd
     1112GRAPHIC 322,0 545 0
     1113DESIGN @f@a@d_main
     1114VIEW struct.bd
     1115GRAPHIC 4240,0 546 0
     1116DESIGN @f@a@d_main
     1117VIEW struct.bd
     1118GRAPHIC 364,0 547 0
     1119DESIGN @f@a@d_main
     1120VIEW struct.bd
     1121GRAPHIC 370,0 548 0
     1122DESIGN @f@a@d_main
     1123VIEW struct.bd
     1124GRAPHIC 1399,0 550 0
     1125DESIGN @f@a@d_main
     1126VIEW struct.bd
     1127GRAPHIC 1406,0 551 1
     1128DESIGN @f@a@d_main
     1129VIEW struct.bd
     1130GRAPHIC 5602,0 555 0
     1131DESIGN @f@a@d_main
     1132VIEW struct.bd
     1133GRAPHIC 334,0 556 0
     1134DESIGN @f@a@d_main
     1135VIEW struct.bd
     1136GRAPHIC 328,0 557 0
     1137DESIGN @f@a@d_main
     1138VIEW struct.bd
     1139GRAPHIC 322,0 558 0
     1140DESIGN @f@a@d_main
     1141VIEW struct.bd
     1142GRAPHIC 2299,0 559 0
     1143DESIGN @f@a@d_main
     1144VIEW struct.bd
     1145GRAPHIC 2576,0 560 0
     1146DESIGN @f@a@d_main
     1147VIEW struct.bd
     1148GRAPHIC 2582,0 561 0
     1149DESIGN @f@a@d_main
     1150VIEW struct.bd
     1151GRAPHIC 10467,0 562 0
     1152DESIGN @f@a@d_main
     1153VIEW struct.bd
     1154GRAPHIC 2588,0 563 0
     1155DESIGN @f@a@d_main
     1156VIEW struct.bd
     1157GRAPHIC 5184,0 564 0
     1158DESIGN @f@a@d_main
     1159VIEW struct.bd
     1160GRAPHIC 5745,0 565 0
     1161DESIGN @f@a@d_main
     1162VIEW struct.bd
     1163GRAPHIC 2594,0 566 0
     1164DESIGN @f@a@d_main
     1165VIEW struct.bd
     1166GRAPHIC 5190,0 567 0
     1167DESIGN @f@a@d_main
     1168VIEW struct.bd
     1169GRAPHIC 5404,0 568 0
     1170DESIGN @f@a@d_main
     1171VIEW struct.bd
     1172GRAPHIC 6018,0 569 0
     1173DESIGN @f@a@d_main
     1174VIEW struct.bd
     1175GRAPHIC 6002,0 570 0
     1176DESIGN @f@a@d_main
     1177VIEW struct.bd
     1178GRAPHIC 6008,0 571 0
     1179DESIGN @f@a@d_main
     1180VIEW struct.bd
     1181GRAPHIC 5138,0 572 0
     1182DESIGN @f@a@d_main
     1183VIEW struct.bd
     1184GRAPHIC 2600,0 573 0
     1185DESIGN @f@a@d_main
     1186VIEW struct.bd
     1187GRAPHIC 5480,0 574 0
     1188DESIGN @f@a@d_main
     1189VIEW struct.bd
     1190GRAPHIC 5474,0 575 0
     1191DESIGN @f@a@d_main
     1192VIEW struct.bd
     1193GRAPHIC 6064,0 576 0
     1194DESIGN @f@a@d_main
     1195VIEW struct.bd
     1196GRAPHIC 2642,0 577 0
     1197DESIGN @f@a@d_main
     1198VIEW struct.bd
     1199GRAPHIC 1411,0 578 0
     1200DESIGN @f@a@d_main
     1201VIEW struct.bd
     1202GRAPHIC 1682,0 579 0
     1203DESIGN @f@a@d_main
     1204VIEW struct.bd
     1205GRAPHIC 1983,0 580 0
     1206DESIGN @f@a@d_main
     1207VIEW struct.bd
     1208GRAPHIC 10439,0 581 0
     1209DESIGN @f@a@d_main
     1210VIEW struct.bd
     1211GRAPHIC 5950,0 582 0
     1212DESIGN @f@a@d_main
     1213VIEW struct.bd
     1214GRAPHIC 5962,0 583 0
     1215DESIGN @f@a@d_main
     1216VIEW struct.bd
     1217GRAPHIC 5626,0 584 0
     1218DESIGN @f@a@d_main
     1219VIEW struct.bd
     1220GRAPHIC 2778,0 585 0
     1221DESIGN @f@a@d_main
     1222VIEW struct.bd
     1223GRAPHIC 9006,0 586 0
     1224DESIGN @f@a@d_main
     1225VIEW struct.bd
     1226GRAPHIC 5634,0 587 0
     1227DESIGN @f@a@d_main
     1228VIEW struct.bd
     1229GRAPHIC 8577,0 588 0
     1230DESIGN @f@a@d_main
     1231VIEW struct.bd
     1232GRAPHIC 6540,0 589 0
     1233DESIGN @f@a@d_main
     1234VIEW struct.bd
     1235GRAPHIC 4401,0 590 0
     1236DESIGN @f@a@d_main
     1237VIEW struct.bd
     1238GRAPHIC 4419,0 591 0
     1239DESIGN @f@a@d_main
     1240VIEW struct.bd
     1241GRAPHIC 10298,0 592 0
     1242DESIGN @f@a@d_main
     1243VIEW struct.bd
     1244GRAPHIC 10304,0 593 0
     1245DESIGN @f@a@d_main
     1246VIEW struct.bd
     1247GRAPHIC 10316,0 594 0
     1248DESIGN @f@a@d_main
     1249VIEW struct.bd
     1250GRAPHIC 10310,0 595 0
     1251DESIGN @f@a@d_main
     1252VIEW struct.bd
     1253GRAPHIC 4743,0 596 0
     1254DESIGN @f@a@d_main
     1255VIEW struct.bd
     1256GRAPHIC 4407,0 597 0
     1257DESIGN @f@a@d_main
     1258VIEW struct.bd
     1259GRAPHIC 4903,0 599 0
     1260DESIGN @f@a@d_main
     1261VIEW struct.bd
     1262GRAPHIC 4757,0 601 0
     1263DESIGN @f@a@d_main
     1264VIEW struct.bd
     1265GRAPHIC 4401,0 602 0
     1266DESIGN @f@a@d_main
     1267VIEW struct.bd
     1268GRAPHIC 4419,0 603 0
     1269DESIGN @f@a@d_main
     1270VIEW struct.bd
     1271GRAPHIC 4671,0 604 0
     1272DESIGN @f@a@d_main
     1273VIEW struct.bd
     1274GRAPHIC 4679,0 605 0
     1275DESIGN @f@a@d_main
     1276VIEW struct.bd
     1277GRAPHIC 4687,0 606 0
     1278DESIGN @f@a@d_main
     1279VIEW struct.bd
     1280GRAPHIC 4695,0 607 0
     1281DESIGN @f@a@d_main
     1282VIEW struct.bd
     1283GRAPHIC 4407,0 608 0
     1284DESIGN @f@a@d_main
     1285VIEW struct.bd
     1286GRAPHIC 4743,0 609 0
     1287DESIGN @f@a@d_main
     1288VIEW struct.bd
     1289GRAPHIC 10298,0 610 0
     1290DESIGN @f@a@d_main
     1291VIEW struct.bd
     1292GRAPHIC 10310,0 611 0
     1293DESIGN @f@a@d_main
     1294VIEW struct.bd
     1295GRAPHIC 10304,0 612 0
     1296DESIGN @f@a@d_main
     1297VIEW struct.bd
     1298GRAPHIC 10316,0 613 0
     1299DESIGN @f@a@d_main
     1300VIEW struct.bd
     1301GRAPHIC 10322,0 614 0
     1302DESIGN @f@a@d_main
     1303VIEW struct.bd
     1304GRAPHIC 4948,0 615 0
     1305DESIGN @f@a@d_main
     1306VIEW struct.bd
     1307GRAPHIC 10010,0 616 0
     1308DESIGN @f@a@d_main
     1309VIEW struct.bd
     1310GRAPHIC 11209,0 618 0
     1311DESIGN @f@a@d_main
     1312VIEW struct.bd
     1313GRAPHIC 11216,0 619 1
     1314DESIGN @f@a@d_main
     1315VIEW struct.bd
     1316GRAPHIC 10699,0 625 0
     1317DESIGN @f@a@d_main
     1318VIEW struct.bd
     1319GRAPHIC 10723,0 626 0
     1320DESIGN @f@a@d_main
     1321VIEW struct.bd
     1322GRAPHIC 10737,0 627 0
     1323DESIGN @f@a@d_main
     1324VIEW struct.bd
     1325GRAPHIC 10751,0 628 0
     1326DESIGN @f@a@d_main
     1327VIEW struct.bd
     1328GRAPHIC 10707,0 629 0
     1329DESIGN @f@a@d_main
     1330VIEW struct.bd
     1331GRAPHIC 10685,0 630 0
     1332DESIGN @f@a@d_main
     1333VIEW struct.bd
     1334GRAPHIC 10691,0 631 0
     1335DESIGN @f@a@d_main
     1336VIEW struct.bd
     1337GRAPHIC 2311,0 633 0
     1338DESIGN @f@a@d_main
     1339VIEW struct.bd
     1340GRAPHIC 2318,0 634 1
     1341DESIGN @f@a@d_main
     1342VIEW struct.bd
     1343GRAPHIC 6082,0 639 0
     1344DESIGN @f@a@d_main
     1345VIEW struct.bd
     1346GRAPHIC 2588,0 640 0
     1347DESIGN @f@a@d_main
     1348VIEW struct.bd
     1349GRAPHIC 2582,0 641 0
     1350DESIGN @f@a@d_main
     1351VIEW struct.bd
     1352GRAPHIC 10467,0 642 0
     1353DESIGN @f@a@d_main
     1354VIEW struct.bd
     1355GRAPHIC 5168,0 643 0
     1356DESIGN @f@a@d_main
     1357VIEW struct.bd
     1358GRAPHIC 2576,0 644 0
     1359DESIGN @f@a@d_main
     1360VIEW struct.bd
     1361GRAPHIC 2594,0 645 0
     1362DESIGN @f@a@d_main
     1363VIEW struct.bd
     1364GRAPHIC 6018,0 646 0
     1365DESIGN @f@a@d_main
     1366VIEW struct.bd
     1367GRAPHIC 2600,0 647 0
     1368DESIGN @f@a@d_main
     1369VIEW struct.bd
     1370GRAPHIC 2642,0 648 0
     1371DESIGN @f@a@d_main
     1372VIEW struct.bd
     1373GRAPHIC 2488,0 649 0
     1374DESIGN @f@a@d_main
     1375VIEW struct.bd
     1376GRAPHIC 2482,0 650 0
     1377DESIGN @f@a@d_main
     1378VIEW struct.bd
     1379GRAPHIC 2494,0 651 0
     1380DESIGN @f@a@d_main
     1381VIEW struct.bd
     1382GRAPHIC 2476,0 652 0
     1383DESIGN @f@a@d_main
     1384VIEW struct.bd
     1385GRAPHIC 2506,0 653 0
     1386DESIGN @f@a@d_main
     1387VIEW struct.bd
     1388GRAPHIC 2500,0 654 0
     1389DESIGN @f@a@d_main
     1390VIEW struct.bd
     1391GRAPHIC 2470,0 655 0
     1392DESIGN @f@a@d_main
     1393VIEW struct.bd
     1394GRAPHIC 8416,0 656 0
     1395DESIGN @f@a@d_main
     1396VIEW struct.bd
     1397GRAPHIC 2299,0 657 0
     1398DESIGN @f@a@d_main
     1399VIEW struct.bd
     1400GRAPHIC 5793,0 659 0
     1401DESIGN @f@a@d_main
     1402VIEW struct.bd
     1403GRAPHIC 5805,0 661 0
     1404DESIGN @f@a@d_main
     1405VIEW struct.bd
     1406GRAPHIC 5745,0 662 0
     1407DESIGN @f@a@d_main
     1408VIEW struct.bd
     1409GRAPHIC 5146,0 663 0
     1410DESIGN @f@a@d_main
     1411VIEW struct.bd
     1412GRAPHIC 5404,0 664 0
     1413DESIGN @f@a@d_main
     1414VIEW struct.bd
     1415GRAPHIC 6008,0 665 0
     1416DESIGN @f@a@d_main
     1417VIEW struct.bd
     1418GRAPHIC 5829,0 666 0
     1419DESIGN @f@a@d_main
     1420VIEW struct.bd
     1421GRAPHIC 6160,0 667 0
     1422DESIGN @f@a@d_main
     1423VIEW struct.bd
     1424GRAPHIC 8732,0 668 0
     1425DESIGN @f@a@d_main
     1426VIEW struct.bd
     1427GRAPHIC 5480,0 669 0
     1428DESIGN @f@a@d_main
     1429VIEW struct.bd
     1430GRAPHIC 5837,0 670 0
     1431DESIGN @f@a@d_main
     1432VIEW struct.bd
     1433GRAPHIC 5474,0 671 0
     1434DESIGN @f@a@d_main
     1435VIEW struct.bd
     1436GRAPHIC 5821,0 672 0
     1437DESIGN @f@a@d_main
     1438VIEW struct.bd
     1439GRAPHIC 1768,0 674 0
     1440DESIGN @f@a@d_main
     1441VIEW struct.bd
     1442GRAPHIC 1983,0 676 0
     1443DESIGN @f@a@d_main
     1444VIEW struct.bd
     1445GRAPHIC 10443,0 677 0
     1446DESIGN @f@a@d_main
     1447VIEW struct.bd
     1448GRAPHIC 6276,0 678 0
     1449DESIGN @f@a@d_main
     1450VIEW struct.bd
     1451GRAPHIC 1606,0 680 0
     1452DESIGN @f@a@d_main
     1453VIEW struct.bd
     1454GRAPHIC 1613,0 681 1
     1455DESIGN @f@a@d_main
     1456VIEW struct.bd
     1457GRAPHIC 3888,0 685 0
     1458DESIGN @f@a@d_main
     1459VIEW struct.bd
     1460GRAPHIC 376,0 686 0
     1461DESIGN @f@a@d_main
     1462VIEW struct.bd
     1463GRAPHIC 384,0 687 0
     1464DESIGN @f@a@d_main
     1465VIEW struct.bd
     1466GRAPHIC 392,0 688 0
     1467DESIGN @f@a@d_main
     1468VIEW struct.bd
     1469GRAPHIC 400,0 689 0
     1470DESIGN @f@a@d_main
     1471VIEW struct.bd
     1472GRAPHIC 408,0 690 0
     1473DESIGN @f@a@d_main
     1474VIEW struct.bd
     1475GRAPHIC 5222,0 691 0
     1476DESIGN @f@a@d_main
     1477VIEW struct.bd
     1478GRAPHIC 424,0 692 0
     1479DESIGN @f@a@d_main
     1480VIEW struct.bd
     1481GRAPHIC 432,0 693 0
     1482DESIGN @f@a@d_main
     1483VIEW struct.bd
     1484GRAPHIC 2482,0 694 0
     1485DESIGN @f@a@d_main
     1486VIEW struct.bd
     1487GRAPHIC 2488,0 695 0
     1488DESIGN @f@a@d_main
     1489VIEW struct.bd
     1490GRAPHIC 370,0 696 0
     1491DESIGN @f@a@d_main
     1492VIEW struct.bd
     1493GRAPHIC 364,0 697 0
     1494DESIGN @f@a@d_main
     1495VIEW struct.bd
     1496GRAPHIC 2476,0 698 0
     1497DESIGN @f@a@d_main
     1498VIEW struct.bd
     1499GRAPHIC 8416,0 699 0
     1500DESIGN @f@a@d_main
     1501VIEW struct.bd
     1502GRAPHIC 2470,0 700 0
     1503DESIGN @f@a@d_main
     1504VIEW struct.bd
     1505GRAPHIC 2506,0 701 0
     1506DESIGN @f@a@d_main
     1507VIEW struct.bd
     1508GRAPHIC 2500,0 702 0
     1509DESIGN @f@a@d_main
     1510VIEW struct.bd
     1511GRAPHIC 2494,0 703 0
     1512DESIGN @f@a@d_main
     1513VIEW struct.bd
     1514GRAPHIC 10266,0 704 0
     1515DESIGN @f@a@d_main
     1516VIEW struct.bd
     1517GRAPHIC 5950,0 705 0
     1518DESIGN @f@a@d_main
     1519VIEW struct.bd
     1520GRAPHIC 5962,0 706 0
     1521DESIGN @f@a@d_main
     1522VIEW struct.bd
     1523GRAPHIC 5090,0 707 0
     1524DESIGN @f@a@d_main
     1525VIEW struct.bd
     1526GRAPHIC 5114,0 708 0
     1527DESIGN @f@a@d_main
     1528VIEW struct.bd
     1529GRAPHIC 5122,0 709 0
     1530DESIGN @f@a@d_main
     1531VIEW struct.bd
     1532GRAPHIC 5130,0 710 0
     1533DESIGN @f@a@d_main
     1534VIEW struct.bd
     1535GRAPHIC 10194,0 711 0
     1536DESIGN @f@a@d_main
     1537VIEW struct.bd
     1538GRAPHIC 10202,0 712 0
     1539DESIGN @f@a@d_main
     1540VIEW struct.bd
     1541GRAPHIC 5106,0 713 0
     1542DESIGN @f@a@d_main
     1543VIEW struct.bd
     1544GRAPHIC 6362,0 714 0
     1545DESIGN @f@a@d_main
     1546VIEW struct.bd
     1547GRAPHIC 6452,0 715 0
     1548DESIGN @f@a@d_main
     1549VIEW struct.bd
     1550GRAPHIC 8752,0 716 0
     1551DESIGN @f@a@d_main
     1552VIEW struct.bd
     1553GRAPHIC 9233,0 717 0
     1554DESIGN @f@a@d_main
     1555VIEW struct.bd
     1556GRAPHIC 9241,0 718 0
     1557DESIGN @f@a@d_main
     1558VIEW struct.bd
     1559GRAPHIC 9943,0 719 0
     1560DESIGN @f@a@d_main
     1561VIEW struct.bd
     1562GRAPHIC 9951,0 720 0
     1563DESIGN @f@a@d_main
     1564VIEW struct.bd
     1565GRAPHIC 10637,0 721 0
     1566DESIGN @f@a@d_main
     1567VIEW struct.bd
     1568GRAPHIC 10629,0 722 0
     1569DESIGN @f@a@d_main
     1570VIEW struct.bd
     1571GRAPHIC 6276,0 726 0
     1572DESIGN @f@a@d_main
     1573VIEW struct.bd
     1574GRAPHIC 3888,0 727 0
     1575DESIGN @f@a@d_main
     1576VIEW struct.bd
     1577NO_GRAPHIC 729
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd

    r10074 r10075  
    297297(vvPair
    298298variable "time"
    299 value "13:01:09"
     299value "18:14:31"
    300300)
    301301(vvPair
     
    27572757)
    27582758xt "39000,62400,67500,63200"
    2759 st "SIGNAL board_id        : std_logic_vector(3 downto 0)"
     2759st "SIGNAL board_id        : std_logic_vector(3 downto 0)
     2760"
    27602761)
    27612762)
     
    27752776)
    27762777xt "39000,63200,67500,64000"
    2777 st "SIGNAL crate_id        : std_logic_vector(1 downto 0)"
     2778st "SIGNAL crate_id        : std_logic_vector(1 downto 0)
     2779"
    27782780)
    27792781)
     
    30983100)
    30993101xt "39000,61600,63000,62400"
    3100 st "SIGNAL adc_data_array  : adc_data_array_type"
     3102st "SIGNAL adc_data_array  : adc_data_array_type
     3103"
    31013104)
    31023105)
     
    31163119)
    31173120xt "39000,35800,67500,36600"
    3118 st "RSRLOAD         : std_logic                    := '0'"
     3121st "RSRLOAD         : std_logic                    := '0'
     3122"
    31193123)
    31203124)
     
    31793183)
    31803184xt "39000,60000,71000,60800"
    3181 st "SIGNAL SRCLK           : std_logic                    := '0'"
     3185st "SIGNAL SRCLK           : std_logic                    := '0'
     3186"
    31823187)
    31833188)
     
    31973202)
    31983203xt "39000,66400,67500,67200"
    3199 st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)"
     3204st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)
     3205"
    32003206)
    32013207)
     
    32143220)
    32153221xt "39000,23000,54000,23800"
    3216 st "DAC_CS          : std_logic"
     3222st "DAC_CS          : std_logic
     3223"
    32173224)
    32183225)
     
    32783285)
    32793286xt "39000,15800,54000,16600"
    3280 st "X_50M           : STD_LOGIC"
     3287st "X_50M           : STD_LOGIC
     3288"
    32813289)
    32823290)
     
    32953303)
    32963304xt "39000,14200,54000,15000"
    3297 st "TRG             : STD_LOGIC"
     3305st "TRG             : STD_LOGIC
     3306"
    32983307)
    32993308)
     
    34043413)
    34053414xt "39000,19000,64000,19800"
    3406 st "A_CLK           : std_logic_vector(3 downto 0)"
     3415st "A_CLK           : std_logic_vector(3 downto 0)
     3416"
    34073417)
    34083418)
     
    34213431)
    34223432xt "39000,51200,57500,52000"
    3423 st "SIGNAL CLK_25_PS       : std_logic"
     3433st "SIGNAL CLK_25_PS       : std_logic
     3434"
    34243435)
    34253436)
     
    34853496)
    34863497xt "39000,30200,54000,31000"
    3487 st "OE_ADC          : STD_LOGIC"
     3498st "OE_ADC          : STD_LOGIC
     3499"
    34883500)
    34893501)
     
    35483560)
    35493561xt "39000,7000,64000,7800"
    3550 st "A_OTR           : std_logic_vector(3 DOWNTO 0)"
     3562st "A_OTR           : std_logic_vector(3 DOWNTO 0)
     3563"
    35513564)
    35523565)
     
    38373850)
    38383851xt "39000,3800,64500,4600"
    3839 st "A0_D            : std_logic_vector(11 DOWNTO 0)"
     3852st "A0_D            : std_logic_vector(11 DOWNTO 0)
     3853"
    38403854)
    38413855)
     
    38553869)
    38563870xt "39000,4600,64500,5400"
    3857 st "A1_D            : std_logic_vector(11 DOWNTO 0)"
     3871st "A1_D            : std_logic_vector(11 DOWNTO 0)
     3872"
    38583873)
    38593874)
     
    38733888)
    38743889xt "39000,5400,64500,6200"
    3875 st "A2_D            : std_logic_vector(11 DOWNTO 0)"
     3890st "A2_D            : std_logic_vector(11 DOWNTO 0)
     3891"
    38763892)
    38773893)
     
    38913907)
    38923908xt "39000,6200,64500,7000"
    3893 st "A3_D            : std_logic_vector(11 DOWNTO 0)"
     3909st "A3_D            : std_logic_vector(11 DOWNTO 0)
     3910"
    38943911)
    38953912)
     
    39994016)
    40004017xt "39000,19800,54000,20600"
    4001 st "D0_SRCLK        : STD_LOGIC"
     4018st "D0_SRCLK        : STD_LOGIC
     4019"
    40024020)
    40034021)
     
    40164034)
    40174035xt "39000,20600,54000,21400"
    4018 st "D1_SRCLK        : STD_LOGIC"
     4036st "D1_SRCLK        : STD_LOGIC
     4037"
    40194038)
    40204039)
     
    40334052)
    40344053xt "39000,21400,54000,22200"
    4035 st "D2_SRCLK        : STD_LOGIC"
     4054st "D2_SRCLK        : STD_LOGIC
     4055"
    40364056)
    40374057)
     
    40504070)
    40514071xt "39000,22200,54000,23000"
    4052 st "D3_SRCLK        : STD_LOGIC"
     4072st "D3_SRCLK        : STD_LOGIC
     4073"
    40534074)
    40544075)
     
    42474268)
    42484269xt "39000,7800,54000,8600"
    4249 st "D0_SROUT        : std_logic"
     4270st "D0_SROUT        : std_logic
     4271"
    42504272)
    42514273)
     
    42644286)
    42654287xt "39000,8600,54000,9400"
    4266 st "D1_SROUT        : std_logic"
     4288st "D1_SROUT        : std_logic
     4289"
    42674290)
    42684291)
     
    42814304)
    42824305xt "39000,9400,54000,10200"
    4283 st "D2_SROUT        : std_logic"
     4306st "D2_SROUT        : std_logic
     4307"
    42844308)
    42854309)
     
    42984322)
    42994323xt "39000,10200,54000,11000"
    4300 st "D3_SROUT        : std_logic"
     4324st "D3_SROUT        : std_logic
     4325"
    43014326)
    43024327)
     
    43624387)
    43634388xt "39000,25400,73500,26200"
    4364 st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')"
     4389st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')
     4390"
    43654391)
    43664392)
     
    44254451)
    44264452xt "39000,24600,67500,25400"
    4427 st "DWRITE          : std_logic                    := '0'"
     4453st "DWRITE          : std_logic                    := '0'
     4454"
    44284455)
    44294456)
     
    47534780)
    47544781xt "39000,38200,54000,39000"
    4755 st "T0_CS           : std_logic"
     4782st "T0_CS           : std_logic
     4783"
    47564784)
    47574785)
     
    47704798)
    47714799xt "39000,39000,54000,39800"
    4772 st "T1_CS           : std_logic"
     4800st "T1_CS           : std_logic
     4801"
    47734802)
    47744803)
     
    47874816)
    47884817xt "39000,39800,54000,40600"
    4789 st "T2_CS           : std_logic"
     4818st "T2_CS           : std_logic
     4819"
    47904820)
    47914821)
     
    48044834)
    48054835xt "39000,40600,54000,41400"
    4806 st "T3_CS           : std_logic"
     4836st "T3_CS           : std_logic
     4837"
    48074838)
    48084839)
     
    48654896)
    48664897xt "39000,37400,54000,38200"
    4867 st "S_CLK           : std_logic"
     4898st "S_CLK           : std_logic
     4899"
    48684900)
    48694901)
     
    48834915)
    48844916xt "39000,42200,64000,43000"
    4885 st "W_A             : std_logic_vector(9 DOWNTO 0)"
     4917st "W_A             : std_logic_vector(9 DOWNTO 0)
     4918"
    48864919)
    48874920)
     
    49014934)
    49024935xt "39000,47000,64500,47800"
    4903 st "W_D             : std_logic_vector(15 DOWNTO 0)"
     4936st "W_D             : std_logic_vector(15 DOWNTO 0)
     4937"
    49044938)
    49054939)
     
    49194953)
    49204954xt "39000,44600,67500,45400"
    4921 st "W_RES           : std_logic                    := '1'"
     4955st "W_RES           : std_logic                    := '1'
     4956"
    49224957)
    49234958)
     
    49374972)
    49384973xt "39000,43800,67500,44600"
    4939 st "W_RD            : std_logic                    := '1'"
     4974st "W_RD            : std_logic                    := '1'
     4975"
    49404976)
    49414977)
     
    49554991)
    49564992xt "39000,45400,67500,46200"
    4957 st "W_WR            : std_logic                    := '1'"
     4993st "W_WR            : std_logic                    := '1'
     4994"
    49584995)
    49594996)
     
    49725009)
    49735010xt "39000,15000,54000,15800"
    4974 st "W_INT           : std_logic"
     5011st "W_INT           : std_logic
     5012"
    49755013)
    49765014)
     
    49905028)
    49915029xt "39000,43000,67500,43800"
    4992 st "W_CS            : std_logic                    := '1'"
     5030st "W_CS            : std_logic                    := '1'
     5031"
    49935032)
    49945033)
     
    50505089)
    50515090xt "39000,29400,67500,30200"
    5052 st "MOSI            : std_logic                    := '0'"
     5091st "MOSI            : std_logic                    := '0'
     5092"
    50535093)
    50545094)
     
    51135153)
    51145154xt "39000,46200,54000,47000"
    5115 st "MISO            : std_logic"
     5155st "MISO            : std_logic
     5156"
    51165157)
    51175158)
     
    55395580)
    55405581xt "39000,41400,54000,42200"
    5541 st "TRG_V           : std_logic"
     5582st "TRG_V           : std_logic
     5583"
    55425584)
    55435585)
     
    55565598)
    55575599xt "39000,33400,54000,34200"
    5558 st "RS485_C_RE      : std_logic"
     5600st "RS485_C_RE      : std_logic
     5601"
    55595602)
    55605603)
     
    55735616)
    55745617xt "39000,31800,54000,32600"
    5575 st "RS485_C_DE      : std_logic"
     5618st "RS485_C_DE      : std_logic
     5619"
    55765620)
    55775621)
     
    55905634)
    55915635xt "39000,35000,54000,35800"
    5592 st "RS485_E_RE      : std_logic"
     5636st "RS485_E_RE      : std_logic
     5637"
    55935638)
    55945639)
     
    56075652)
    56085653xt "39000,34200,54000,35000"
    5609 st "RS485_E_DE      : std_logic"
     5654st "RS485_E_DE      : std_logic
     5655"
    56105656)
    56115657)
     
    56255671)
    56265672xt "39000,23800,67500,24600"
    5627 st "DENABLE         : std_logic                    := '0'"
     5673st "DENABLE         : std_logic                    := '0'
     5674"
    56285675)
    56295676)
     
    56425689)
    56435690xt "39000,27800,54000,28600"
    5644 st "EE_CS           : std_logic"
     5691st "EE_CS           : std_logic
     5692"
    56455693)
    56465694)
     
    58855933)
    58865934xt "39000,26200,73500,27000"
    5887 st "D_T             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
     5935st "D_T             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
     5936"
    58885937)
    58895938)
     
    59485997)
    59495998xt "39000,11000,64000,11800"
    5950 st "D_PLLLCK        : std_logic_vector(3 DOWNTO 0)"
     5999st "D_PLLLCK        : std_logic_vector(3 DOWNTO 0)
     6000"
    59516001)
    59526002)
     
    60116061)
    60126062xt "39000,27000,73500,27800"
    6013 st "D_T2            : std_logic_vector(3 DOWNTO 0) := (others => '0')"
     6063st "D_T2            : std_logic_vector(3 DOWNTO 0) := (others => '0')
     6064"
    60146065)
    60156066)
     
    62506301)
    62516302xt "39000,17400,73500,18200"
    6252 st "A1_T            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
     6303st "A1_T            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
     6304"
    62536305)
    62546306)
     
    62676319)
    62686320xt "39000,64800,57500,65600"
    6269 st "SIGNAL dummy           : std_logic"
     6321st "SIGNAL dummy           : std_logic
     6322"
    62706323)
    62716324)
     
    66036656)
    66046657xt "39000,64000,77000,64800"
    6605 st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0) := (others => '0')"
     6658st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0) := (others => '0')
     6659"
    66066660)
    66076661)
     
    66206674)
    66216675xt "39000,52800,57500,53600"
    6622 st "SIGNAL CLK_50          : std_logic"
     6676st "SIGNAL CLK_50          : std_logic
     6677"
    66236678)
    66246679)
     
    70047059)
    70057060xt "39000,52000,57500,52800"
    7006 st "SIGNAL CLK_25_PS1      : std_logic"
     7061st "SIGNAL CLK_25_PS1      : std_logic
     7062"
    70077063)
    70087064)
     
    70227078)
    70237079xt "39000,60800,71000,61600"
    7024 st "SIGNAL adc_clk_en      : std_logic                    := '0'"
     7080st "SIGNAL adc_clk_en      : std_logic                    := '0'
     7081"
    70257082)
    70267083)
     
    70857142)
    70867143xt "39000,16600,73500,17400"
    7087 st "A0_T            : std_logic_vector(7 DOWNTO 0) := (others => '0')"
     7144st "A0_T            : std_logic_vector(7 DOWNTO 0) := (others => '0')
     7145"
    70887146)
    70897147)
     
    72077265)
    72087266xt "39000,50400,57500,51200"
    7209 st "SIGNAL CLK50_OUT       : std_logic"
     7267st "SIGNAL CLK50_OUT       : std_logic
     7268"
    72107269)
    72117270)
     
    72247283)
    72257284xt "39000,48800,57500,49600"
    7226 st "SIGNAL CLK25_OUT       : std_logic"
     7285st "SIGNAL CLK25_OUT       : std_logic
     7286"
    72277287)
    72287288)
     
    72417301)
    72427302xt "39000,49600,57500,50400"
    7243 st "SIGNAL CLK25_PSOUT     : std_logic"
     7303st "SIGNAL CLK25_PSOUT     : std_logic
     7304"
    72447305)
    72457306)
     
    72587319)
    72597320xt "39000,58400,57500,59200"
    7260 st "SIGNAL PS_DIR_IN       : std_logic"
     7321st "SIGNAL PS_DIR_IN       : std_logic
     7322"
    72617323)
    72627324)
     
    72757337)
    72767338xt "39000,59200,57500,60000"
    7277 st "SIGNAL PS_DO_IN        : std_logic"
     7339st "SIGNAL PS_DO_IN        : std_logic
     7340"
    72787341)
    72797342)
     
    72927355)
    72937356xt "39000,56800,57500,57600"
    7294 st "SIGNAL PSEN_OUT        : std_logic"
     7357st "SIGNAL PSEN_OUT        : std_logic
     7358"
    72957359)
    72967360)
     
    73097373)
    73107374xt "39000,57600,57500,58400"
    7311 st "SIGNAL PSINCDEC_OUT    : std_logic"
     7375st "SIGNAL PSINCDEC_OUT    : std_logic
     7376"
    73127377)
    73137378)
     
    73287393)
    73297394xt "39000,53600,57500,54400"
    7330 st "SIGNAL DCM_locked      : std_logic"
     7395st "SIGNAL DCM_locked      : std_logic
     7396"
    73317397)
    73327398)
     
    73487414)
    73497415xt "39000,65600,71000,66400"
    7350 st "SIGNAL ready           : std_logic                    := '0'"
     7416st "SIGNAL ready           : std_logic                    := '0'
     7417"
    73517418)
    73527419)
     
    73707437xt "39000,67200,71000,68800"
    73717438st "-- status:
    7372 SIGNAL shifting        : std_logic                    := '0'"
     7439SIGNAL shifting        : std_logic                    := '0'
     7440"
    73737441)
    73747442)
     
    73877455)
    73887456xt "39000,56000,57500,56800"
    7389 st "SIGNAL PSDONE_extraOUT : std_logic"
     7457st "SIGNAL PSDONE_extraOUT : std_logic
     7458"
    73907459)
    73917460)
     
    74047473)
    74057474xt "39000,55200,57500,56000"
    7406 st "SIGNAL PSCLK_OUT       : std_logic"
     7475st "SIGNAL PSCLK_OUT       : std_logic
     7476"
    74077477)
    74087478)
     
    74217491)
    74227492xt "39000,54400,57500,55200"
    7423 st "SIGNAL LOCKED_extraOUT : std_logic"
     7493st "SIGNAL LOCKED_extraOUT : std_logic
     7494"
    74247495)
    74257496)
     
    74837554)
    74847555xt "39000,11800,54000,12600"
    7485 st "RS485_C_DI      : std_logic"
     7556st "RS485_C_DI      : std_logic
     7557"
    74867558)
    74877559)
     
    75447616)
    75457617xt "39000,32600,54000,33400"
    7546 st "RS485_C_DO      : std_logic"
     7618st "RS485_C_DO      : std_logic
     7619"
    75477620)
    75487621)
     
    76067679)
    76077680xt "39000,12600,54000,13400"
    7608 st "RS485_E_DI      : std_logic"
     7681st "RS485_E_DI      : std_logic
     7682"
    76097683)
    76107684)
     
    76237697)
    76247698xt "39000,13400,54000,14200"
    7625 st "RS485_E_DO      : std_logic"
     7699st "RS485_E_DO      : std_logic
     7700"
    76267701)
    76277702)
     
    77297804)
    77307805xt "39000,36600,67500,37400"
    7731 st "SRIN            : std_logic                    := '0'"
     7806st "SRIN            : std_logic                    := '0'
     7807"
    77327808)
    77337809)
     
    78787954)
    78797955xt "39000,18200,54000,19000"
    7880 st "AMBER_LED       : std_logic"
     7956st "AMBER_LED       : std_logic
     7957"
    78817958)
    78827959)
     
    78957972)
    78967973xt "39000,28600,54000,29400"
    7897 st "GREEN_LED       : std_logic"
     7974st "GREEN_LED       : std_logic
     7975"
    78987976)
    78997977)
     
    79127990)
    79137991xt "39000,31000,54000,31800"
    7914 st "RED_LED         : std_logic"
     7992st "RED_LED         : std_logic
     7993"
    79157994)
    79167995)
     
    1135111430vasetType 3
    1135211431)
    11353 xt "80750,142000,87000,142000"
    11354 pts [
    11355 "80750,142000"
     11432xt "80750,142000,87000,143000"
     11433pts [
     11434"80750,143000"
    1135611435"87000,142000"
    1135711436]
    1135811437)
    11359 start &61
     11438start &62
    1136011439end &262
    11361 ss 0
    1136211440sat 32
    1136311441eat 32
     
    1137411452isHidden 1
    1137511453)
    11376 xt "83000,141000,88100,142000"
     11454xt "83000,142000,88100,143000"
    1137711455st "GREEN_LED"
    11378 blo "83000,141800"
     11456blo "83000,142800"
    1137911457tm "WireNameMgr"
    1138011458)
     
    1138911467vasetType 3
    1139011468)
    11391 xt "80750,143000,87000,143000"
    11392 pts [
    11393 "80750,143000"
     11469xt "80750,142000,87000,143000"
     11470pts [
     11471"80750,142000"
    1139411472"87000,143000"
    1139511473]
    1139611474)
    11397 start &62
     11475start &61
    1139811476end &263
    1139911477sat 32
     
    1141111489isHidden 1
    1141211490)
    11413 xt "83000,142000,87000,143000"
     11491xt "83000,141000,87000,142000"
    1141411492st "RED_LED"
    11415 blo "83000,142800"
     11493blo "83000,141800"
    1141611494tm "WireNameMgr"
    1141711495)
     
    1154811626hasePageBreakOrigin 1
    1154911627pageBreakOrigin "0,0"
    11550 lastUid 12773,0
     11628lastUid 12954,0
    1155111629defaultCommentText (CommentText
    1155211630shape (Rectangle
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd.bak

    r10074 r10075  
    27572757)
    27582758xt "39000,62400,67500,63200"
    2759 st "SIGNAL board_id        : std_logic_vector(3 downto 0)
    2760 "
     2759st "SIGNAL board_id        : std_logic_vector(3 downto 0)"
    27612760)
    27622761)
     
    27762775)
    27772776xt "39000,63200,67500,64000"
    2778 st "SIGNAL crate_id        : std_logic_vector(1 downto 0)
    2779 "
     2777st "SIGNAL crate_id        : std_logic_vector(1 downto 0)"
    27802778)
    27812779)
     
    31003098)
    31013099xt "39000,61600,63000,62400"
    3102 st "SIGNAL adc_data_array  : adc_data_array_type
    3103 "
     3100st "SIGNAL adc_data_array  : adc_data_array_type"
    31043101)
    31053102)
     
    31193116)
    31203117xt "39000,35800,67500,36600"
    3121 st "RSRLOAD         : std_logic                    := '0'
    3122 "
     3118st "RSRLOAD         : std_logic                    := '0'"
    31233119)
    31243120)
     
    31833179)
    31843180xt "39000,60000,71000,60800"
    3185 st "SIGNAL SRCLK           : std_logic                    := '0'
    3186 "
     3181st "SIGNAL SRCLK           : std_logic                    := '0'"
    31873182)
    31883183)
     
    32023197)
    32033198xt "39000,66400,67500,67200"
    3204 st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)
    3205 "
     3199st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)"
    32063200)
    32073201)
     
    32203214)
    32213215xt "39000,23000,54000,23800"
    3222 st "DAC_CS          : std_logic
    3223 "
     3216st "DAC_CS          : std_logic"
    32243217)
    32253218)
     
    32853278)
    32863279xt "39000,15800,54000,16600"
    3287 st "X_50M           : STD_LOGIC
    3288 "
     3280st "X_50M           : STD_LOGIC"
    32893281)
    32903282)
     
    33033295)
    33043296xt "39000,14200,54000,15000"
    3305 st "TRG             : STD_LOGIC
    3306 "
     3297st "TRG             : STD_LOGIC"
    33073298)
    33083299)
     
    34133404)
    34143405xt "39000,19000,64000,19800"
    3415 st "A_CLK           : std_logic_vector(3 downto 0)
    3416 "
     3406st "A_CLK           : std_logic_vector(3 downto 0)"
    34173407)
    34183408)
     
    34313421)
    34323422xt "39000,51200,57500,52000"
    3433 st "SIGNAL CLK_25_PS       : std_logic
    3434 "
     3423st "SIGNAL CLK_25_PS       : std_logic"
    34353424)
    34363425)
     
    34963485)
    34973486xt "39000,30200,54000,31000"
    3498 st "OE_ADC          : STD_LOGIC
    3499 "
     3487st "OE_ADC          : STD_LOGIC"
    35003488)
    35013489)
     
    35603548)
    35613549xt "39000,7000,64000,7800"
    3562 st "A_OTR           : std_logic_vector(3 DOWNTO 0)
    3563 "
     3550st "A_OTR           : std_logic_vector(3 DOWNTO 0)"
    35643551)
    35653552)
     
    38503837)
    38513838xt "39000,3800,64500,4600"
    3852 st "A0_D            : std_logic_vector(11 DOWNTO 0)
    3853 "
     3839st "A0_D            : std_logic_vector(11 DOWNTO 0)"
    38543840)
    38553841)
     
    38693855)
    38703856xt "39000,4600,64500,5400"
    3871 st "A1_D            : std_logic_vector(11 DOWNTO 0)
    3872 "
     3857st "A1_D            : std_logic_vector(11 DOWNTO 0)"
    38733858)
    38743859)
     
    38883873)
    38893874xt "39000,5400,64500,6200"
    3890 st "A2_D            : std_logic_vector(11 DOWNTO 0)
    3891 "
     3875st "A2_D            : std_logic_vector(11 DOWNTO 0)"
    38923876)
    38933877)
     
    39073891)
    39083892xt "39000,6200,64500,7000"
    3909 st "A3_D            : std_logic_vector(11 DOWNTO 0)
    3910 "
     3893st "A3_D            : std_logic_vector(11 DOWNTO 0)"
    39113894)
    39123895)
     
    40163999)
    40174000xt "39000,19800,54000,20600"
    4018 st "D0_SRCLK        : STD_LOGIC
    4019 "
     4001st "D0_SRCLK        : STD_LOGIC"
    40204002)
    40214003)
     
    40344016)
    40354017xt "39000,20600,54000,21400"
    4036 st "D1_SRCLK        : STD_LOGIC
    4037 "
     4018st "D1_SRCLK        : STD_LOGIC"
    40384019)
    40394020)
     
    40524033)
    40534034xt "39000,21400,54000,22200"
    4054 st "D2_SRCLK        : STD_LOGIC
    4055 "
     4035st "D2_SRCLK        : STD_LOGIC"
    40564036)
    40574037)
     
    40704050)
    40714051xt "39000,22200,54000,23000"
    4072 st "D3_SRCLK        : STD_LOGIC
    4073 "
     4052st "D3_SRCLK        : STD_LOGIC"
    40744053)
    40754054)
     
    42684247)
    42694248xt "39000,7800,54000,8600"
    4270 st "D0_SROUT        : std_logic
    4271 "
     4249st "D0_SROUT        : std_logic"
    42724250)
    42734251)
     
    42864264)
    42874265xt "39000,8600,54000,9400"
    4288 st "D1_SROUT        : std_logic
    4289 "
     4266st "D1_SROUT        : std_logic"
    42904267)
    42914268)
     
    43044281)
    43054282xt "39000,9400,54000,10200"
    4306 st "D2_SROUT        : std_logic
    4307 "
     4283st "D2_SROUT        : std_logic"
    43084284)
    43094285)
     
    43224298)
    43234299xt "39000,10200,54000,11000"
    4324 st "D3_SROUT        : std_logic
    4325 "
     4300st "D3_SROUT        : std_logic"
    43264301)
    43274302)
     
    43874362)
    43884363xt "39000,25400,73500,26200"
    4389 st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')
    4390 "
     4364st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')"
    43914365)
    43924366)
     
    44514425)
    44524426xt "39000,24600,67500,25400"
    4453 st "DWRITE          : std_logic                    := '0'
    4454 "
     4427st "DWRITE          : std_logic                    := '0'"
    44554428)
    44564429)
     
    47804753)
    47814754xt "39000,38200,54000,39000"
    4782 st "T0_CS           : std_logic
    4783 "
     4755st "T0_CS           : std_logic"
    47844756)
    47854757)
     
    47984770)
    47994771xt "39000,39000,54000,39800"
    4800 st "T1_CS           : std_logic
    4801 "
     4772st "T1_CS           : std_logic"
    48024773)
    48034774)
     
    48164787)
    48174788xt "39000,39800,54000,40600"
    4818 st "T2_CS           : std_logic
    4819 "
     4789st "T2_CS           : std_logic"
    48204790)
    48214791)
     
    48344804)
    48354805xt "39000,40600,54000,41400"
    4836 st "T3_CS           : std_logic
    4837 "
     4806st "T3_CS           : std_logic"
    48384807)
    48394808)
     
    48964865)
    48974866xt "39000,37400,54000,38200"
    4898 st "S_CLK           : std_logic
    4899 "
     4867st "S_CLK           : std_logic"
    49004868)
    49014869)
     
    49154883)
    49164884xt "39000,42200,64000,43000"
    4917 st "W_A             : std_logic_vector(9 DOWNTO 0)
    4918 "
     4885st "W_A             : std_logic_vector(9 DOWNTO 0)"
    49194886)
    49204887)
     
    49344901)
    49354902xt "39000,47000,64500,47800"
    4936 st "W_D             : std_logic_vector(15 DOWNTO 0)
    4937 "
     4903st "W_D             : std_logic_vector(15 DOWNTO 0)"
    49384904)
    49394905)
     
    49534919)
    49544920xt "39000,44600,67500,45400"
    4955 st "W_RES           : std_logic                    := '1'
    4956 "
     4921st "W_RES           : std_logic                    := '1'"
    49574922)
    49584923)
     
    49724937)
    49734938xt "39000,43800,67500,44600"
    4974 st "W_RD            : std_logic                    := '1'
    4975 "
     4939st "W_RD            : std_logic                    := '1'"
    49764940)
    49774941)
     
    49914955)
    49924956xt "39000,45400,67500,46200"
    4993 st "W_WR            : std_logic                    := '1'
    4994 "
     4957st "W_WR            : std_logic                    := '1'"
    49954958)
    49964959)
     
    50094972)
    50104973xt "39000,15000,54000,15800"
    5011 st "W_INT           : std_logic
    5012 "
     4974st "W_INT           : std_logic"
    50134975)
    50144976)
     
    50284990)
    50294991xt "39000,43000,67500,43800"
    5030 st "W_CS            : std_logic                    := '1'
    5031 "
     4992st "W_CS            : std_logic                    := '1'"
    50324993)
    50334994)
     
    50895050)
    50905051xt "39000,29400,67500,30200"
    5091 st "MOSI            : std_logic                    := '0'
    5092 "
     5052st "MOSI            : std_logic                    := '0'"
    50935053)
    50945054)
     
    51535113)
    51545114xt "39000,46200,54000,47000"
    5155 st "MISO            : std_logic
    5156 "
     5115st "MISO            : std_logic"
    51575116)
    51585117)
     
    55805539)
    55815540xt "39000,41400,54000,42200"
    5582 st "TRG_V           : std_logic
    5583 "
     5541st "TRG_V           : std_logic"
    55845542)
    55855543)
     
    55985556)
    55995557xt "39000,33400,54000,34200"
    5600 st "RS485_C_RE      : std_logic
    5601 "
     5558st "RS485_C_RE      : std_logic"
    56025559)
    56035560)
     
    56165573)
    56175574xt "39000,31800,54000,32600"
    5618 st "RS485_C_DE      : std_logic
    5619 "
     5575st "RS485_C_DE      : std_logic"
    56205576)
    56215577)
     
    56345590)
    56355591xt "39000,35000,54000,35800"
    5636 st "RS485_E_RE      : std_logic
    5637 "
     5592st "RS485_E_RE      : std_logic"
    56385593)
    56395594)
     
    56525607)
    56535608xt "39000,34200,54000,35000"
    5654 st "RS485_E_DE      : std_logic
    5655 "
     5609st "RS485_E_DE      : std_logic"
    56565610)
    56575611)
     
    56715625)
    56725626xt "39000,23800,67500,24600"
    5673 st "DENABLE         : std_logic                    := '0'
    5674 "
     5627st "DENABLE         : std_logic                    := '0'"
    56755628)
    56765629)
     
    56895642)
    56905643xt "39000,27800,54000,28600"
    5691 st "EE_CS           : std_logic
    5692 "
     5644st "EE_CS           : std_logic"
    56935645)
    56945646)
     
    59335885)
    59345886xt "39000,26200,73500,27000"
    5935 st "D_T             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
    5936 "
     5887st "D_T             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
    59375888)
    59385889)
     
    59975948)
    59985949xt "39000,11000,64000,11800"
    5999 st "D_PLLLCK        : std_logic_vector(3 DOWNTO 0)
    6000 "
     5950st "D_PLLLCK        : std_logic_vector(3 DOWNTO 0)"
    60015951)
    60025952)
     
    60616011)
    60626012xt "39000,27000,73500,27800"
    6063 st "D_T2            : std_logic_vector(3 DOWNTO 0) := (others => '0')
    6064 "
     6013st "D_T2            : std_logic_vector(3 DOWNTO 0) := (others => '0')"
    60656014)
    60666015)
     
    63016250)
    63026251xt "39000,17400,73500,18200"
    6303 st "A1_T            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
    6304 "
     6252st "A1_T            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
    63056253)
    63066254)
     
    63196267)
    63206268xt "39000,64800,57500,65600"
    6321 st "SIGNAL dummy           : std_logic
    6322 "
     6269st "SIGNAL dummy           : std_logic"
    63236270)
    63246271)
     
    66566603)
    66576604xt "39000,64000,77000,64800"
    6658 st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0) := (others => '0')
    6659 "
     6605st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0) := (others => '0')"
    66606606)
    66616607)
     
    66746620)
    66756621xt "39000,52800,57500,53600"
    6676 st "SIGNAL CLK_50          : std_logic
    6677 "
     6622st "SIGNAL CLK_50          : std_logic"
    66786623)
    66796624)
     
    70597004)
    70607005xt "39000,52000,57500,52800"
    7061 st "SIGNAL CLK_25_PS1      : std_logic
    7062 "
     7006st "SIGNAL CLK_25_PS1      : std_logic"
    70637007)
    70647008)
     
    70787022)
    70797023xt "39000,60800,71000,61600"
    7080 st "SIGNAL adc_clk_en      : std_logic                    := '0'
    7081 "
     7024st "SIGNAL adc_clk_en      : std_logic                    := '0'"
    70827025)
    70837026)
     
    71427085)
    71437086xt "39000,16600,73500,17400"
    7144 st "A0_T            : std_logic_vector(7 DOWNTO 0) := (others => '0')
    7145 "
     7087st "A0_T            : std_logic_vector(7 DOWNTO 0) := (others => '0')"
    71467088)
    71477089)
     
    72657207)
    72667208xt "39000,50400,57500,51200"
    7267 st "SIGNAL CLK50_OUT       : std_logic
    7268 "
     7209st "SIGNAL CLK50_OUT       : std_logic"
    72697210)
    72707211)
     
    72837224)
    72847225xt "39000,48800,57500,49600"
    7285 st "SIGNAL CLK25_OUT       : std_logic
    7286 "
     7226st "SIGNAL CLK25_OUT       : std_logic"
    72877227)
    72887228)
     
    73017241)
    73027242xt "39000,49600,57500,50400"
    7303 st "SIGNAL CLK25_PSOUT     : std_logic
    7304 "
     7243st "SIGNAL CLK25_PSOUT     : std_logic"
    73057244)
    73067245)
     
    73197258)
    73207259xt "39000,58400,57500,59200"
    7321 st "SIGNAL PS_DIR_IN       : std_logic
    7322 "
     7260st "SIGNAL PS_DIR_IN       : std_logic"
    73237261)
    73247262)
     
    73377275)
    73387276xt "39000,59200,57500,60000"
    7339 st "SIGNAL PS_DO_IN        : std_logic
    7340 "
     7277st "SIGNAL PS_DO_IN        : std_logic"
    73417278)
    73427279)
     
    73557292)
    73567293xt "39000,56800,57500,57600"
    7357 st "SIGNAL PSEN_OUT        : std_logic
    7358 "
     7294st "SIGNAL PSEN_OUT        : std_logic"
    73597295)
    73607296)
     
    73737309)
    73747310xt "39000,57600,57500,58400"
    7375 st "SIGNAL PSINCDEC_OUT    : std_logic
    7376 "
     7311st "SIGNAL PSINCDEC_OUT    : std_logic"
    73777312)
    73787313)
     
    73937328)
    73947329xt "39000,53600,57500,54400"
    7395 st "SIGNAL DCM_locked      : std_logic
    7396 "
     7330st "SIGNAL DCM_locked      : std_logic"
    73977331)
    73987332)
     
    74147348)
    74157349xt "39000,65600,71000,66400"
    7416 st "SIGNAL ready           : std_logic                    := '0'
    7417 "
     7350st "SIGNAL ready           : std_logic                    := '0'"
    74187351)
    74197352)
     
    74377370xt "39000,67200,71000,68800"
    74387371st "-- status:
    7439 SIGNAL shifting        : std_logic                    := '0'
    7440 "
     7372SIGNAL shifting        : std_logic                    := '0'"
    74417373)
    74427374)
     
    74557387)
    74567388xt "39000,56000,57500,56800"
    7457 st "SIGNAL PSDONE_extraOUT : std_logic
    7458 "
     7389st "SIGNAL PSDONE_extraOUT : std_logic"
    74597390)
    74607391)
     
    74737404)
    74747405xt "39000,55200,57500,56000"
    7475 st "SIGNAL PSCLK_OUT       : std_logic
    7476 "
     7406st "SIGNAL PSCLK_OUT       : std_logic"
    74777407)
    74787408)
     
    74917421)
    74927422xt "39000,54400,57500,55200"
    7493 st "SIGNAL LOCKED_extraOUT : std_logic
    7494 "
     7423st "SIGNAL LOCKED_extraOUT : std_logic"
    74957424)
    74967425)
     
    75547483)
    75557484xt "39000,11800,54000,12600"
    7556 st "RS485_C_DI      : std_logic
    7557 "
     7485st "RS485_C_DI      : std_logic"
    75587486)
    75597487)
     
    76167544)
    76177545xt "39000,32600,54000,33400"
    7618 st "RS485_C_DO      : std_logic
    7619 "
     7546st "RS485_C_DO      : std_logic"
    76207547)
    76217548)
     
    76797606)
    76807607xt "39000,12600,54000,13400"
    7681 st "RS485_E_DI      : std_logic
    7682 "
     7608st "RS485_E_DI      : std_logic"
    76837609)
    76847610)
     
    76977623)
    76987624xt "39000,13400,54000,14200"
    7699 st "RS485_E_DO      : std_logic
    7700 "
     7625st "RS485_E_DO      : std_logic"
    77017626)
    77027627)
     
    78047729)
    78057730xt "39000,36600,67500,37400"
    7806 st "SRIN            : std_logic                    := '0'
    7807 "
     7731st "SRIN            : std_logic                    := '0'"
    78087732)
    78097733)
     
    79547878)
    79557879xt "39000,18200,54000,19000"
    7956 st "AMBER_LED       : std_logic
    7957 "
     7880st "AMBER_LED       : std_logic"
    79587881)
    79597882)
     
    79727895)
    79737896xt "39000,28600,54000,29400"
    7974 st "GREEN_LED       : std_logic
    7975 "
     7897st "GREEN_LED       : std_logic"
    79767898)
    79777899)
     
    79907912)
    79917913xt "39000,31000,54000,31800"
    7992 st "RED_LED         : std_logic
    7993 "
     7914st "RED_LED         : std_logic"
    79947915)
    79957916)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/symbol.sb

    r10074 r10075  
    15351535(vvPair
    15361536variable "time"
    1537 value "13:01:09"
     1537value "18:14:31"
    15381538)
    15391539(vvPair
     
    48524852)
    48534853)
    4854 lastUid 4070,0
     4854lastUid 4093,0
    48554855activeModelName "Symbol:CDM"
    48564856)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r10074 r10075  
    239239elements [
    240240(GiElement
    241 name "HEARTBEAT_DIVIDER"
     241name "HEARTBEAT_PWM_DIVIDER"
    242242type "integer"
    243 value "25000000"
    244 e "-- 2Hz @ 50 MHz"
     243value "50000"
     244e "-- 10kHz @ 50 MHz"
     245)
     246(GiElement
     247name "MAX_DELAY"
     248type "integer"
     249value "100"
    245250)
    246251(GiElement
    247252name "WAITING_DIVIDER"
    248253type "integer"
    249 value "5000000"
    250 e "-- 10Hz @ 50 MHz"
     254value "50000000"
     255e "-- 1Hz @ 50 MHz"
    251256)
    252257]
    253258mwi 0
    254 uid 10675,0
     259uid 11209,0
    255260)
    256261]
     
    461466(vvPair
    462467variable "time"
    463 value "15:59:32"
     468value "18:05:35"
    464469)
    465470(vvPair
     
    553558)
    554559xt "-103000,118600,-59500,119400"
    555 st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
    556 "
     560st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\""
    557561)
    558562)
     
    572576)
    573577xt "-103000,64200,-63000,65000"
    574 st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    575 "
     578st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    576579)
    577580)
     
    591594)
    592595xt "-103000,81800,-70500,82600"
    593 st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)
    594 "
     596st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)"
    595597)
    596598)
     
    610612)
    611613xt "-103000,94600,-63000,95400"
    612 st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
    613 "
     614st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
    614615)
    615616)
     
    629630)
    630631xt "-103000,95400,-70500,96200"
    631 st "SIGNAL ram_data               : std_logic_vector(15 downto 0)
    632 "
     632st "SIGNAL ram_data               : std_logic_vector(15 downto 0)"
    633633)
    634634)
     
    648648)
    649649xt "-103000,55800,-63000,56600"
    650 st "wiz_reset              : std_logic                                    := '1'
    651 "
     650st "wiz_reset              : std_logic                                    := '1'"
    652651)
    653652)
     
    667666)
    668667xt "-103000,53400,-74500,54200"
    669 st "wiz_addr               : std_logic_vector(9 DOWNTO 0)
    670 "
     668st "wiz_addr               : std_logic_vector(9 DOWNTO 0)"
    671669)
    672670)
     
    686684)
    687685xt "-103000,58200,-74000,59000"
    688 st "wiz_data               : std_logic_vector(15 DOWNTO 0)
    689 "
     686st "wiz_data               : std_logic_vector(15 DOWNTO 0)"
    690687)
    691688)
     
    705702)
    706703xt "-103000,54200,-63000,55000"
    707 st "wiz_cs                 : std_logic                                    := '1'
    708 "
     704st "wiz_cs                 : std_logic                                    := '1'"
    709705)
    710706)
     
    724720)
    725721xt "-103000,56600,-63000,57400"
    726 st "wiz_wr                 : std_logic                                    := '1'
    727 "
     722st "wiz_wr                 : std_logic                                    := '1'"
    728723)
    729724)
     
    743738)
    744739xt "-103000,55000,-63000,55800"
    745 st "wiz_rd                 : std_logic                                    := '1'
    746 "
     740st "wiz_rd                 : std_logic                                    := '1'"
    747741)
    748742)
     
    761755)
    762756xt "-103000,26200,-84500,27000"
    763 st "wiz_int                : std_logic
    764 "
     757st "wiz_int                : std_logic"
    765758)
    766759)
     
    27792772)
    27802773xt "-103000,23800,-74500,24600"
    2781 st "board_id               : std_logic_vector(3 downto 0)
    2782 "
     2774st "board_id               : std_logic_vector(3 downto 0)"
    27832775)
    27842776)
     
    27992791)
    28002792xt "-103000,25400,-84500,26200"
    2801 st "trigger                : std_logic
    2802 "
     2793st "trigger                : std_logic"
    28032794)
    28042795)
     
    43734364)
    43744365xt "-103000,24600,-74500,25400"
    4375 st "crate_id               : std_logic_vector(1 downto 0)
    4376 "
     4366st "crate_id               : std_logic_vector(1 downto 0)"
    43774367)
    43784368)
     
    45954585)
    45964586xt "-103000,110600,-70500,111400"
    4597 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
    4598 "
     4587st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)"
    45994588)
    46004589)
     
    46164605)
    46174606xt "-103000,96200,-63000,97000"
    4618 st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    4619 "
     4607st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    46204608)
    46214609)
     
    54675455)
    54685456xt "-103000,113000,-80500,113800"
    5469 st "SIGNAL wiz_busy               : std_logic
    5470 "
     5457st "SIGNAL wiz_busy               : std_logic"
    54715458)
    54725459)
     
    54875474)
    54885475xt "-103000,115400,-59500,116200"
    5489 st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
    5490 "
     5476st "SIGNAL wiz_write_ea           : std_logic                                    := '0'"
    54915477)
    54925478)
     
    55085494)
    55095495xt "-103000,117800,-53500,118600"
    5510 st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
    5511 "
     5496st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')"
    55125497)
    55135498)
     
    55305515)
    55315516xt "-103000,114600,-53500,115400"
    5532 st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
    5533 "
     5517st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
    55345518)
    55355519)
     
    55515535)
    55525536xt "-103000,113800,-53500,114600"
    5553 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
    5554 "
     5537st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')"
    55555538)
    55565539)
     
    55715554)
    55725555xt "-103000,116200,-59500,117000"
    5573 st "SIGNAL wiz_write_end          : std_logic                                    := '0'
    5574 "
     5556st "SIGNAL wiz_write_end          : std_logic                                    := '0'"
    55755557)
    55765558)
     
    55915573)
    55925574xt "-103000,117000,-59500,117800"
    5593 st "SIGNAL wiz_write_header       : std_logic                                    := '0'
    5594 "
     5575st "SIGNAL wiz_write_header       : std_logic                                    := '0'"
    55955576)
    55965577)
     
    56095590)
    56105591xt "-103000,97000,-80500,97800"
    5611 st "SIGNAL ram_write_ea           : std_logic
    5612 "
     5592st "SIGNAL ram_write_ea           : std_logic"
    56135593)
    56145594)
     
    56285608)
    56295609xt "-103000,97800,-59500,98600"
    5630 st "SIGNAL ram_write_ready        : std_logic                                    := '0'
    5631 "
     5610st "SIGNAL ram_write_ready        : std_logic                                    := '0'"
    56325611)
    56335612)
     
    56475626)
    56485627xt "-103000,74600,-59500,75400"
    5649 st "SIGNAL config_start           : std_logic                                    := '0'
    5650 "
     5628st "SIGNAL config_start           : std_logic                                    := '0'"
    56515629)
    56525630)
     
    56655643)
    56665644xt "-103000,69000,-80500,69800"
    5667 st "SIGNAL config_ready           : std_logic
    5668 "
     5645st "SIGNAL config_ready           : std_logic"
    56695646)
    56705647)
     
    56835660)
    56845661xt "-103000,101000,-79000,101800"
    5685 st "SIGNAL roi_max                : roi_max_type
    5686 "
     5662st "SIGNAL roi_max                : roi_max_type"
    56875663)
    56885664)
     
    57025678)
    57035679xt "-103000,91400,-70500,92200"
    5704 st "SIGNAL package_length         : std_logic_vector(15 downto 0)
    5705 "
     5680st "SIGNAL package_length         : std_logic_vector(15 downto 0)"
    57065681)
    57075682)
     
    57215696)
    57225697xt "-103000,40600,-63000,41400"
    5723 st "adc_oeb                : std_logic                                    := '1'
    5724 "
     5698st "adc_oeb                : std_logic                                    := '1'"
    57255699)
    57265700)
     
    58295803)
    58305804xt "-103000,100200,-78000,101000"
    5831 st "SIGNAL roi_array              : roi_array_type
    5832 "
     5805st "SIGNAL roi_array              : roi_array_type"
    58335806)
    58345807)
     
    62636236)
    62646237xt "-103000,29400,-84500,30200"
    6265 st "CLK_25_PS              : std_logic
    6266 "
     6238st "CLK_25_PS              : std_logic"
    62676239)
    62686240)
     
    63266298)
    63276299xt "-103000,30200,-84500,31000"
    6328 st "CLK_50                 : std_logic
    6329 "
     6300st "CLK_50                 : std_logic"
    63306301)
    63316302)
     
    63446315)
    63456316xt "-103000,61000,-80500,61800"
    6346 st "SIGNAL CLK_25                 : std_logic
    6347 "
     6317st "SIGNAL CLK_25                 : std_logic"
    63486318)
    63496319)
     
    64076377)
    64086378xt "-103000,18200,-84500,19000"
    6409 st "CLK                    : std_logic
    6410 "
     6379st "CLK                    : std_logic"
    64116380)
    64126381)
     
    64266395)
    64276396xt "-103000,23000,-74500,23800"
    6428 st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)
    6429 "
     6397st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)"
    64306398)
    64316399)
     
    64446412)
    64456413xt "-103000,22200,-79000,23000"
    6446 st "adc_data_array         : adc_data_array_type
    6447 "
     6414st "adc_data_array         : adc_data_array_type"
    64486415)
    64496416)
     
    65086475)
    65096476xt "-103000,85000,-59500,85800"
    6510 st "SIGNAL drs_clk_en             : std_logic                                    := '0'
    6511 "
     6477st "SIGNAL drs_clk_en             : std_logic                                    := '0'"
    65126478)
    65136479)
     
    65266492)
    65276493xt "-103000,87400,-74500,88200"
    6528 st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type
    6529 "
     6494st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type"
    65306495)
    65316496)
     
    65456510)
    65466511xt "-103000,85800,-59500,86600"
    6547 st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'
    6548 "
     6512st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'"
    65496513)
    65506514)
     
    65656529)
    65666530xt "-103000,43800,-57000,44600"
    6567 st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')
    6568 "
     6531st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')"
    65696532)
    65706533)
     
    65846547)
    65856548xt "-103000,44600,-63000,45400"
    6586 st "drs_dwrite             : std_logic                                    := '1'
    6587 "
     6549st "drs_dwrite             : std_logic                                    := '1'"
    65886550)
    65896551)
     
    66926654)
    66936655xt "-103000,19000,-84500,19800"
    6694 st "SROUT_in_0             : std_logic
    6695 "
     6656st "SROUT_in_0             : std_logic"
    66966657)
    66976658)
     
    67106671)
    67116672xt "-103000,19800,-84500,20600"
    6712 st "SROUT_in_1             : std_logic
    6713 "
     6673st "SROUT_in_1             : std_logic"
    67146674)
    67156675)
     
    67286688)
    67296689xt "-103000,20600,-84500,21400"
    6730 st "SROUT_in_2             : std_logic
    6731 "
     6690st "SROUT_in_2             : std_logic"
    67326691)
    67336692)
     
    67466705)
    67476706xt "-103000,21400,-84500,22200"
    6748 st "SROUT_in_3             : std_logic
    6749 "
     6707st "SROUT_in_3             : std_logic"
    67506708)
    67516709)
     
    69446902)
    69456903xt "-103000,86600,-80500,87400"
    6946 st "SIGNAL drs_read_s_cell_ready  : std_logic
    6947 "
     6904st "SIGNAL drs_read_s_cell_ready  : std_logic"
    69486905)
    69496906)
     
    76007557)
    76017558xt "-103000,37400,-63000,38200"
    7602 st "RSRLOAD                : std_logic                                    := '0'
    7603 "
     7559st "RSRLOAD                : std_logic                                    := '0'"
    76047560)
    76057561)
     
    76647620)
    76657621xt "-103000,38200,-63000,39000"
    7666 st "SRCLK                  : std_logic                                    := '0'
    7667 "
     7622st "SRCLK                  : std_logic                                    := '0'"
    76687623)
    76697624)
     
    83188273)
    83198274xt "-103000,65000,-71000,65800"
    8320 st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)
    8321 "
     8275st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)"
    83228276)
    83238277)
     
    83368290)
    83378291xt "-103000,67400,-80500,68200"
    8338 st "SIGNAL config_data_valid      : std_logic
    8339 "
     8292st "SIGNAL config_data_valid      : std_logic"
    83408293)
    83418294)
     
    83548307)
    83558308xt "-103000,65800,-80500,66600"
    8356 st "SIGNAL config_busy            : std_logic
    8357 "
     8309st "SIGNAL config_busy            : std_logic"
    83588310)
    83598311)
     
    83738325)
    83748326xt "-103000,66600,-70500,67400"
    8375 st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)
    8376 "
     8327st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)"
    83778328)
    83788329)
     
    83918342)
    83928343xt "-103000,80200,-80500,81000"
    8393 st "SIGNAL config_wr_en           : std_logic
    8394 "
     8344st "SIGNAL config_wr_en           : std_logic"
    83958345)
    83968346)
     
    84098359)
    84108360xt "-103000,68200,-80500,69000"
    8411 st "SIGNAL config_rd_en           : std_logic
    8412 "
     8361st "SIGNAL config_rd_en           : std_logic"
    84138362)
    84148363)
     
    84278376)
    84288377xt "-103000,81000,-78000,81800"
    8429 st "SIGNAL dac_array              : dac_array_type
    8430 "
     8378st "SIGNAL dac_array              : dac_array_type"
    84318379)
    84328380)
     
    84458393)
    84468394xt "-103000,75400,-80500,76200"
    8447 st "SIGNAL config_start_cm        : std_logic
    8448 "
     8395st "SIGNAL config_start_cm        : std_logic"
    84498396)
    84508397)
     
    84638410)
    84648411xt "-103000,69800,-80500,70600"
    8465 st "SIGNAL config_ready_cm        : std_logic
    8466 "
     8412st "SIGNAL config_ready_cm        : std_logic"
    84678413)
    84688414)
     
    84848430)
    84858431xt "-103000,46200,-57000,47000"
    8486 st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
    8487 "
     8432st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    84888433)
    84898434)
     
    85028447)
    85038448xt "-103000,105000,-80500,105800"
    8504 st "SIGNAL sensor_ready           : std_logic
    8505 "
     8449st "SIGNAL sensor_ready           : std_logic"
    85068450)
    85078451)
     
    85208464)
    85218465xt "-103000,104200,-76500,105000"
    8522 st "SIGNAL sensor_array           : sensor_array_type
    8523 "
     8466st "SIGNAL sensor_array           : sensor_array_type"
    85248467)
    85258468)
     
    85388481)
    85398482xt "-103000,70600,-80500,71400"
    8540 st "SIGNAL config_ready_spi       : std_logic
    8541 "
     8483st "SIGNAL config_ready_spi       : std_logic"
    85428484)
    85438485)
     
    85588500)
    85598501xt "-103000,63400,-71000,64200"
    8560 st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)
    8561 "
     8502st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)"
    85628503)
    85638504)
     
    85768517)
    85778518xt "-103000,62600,-75500,63400"
    8578 st "SIGNAL adc_data_array_int     : adc_data_array_type
    8579 "
     8519st "SIGNAL adc_data_array_int     : adc_data_array_type"
    85808520)
    85818521)
     
    88678807)
    88688808xt "-103000,76200,-59500,77000"
    8869 st "SIGNAL config_start_spi       : std_logic                                    := '0'
    8870 "
     8809st "SIGNAL config_start_spi       : std_logic                                    := '0'"
    88718810)
    88728811)
     
    94019340)
    94029341xt "-103000,50200,-84500,51000"
    9403 st "sclk                   : std_logic
    9404 "
     9342st "sclk                   : std_logic"
    94059343)
    94069344)
     
    94219359)
    94229360xt "-103000,57400,-84500,58200"
    9423 st "sio                    : std_logic
    9424 "
     9361st "sio                    : std_logic"
    94259362)
    94269363)
     
    94399376)
    94409377xt "-103000,42200,-84500,43000"
    9441 st "dac_cs                 : std_logic
    9442 "
     9378st "dac_cs                 : std_logic"
    94439379)
    94449380)
     
    94589394)
    94599395xt "-103000,51000,-74500,51800"
    9460 st "sensor_cs              : std_logic_vector(3 DOWNTO 0)
    9461 "
     9396st "sensor_cs              : std_logic_vector(3 DOWNTO 0)"
    94629397)
    94639398)
     
    96579592)
    96589593xt "-103000,90600,-59500,91400"
    9659 st "SIGNAL new_config             : std_logic                                    := '0'
    9660 "
     9594st "SIGNAL new_config             : std_logic                                    := '0'"
    96619595)
    96629596)
     
    96759609)
    96769610xt "-103000,77000,-80500,77800"
    9677 st "SIGNAL config_started         : std_logic
    9678 "
     9611st "SIGNAL config_started         : std_logic"
    96799612)
    96809613)
     
    96949627)
    96959628xt "-103000,79400,-59500,80200"
    9696 st "SIGNAL config_started_spi     : std_logic                                    := '0'
    9697 "
     9629st "SIGNAL config_started_spi     : std_logic                                    := '0'"
    96989630)
    96999631)
     
    97139645)
    97149646xt "-103000,77800,-59500,78600"
    9715 st "SIGNAL config_started_cu      : std_logic                                    := '0'
    9716 "
     9647st "SIGNAL config_started_cu      : std_logic                                    := '0'"
    97179648)
    97189649)
     
    97319662)
    97329663xt "-103000,78600,-80500,79400"
    9733 st "SIGNAL config_started_mm      : std_logic
    9734 "
     9664st "SIGNAL config_started_mm      : std_logic"
    97359665)
    97369666)
     
    97509680)
    97519681xt "-103000,47000,-63000,47800"
    9752 st "mosi                   : std_logic                                    := '0'
    9753 "
     9682st "mosi                   : std_logic                                    := '0'"
    97549683)
    97559684)
     
    98169745)
    98179746xt "-103000,43000,-49500,43800"
    9818 st "denable                : std_logic                                    := '0' -- default domino wave off
    9819 "
     9747st "denable                : std_logic                                    := '0' -- default domino wave off"
    98209748)
    98219749)
     
    98799807)
    98809808xt "-103000,89800,-59500,90600"
    9881 st "SIGNAL dwrite_enable          : std_logic                                    := '1'
    9882 "
     9809st "SIGNAL dwrite_enable          : std_logic                                    := '1'"
    98839810)
    98849811)
     
    1026710194)
    1026810195xt "-103000,89000,-59500,89800"
    10269 st "SIGNAL dwrite                 : std_logic                                    := '1'
    10270 "
     10196st "SIGNAL dwrite                 : std_logic                                    := '1'"
    1027110197)
    1027210198)
     
    1064210568)
    1064310569xt "-103000,112200,-80500,113000"
    10644 st "SIGNAL wiz_ack                : std_logic
    10645 "
     10570st "SIGNAL wiz_ack                : std_logic"
    1064610571)
    1064710572)
     
    1066210587)
    1066310588xt "-103000,82600,-53500,83400"
    10664 st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
    10665 "
     10589st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')"
    1066610590)
    1066710591)
     
    1068010604)
    1068110605xt "-103000,83400,-80500,84200"
    10682 st "SIGNAL drs_address_mode       : std_logic
    10683 "
     10606st "SIGNAL drs_address_mode       : std_logic"
    1068410607)
    1068510608)
     
    1122811151)
    1122911152xt "-103000,84200,-53500,85000"
    11230 st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
    11231 "
     11153st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')"
    1123211154)
    1123311155)
     
    1161211534)
    1161311535xt "-103000,102600,-80500,103400"
    11614 st "SIGNAL sclk1                  : std_logic
    11615 "
     11536st "SIGNAL sclk1                  : std_logic"
    1161611537)
    1161711538)
     
    1163011551)
    1163111552xt "-103000,103400,-80500,104200"
    11632 st "SIGNAL sclk_enable            : std_logic
    11633 "
     11553st "SIGNAL sclk_enable            : std_logic"
    1163411554)
    1163511555)
     
    1164911569)
    1165011570xt "-103000,39800,-63000,40600"
    11651 st "adc_clk_en             : std_logic                                    := '0'
    11652 "
     11571st "adc_clk_en             : std_logic                                    := '0'"
    1165311572)
    1165411573)
     
    1242912348)
    1243012349xt "-103000,92200,-44000,93000"
    12431 st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards
    12432 "
     12350st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards"
    1243312351)
    1243412352)
     
    1245112369)
    1245212370xt "-103000,93000,-43000,93800"
    12453 st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once
    12454 "
     12371st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once"
    1245512372)
    1245612373)
     
    1246912386)
    1247012387xt "-103000,31800,-84500,32600"
    12471 st "LOCKED_extraOUT        : std_logic
    12472 "
     12388st "LOCKED_extraOUT        : std_logic"
    1247312389)
    1247412390)
     
    1253112447)
    1253212448xt "-103000,33400,-84500,34200"
    12533 st "PSDONE_extraOUT        : std_logic
    12534 "
     12449st "PSDONE_extraOUT        : std_logic"
    1253512450)
    1253612451)
     
    1259312508)
    1259412509xt "-103000,35000,-84500,35800"
    12595 st "PSINCDEC_OUT           : std_logic
    12596 "
     12510st "PSINCDEC_OUT           : std_logic"
    1259712511)
    1259812512)
     
    1265512569)
    1265612570xt "-103000,34200,-84500,35000"
    12657 st "PSEN_OUT               : std_logic
    12658 "
     12571st "PSEN_OUT               : std_logic"
    1265912572)
    1266012573)
     
    1271712630)
    1271812631xt "-103000,32600,-84500,33400"
    12719 st "PSCLK_OUT              : std_logic
    12720 "
     12632st "PSCLK_OUT              : std_logic"
    1272112633)
    1272212634)
     
    1278112693)
    1278212694xt "-103000,31000,-84500,31800"
    12783 st "DCM_locked             : std_logic
    12784 "
     12695st "DCM_locked             : std_logic"
    1278512696)
    1278612697)
     
    1284712758)
    1284812759xt "-103000,47800,-57000,48600"
    12849 st "offset                 : std_logic_vector(7 downto 0)                 := (OTHERS => '0')
    12850 "
     12760st "offset                 : std_logic_vector(7 downto 0)                 := (OTHERS => '0')"
    1285112761)
    1285212762)
     
    1291412824xt "-103000,51800,-63000,53400"
    1291512825st "-- status:
    12916 shifting               : std_logic                                    := '0'
    12917 "
     12826shifting               : std_logic                                    := '0'"
    1291812827)
    1291912828)
     
    1297912888)
    1298012889xt "-103000,48600,-63000,49400"
    12981 st "ready                  : std_logic                                    := '0'
    12982 "
     12890st "ready                  : std_logic                                    := '0'"
    1298312891)
    1298412892)
     
    1376813676)
    1376913677xt "-103000,35800,-84500,36600"
    13770 st "PS_DIR_IN              : std_logic
    13771 "
     13678st "PS_DIR_IN              : std_logic"
    1377213679)
    1377313680)
     
    1378613693)
    1378713694xt "-103000,36600,-84500,37400"
    13788 st "PS_DO_IN               : std_logic
    13789 "
     13695st "PS_DO_IN               : std_logic"
    1379013696)
    1379113697)
     
    1479514701)
    1479614702xt "-103000,28600,-84500,29400"
    14797 st "CLK50_OUT              : std_logic
    14798 "
     14703st "CLK50_OUT              : std_logic"
    1479914704)
    1480014705)
     
    1485714762)
    1485814763xt "-103000,27000,-84500,27800"
    14859 st "CLK25_OUT              : std_logic
    14860 "
     14764st "CLK25_OUT              : std_logic"
    1486114765)
    1486214766)
     
    1491914823)
    1492014824xt "-103000,27800,-84500,28600"
    14921 st "CLK25_PSOUT            : std_logic
    14922 "
     14825st "CLK25_PSOUT            : std_logic"
    1492314826)
    1492414827)
     
    1494014843)
    1494114844xt "-103000,93800,-35500,94600"
    14942 st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift
    14943 "
     14845st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
    1494414846)
    1494514847)
     
    1495914861)
    1496014862xt "-103000,107400,-59500,108200"
    14961 st "SIGNAL srclk_enable           : std_logic                                    := '0'
    14962 "
     14863st "SIGNAL srclk_enable           : std_logic                                    := '0'"
    1496314864)
    1496414865)
     
    1534415245)
    1534515246xt "-103000,61800,-59500,62600"
    15346 st "SIGNAL SRCLK1                 : std_logic                                    := '0'
    15347 "
     15247st "SIGNAL SRCLK1                 : std_logic                                    := '0'"
    1534815248)
    1534915249)
     
    1536715267xt "-103000,71400,-59500,73000"
    1536815268st "-- --
    15369 SIGNAL config_rw_ack          : std_logic                                    := '0'
    15370 "
     15269SIGNAL config_rw_ack          : std_logic                                    := '0'"
    1537115270)
    1537215271)
     
    1539015289xt "-103000,73000,-59500,74600"
    1539115290st "-- --
    15392 SIGNAL config_rw_ready        : std_logic                                    := '0'
    15393 "
     15291SIGNAL config_rw_ready        : std_logic                                    := '0'"
    1539415292)
    1539515293)
     
    1540815306)
    1540915307xt "-103000,101800,-80500,102600"
    15410 st "SIGNAL s_trigger              : std_logic
    15411 "
     15308st "SIGNAL s_trigger              : std_logic"
    1541215309)
    1541315310)
     
    1542615323)
    1542715324xt "-103000,109800,-80500,110600"
    15428 st "SIGNAL start_srin_write_8b    : std_logic
    15429 "
     15325st "SIGNAL start_srin_write_8b    : std_logic"
    1543015326)
    1543115327)
     
    1544515341)
    1544615342xt "-103000,108200,-59500,109000"
    15447 st "SIGNAL srin_write_ack         : std_logic                                    := '0'
    15448 "
     15343st "SIGNAL srin_write_ack         : std_logic                                    := '0'"
    1544915344)
    1545015345)
     
    1546415359)
    1546515360xt "-103000,109000,-59500,109800"
    15466 st "SIGNAL srin_write_ready       : std_logic                                    := '0'
    15467 "
     15361st "SIGNAL srin_write_ready       : std_logic                                    := '0'"
    1546815362)
    1546915363)
     
    1548415378)
    1548515379xt "-103000,88200,-53500,89000"
    15486 st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')
    15487 "
     15380st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')"
    1548815381)
    1548915382)
     
    1550315396)
    1550415397xt "-103000,39000,-63000,39800"
    15505 st "SRIN_out               : std_logic                                    := '0'
    15506 "
     15398st "SRIN_out               : std_logic                                    := '0'"
    1550715399)
    1550815400)
     
    1602215914)
    1602315915xt "-103000,111400,-80500,112200"
    16024 st "SIGNAL trigger_out            : std_logic
    16025 "
     15916st "SIGNAL trigger_out            : std_logic"
    1602615917)
    1602715918)
     
    1604615937xt "-103000,98600,-59500,100200"
    1604715938st "-- --
    16048 SIGNAL ram_write_ready_ack    : std_logic                                    := '0'
    16049 "
     15939SIGNAL ram_write_ready_ack    : std_logic                                    := '0'"
    1605015940)
    1605115941)
     
    1606415954)
    1606515955xt "-103000,105800,-80500,106600"
    16066 st "SIGNAL socks_connected        : std_logic
    16067 "
     15956st "SIGNAL socks_connected        : std_logic"
    1606815957)
    1606915958)
     
    1608215971)
    1608315972xt "-103000,106600,-80500,107400"
    16084 st "SIGNAL socks_waiting          : std_logic
    16085 "
    16086 )
    16087 )
    16088 *552 (SaComponent
    16089 uid 10675,0
    16090 optionalChildren [
    16091 *553 (CptPort
    16092 uid 10643,0
    16093 ps "OnEdgeStrategy"
    16094 shape (Triangle
    16095 uid 10644,0
    16096 ro 90
    16097 va (VaSet
    16098 vasetType 1
    16099 fg "0,65535,0"
    16100 )
    16101 xt "128250,125625,129000,126375"
    16102 )
    16103 tg (CPTG
    16104 uid 10645,0
    16105 ps "CptPortTextPlaceStrategy"
    16106 stg "VerticalLayoutStrategy"
    16107 f (Text
    16108 uid 10646,0
    16109 va (VaSet
    16110 )
    16111 xt "130000,125500,131900,126500"
    16112 st "CLK"
    16113 blo "130000,126300"
    16114 )
    16115 )
    16116 thePort (LogicalPort
    16117 decl (Decl
    16118 n "CLK"
    16119 t "std_logic"
    16120 o 1
    16121 )
    16122 )
    16123 )
    16124 *554 (CptPort
    16125 uid 10647,0
    16126 ps "OnEdgeStrategy"
    16127 shape (Triangle
    16128 uid 10648,0
    16129 ro 90
    16130 va (VaSet
    16131 vasetType 1
    16132 fg "0,65535,0"
    16133 )
    16134 xt "147000,125625,147750,126375"
    16135 )
    16136 tg (CPTG
    16137 uid 10649,0
    16138 ps "CptPortTextPlaceStrategy"
    16139 stg "RightVerticalLayoutStrategy"
    16140 f (Text
    16141 uid 10650,0
    16142 va (VaSet
    16143 )
    16144 xt "143600,125500,146000,126500"
    16145 st "green"
    16146 ju 2
    16147 blo "146000,126300"
    16148 )
    16149 )
    16150 thePort (LogicalPort
    16151 m 1
    16152 decl (Decl
    16153 n "green"
    16154 t "std_logic"
    16155 o 2
    16156 )
    16157 )
    16158 )
    16159 *555 (CptPort
    16160 uid 10651,0
    16161 ps "OnEdgeStrategy"
    16162 shape (Triangle
    16163 uid 10652,0
    16164 ro 90
    16165 va (VaSet
    16166 vasetType 1
    16167 fg "0,65535,0"
    16168 )
    16169 xt "147000,126625,147750,127375"
    16170 )
    16171 tg (CPTG
    16172 uid 10653,0
    16173 ps "CptPortTextPlaceStrategy"
    16174 stg "RightVerticalLayoutStrategy"
    16175 f (Text
    16176 uid 10654,0
    16177 va (VaSet
    16178 )
    16179 xt "143500,126500,146000,127500"
    16180 st "amber"
    16181 ju 2
    16182 blo "146000,127300"
    16183 )
    16184 )
    16185 thePort (LogicalPort
    16186 m 1
    16187 decl (Decl
    16188 n "amber"
    16189 t "std_logic"
    16190 o 3
    16191 )
    16192 )
    16193 )
    16194 *556 (CptPort
    16195 uid 10655,0
    16196 ps "OnEdgeStrategy"
    16197 shape (Triangle
    16198 uid 10656,0
    16199 ro 90
    16200 va (VaSet
    16201 vasetType 1
    16202 fg "0,65535,0"
    16203 )
    16204 xt "147000,127625,147750,128375"
    16205 )
    16206 tg (CPTG
    16207 uid 10657,0
    16208 ps "CptPortTextPlaceStrategy"
    16209 stg "RightVerticalLayoutStrategy"
    16210 f (Text
    16211 uid 10658,0
    16212 va (VaSet
    16213 )
    16214 xt "144500,127500,146000,128500"
    16215 st "red"
    16216 ju 2
    16217 blo "146000,128300"
    16218 )
    16219 )
    16220 thePort (LogicalPort
    16221 m 1
    16222 decl (Decl
    16223 n "red"
    16224 t "std_logic"
    16225 o 4
    16226 )
    16227 )
    16228 )
    16229 *557 (CptPort
    16230 uid 10659,0
    16231 ps "OnEdgeStrategy"
    16232 shape (Triangle
    16233 uid 10660,0
    16234 ro 90
    16235 va (VaSet
    16236 vasetType 1
    16237 fg "0,65535,0"
    16238 )
    16239 xt "128250,126625,129000,127375"
    16240 )
    16241 tg (CPTG
    16242 uid 10661,0
    16243 ps "CptPortTextPlaceStrategy"
    16244 stg "VerticalLayoutStrategy"
    16245 f (Text
    16246 uid 10662,0
    16247 va (VaSet
    16248 )
    16249 xt "130000,126500,132800,127500"
    16250 st "trigger"
    16251 blo "130000,127300"
    16252 )
    16253 )
    16254 thePort (LogicalPort
    16255 decl (Decl
    16256 n "trigger"
    16257 t "std_logic"
    16258 o 5
    16259 )
    16260 )
    16261 )
    16262 *558 (CptPort
    16263 uid 10663,0
    16264 ps "OnEdgeStrategy"
    16265 shape (Triangle
    16266 uid 10664,0
    16267 ro 90
    16268 va (VaSet
    16269 vasetType 1
    16270 fg "0,65535,0"
    16271 )
    16272 xt "128250,127625,129000,128375"
    16273 )
    16274 tg (CPTG
    16275 uid 10665,0
    16276 ps "CptPortTextPlaceStrategy"
    16277 stg "VerticalLayoutStrategy"
    16278 f (Text
    16279 uid 10666,0
    16280 va (VaSet
    16281 )
    16282 xt "130000,127500,135500,128500"
    16283 st "socks_waiting"
    16284 blo "130000,128300"
    16285 )
    16286 )
    16287 thePort (LogicalPort
    16288 decl (Decl
    16289 n "socks_waiting"
    16290 t "std_logic"
    16291 o 6
    16292 )
    16293 )
    16294 )
    16295 *559 (CptPort
    16296 uid 10667,0
    16297 ps "OnEdgeStrategy"
    16298 shape (Triangle
    16299 uid 10668,0
    16300 ro 90
    16301 va (VaSet
    16302 vasetType 1
    16303 fg "0,65535,0"
    16304 )
    16305 xt "128250,128625,129000,129375"
    16306 )
    16307 tg (CPTG
    16308 uid 10669,0
    16309 ps "CptPortTextPlaceStrategy"
    16310 stg "VerticalLayoutStrategy"
    16311 f (Text
    16312 uid 10670,0
    16313 va (VaSet
    16314 )
    16315 xt "130000,128500,136500,129500"
    16316 st "socks_connected"
    16317 blo "130000,129300"
    16318 )
    16319 )
    16320 thePort (LogicalPort
    16321 decl (Decl
    16322 n "socks_connected"
    16323 t "std_logic"
    16324 o 7
    16325 )
    16326 )
    16327 )
    16328 ]
    16329 shape (Rectangle
    16330 uid 10676,0
    16331 va (VaSet
    16332 vasetType 1
    16333 fg "0,65535,0"
    16334 lineColor "0,32896,0"
    16335 lineWidth 2
    16336 )
    16337 xt "129000,125000,147000,131000"
    16338 )
    16339 oxt "0,0,8000,10000"
    16340 ttg (MlTextGroup
    16341 uid 10677,0
    16342 ps "CenterOffsetStrategy"
    16343 stg "VerticalLayoutStrategy"
    16344 textVec [
    16345 *560 (Text
    16346 uid 10678,0
    16347 va (VaSet
    16348 font "Arial,8,1"
    16349 )
    16350 xt "130900,131000,137100,132000"
    16351 st "FACT_FAD_lib"
    16352 blo "130900,131800"
    16353 tm "BdLibraryNameMgr"
    16354 )
    16355 *561 (Text
    16356 uid 10679,0
    16357 va (VaSet
    16358 font "Arial,8,1"
    16359 )
    16360 xt "130900,132000,136800,133000"
    16361 st "led_controller"
    16362 blo "130900,132800"
    16363 tm "CptNameMgr"
    16364 )
    16365 *562 (Text
    16366 uid 10680,0
    16367 va (VaSet
    16368 font "Arial,8,1"
    16369 )
    16370 xt "130900,133000,133100,134000"
    16371 st "U_10"
    16372 blo "130900,133800"
    16373 tm "InstanceNameMgr"
    16374 )
    16375 ]
    16376 )
    16377 ga (GenericAssociation
    16378 uid 10681,0
    16379 ps "EdgeToEdgeStrategy"
    16380 matrix (Matrix
    16381 uid 10682,0
    16382 text (MLText
    16383 uid 10683,0
    16384 va (VaSet
    16385 font "Courier New,8,0"
    16386 )
    16387 xt "129000,123400,161500,125000"
    16388 st "HEARTBEAT_DIVIDER = 25000000    ( integer ) -- 2Hz @ 50 MHz 
    16389 WAITING_DIVIDER   = 5000000     ( integer ) -- 10Hz @ 50 MHz "
    16390 )
    16391 header ""
    16392 )
    16393 elements [
    16394 (GiElement
    16395 name "HEARTBEAT_DIVIDER"
    16396 type "integer"
    16397 value "25000000"
    16398 e "-- 2Hz @ 50 MHz"
    16399 )
    16400 (GiElement
    16401 name "WAITING_DIVIDER"
    16402 type "integer"
    16403 value "5000000"
    16404 e "-- 10Hz @ 50 MHz"
    16405 )
    16406 ]
    16407 )
    16408 viewicon (ZoomableIcon
    16409 uid 10684,0
    16410 sl 0
    16411 va (VaSet
    16412 vasetType 1
    16413 fg "49152,49152,49152"
    16414 )
    16415 xt "129250,129250,130750,130750"
    16416 iconName "VhdlFileViewIcon.png"
    16417 iconMaskName "VhdlFileViewIcon.msk"
    16418 ftype 10
    16419 )
    16420 ordering 1
    16421 viewiconposition 0
    16422 portVis (PortSigDisplay
    16423 )
    16424 archFileType "UNKNOWN"
    16425 )
    16426 *563 (Net
     15973st "SIGNAL socks_waiting          : std_logic"
     15974)
     15975)
     15976*552 (Net
    1642715977uid 10721,0
    1642815978decl (Decl
     
    1643815988)
    1643915989xt "-103000,45400,-84500,46200"
    16440 st "green                  : std_logic
    16441 "
    16442 )
    16443 )
    16444 *564 (PortIoOut
     15990st "green                  : std_logic"
     15991)
     15992)
     15993*553 (PortIoOut
    1644515994uid 10729,0
    1644615995shape (CompositeShape
     
    1648616035)
    1648716036)
    16488 *565 (Net
     16037*554 (Net
    1648916038uid 10735,0
    1649016039decl (Decl
     
    1650016049)
    1650116050xt "-103000,41400,-84500,42200"
    16502 st "amber                  : std_logic
    16503 "
    16504 )
    16505 )
    16506 *566 (PortIoOut
     16051st "amber                  : std_logic"
     16052)
     16053)
     16054*555 (PortIoOut
    1650716055uid 10743,0
    1650816056shape (CompositeShape
     
    1654816096)
    1654916097)
    16550 *567 (Net
     16098*556 (Net
    1655116099uid 10749,0
    1655216100decl (Decl
     
    1656216110)
    1656316111xt "-103000,49400,-84500,50200"
    16564 st "red                    : std_logic
    16565 "
    16566 )
    16567 )
    16568 *568 (PortIoOut
     16112st "red                    : std_logic"
     16113)
     16114)
     16115*557 (PortIoOut
    1656916116uid 10757,0
    1657016117shape (CompositeShape
     
    1660916156)
    1661016157)
     16158)
     16159*558 (SaComponent
     16160uid 11209,0
     16161optionalChildren [
     16162*559 (CptPort
     16163uid 11181,0
     16164ps "OnEdgeStrategy"
     16165shape (Triangle
     16166uid 11182,0
     16167ro 90
     16168va (VaSet
     16169vasetType 1
     16170fg "0,65535,0"
     16171)
     16172xt "128250,125625,129000,126375"
     16173)
     16174tg (CPTG
     16175uid 11183,0
     16176ps "CptPortTextPlaceStrategy"
     16177stg "VerticalLayoutStrategy"
     16178f (Text
     16179uid 11184,0
     16180va (VaSet
     16181)
     16182xt "130000,125500,131900,126500"
     16183st "CLK"
     16184blo "130000,126300"
     16185)
     16186)
     16187thePort (LogicalPort
     16188decl (Decl
     16189n "CLK"
     16190t "std_logic"
     16191o 1
     16192)
     16193)
     16194)
     16195*560 (CptPort
     16196uid 11185,0
     16197ps "OnEdgeStrategy"
     16198shape (Triangle
     16199uid 11186,0
     16200ro 90
     16201va (VaSet
     16202vasetType 1
     16203fg "0,65535,0"
     16204)
     16205xt "147000,125625,147750,126375"
     16206)
     16207tg (CPTG
     16208uid 11187,0
     16209ps "CptPortTextPlaceStrategy"
     16210stg "RightVerticalLayoutStrategy"
     16211f (Text
     16212uid 11188,0
     16213va (VaSet
     16214)
     16215xt "143600,125500,146000,126500"
     16216st "green"
     16217ju 2
     16218blo "146000,126300"
     16219)
     16220)
     16221thePort (LogicalPort
     16222m 1
     16223decl (Decl
     16224n "green"
     16225t "std_logic"
     16226o 2
     16227)
     16228)
     16229)
     16230*561 (CptPort
     16231uid 11189,0
     16232ps "OnEdgeStrategy"
     16233shape (Triangle
     16234uid 11190,0
     16235ro 90
     16236va (VaSet
     16237vasetType 1
     16238fg "0,65535,0"
     16239)
     16240xt "147000,126625,147750,127375"
     16241)
     16242tg (CPTG
     16243uid 11191,0
     16244ps "CptPortTextPlaceStrategy"
     16245stg "RightVerticalLayoutStrategy"
     16246f (Text
     16247uid 11192,0
     16248va (VaSet
     16249)
     16250xt "143500,126500,146000,127500"
     16251st "amber"
     16252ju 2
     16253blo "146000,127300"
     16254)
     16255)
     16256thePort (LogicalPort
     16257m 1
     16258decl (Decl
     16259n "amber"
     16260t "std_logic"
     16261o 3
     16262)
     16263)
     16264)
     16265*562 (CptPort
     16266uid 11193,0
     16267ps "OnEdgeStrategy"
     16268shape (Triangle
     16269uid 11194,0
     16270ro 90
     16271va (VaSet
     16272vasetType 1
     16273fg "0,65535,0"
     16274)
     16275xt "147000,127625,147750,128375"
     16276)
     16277tg (CPTG
     16278uid 11195,0
     16279ps "CptPortTextPlaceStrategy"
     16280stg "RightVerticalLayoutStrategy"
     16281f (Text
     16282uid 11196,0
     16283va (VaSet
     16284)
     16285xt "144500,127500,146000,128500"
     16286st "red"
     16287ju 2
     16288blo "146000,128300"
     16289)
     16290)
     16291thePort (LogicalPort
     16292m 1
     16293decl (Decl
     16294n "red"
     16295t "std_logic"
     16296o 4
     16297)
     16298)
     16299)
     16300*563 (CptPort
     16301uid 11197,0
     16302ps "OnEdgeStrategy"
     16303shape (Triangle
     16304uid 11198,0
     16305ro 90
     16306va (VaSet
     16307vasetType 1
     16308fg "0,65535,0"
     16309)
     16310xt "128250,126625,129000,127375"
     16311)
     16312tg (CPTG
     16313uid 11199,0
     16314ps "CptPortTextPlaceStrategy"
     16315stg "VerticalLayoutStrategy"
     16316f (Text
     16317uid 11200,0
     16318va (VaSet
     16319)
     16320xt "130000,126500,132800,127500"
     16321st "trigger"
     16322blo "130000,127300"
     16323)
     16324)
     16325thePort (LogicalPort
     16326decl (Decl
     16327n "trigger"
     16328t "std_logic"
     16329o 5
     16330)
     16331)
     16332)
     16333*564 (CptPort
     16334uid 11201,0
     16335ps "OnEdgeStrategy"
     16336shape (Triangle
     16337uid 11202,0
     16338ro 90
     16339va (VaSet
     16340vasetType 1
     16341fg "0,65535,0"
     16342)
     16343xt "128250,127625,129000,128375"
     16344)
     16345tg (CPTG
     16346uid 11203,0
     16347ps "CptPortTextPlaceStrategy"
     16348stg "VerticalLayoutStrategy"
     16349f (Text
     16350uid 11204,0
     16351va (VaSet
     16352)
     16353xt "130000,127500,135500,128500"
     16354st "socks_waiting"
     16355blo "130000,128300"
     16356)
     16357)
     16358thePort (LogicalPort
     16359decl (Decl
     16360n "socks_waiting"
     16361t "std_logic"
     16362o 6
     16363)
     16364)
     16365)
     16366*565 (CptPort
     16367uid 11205,0
     16368ps "OnEdgeStrategy"
     16369shape (Triangle
     16370uid 11206,0
     16371ro 90
     16372va (VaSet
     16373vasetType 1
     16374fg "0,65535,0"
     16375)
     16376xt "128250,128625,129000,129375"
     16377)
     16378tg (CPTG
     16379uid 11207,0
     16380ps "CptPortTextPlaceStrategy"
     16381stg "VerticalLayoutStrategy"
     16382f (Text
     16383uid 11208,0
     16384va (VaSet
     16385)
     16386xt "130000,128500,136500,129500"
     16387st "socks_connected"
     16388blo "130000,129300"
     16389)
     16390)
     16391thePort (LogicalPort
     16392decl (Decl
     16393n "socks_connected"
     16394t "std_logic"
     16395o 7
     16396)
     16397)
     16398)
     16399]
     16400shape (Rectangle
     16401uid 11210,0
     16402va (VaSet
     16403vasetType 1
     16404fg "0,65535,0"
     16405lineColor "0,32896,0"
     16406lineWidth 2
     16407)
     16408xt "129000,125000,147000,131000"
     16409)
     16410oxt "0,0,8000,10000"
     16411ttg (MlTextGroup
     16412uid 11211,0
     16413ps "CenterOffsetStrategy"
     16414stg "VerticalLayoutStrategy"
     16415textVec [
     16416*566 (Text
     16417uid 11212,0
     16418va (VaSet
     16419font "Arial,8,1"
     16420)
     16421xt "130900,131000,137100,132000"
     16422st "FACT_FAD_lib"
     16423blo "130900,131800"
     16424tm "BdLibraryNameMgr"
     16425)
     16426*567 (Text
     16427uid 11213,0
     16428va (VaSet
     16429font "Arial,8,1"
     16430)
     16431xt "130900,132000,136800,133000"
     16432st "led_controller"
     16433blo "130900,132800"
     16434tm "CptNameMgr"
     16435)
     16436*568 (Text
     16437uid 11214,0
     16438va (VaSet
     16439font "Arial,8,1"
     16440)
     16441xt "130900,133000,133100,134000"
     16442st "U_10"
     16443blo "130900,133800"
     16444tm "InstanceNameMgr"
     16445)
     16446]
     16447)
     16448ga (GenericAssociation
     16449uid 11215,0
     16450ps "EdgeToEdgeStrategy"
     16451matrix (Matrix
     16452uid 11216,0
     16453text (MLText
     16454uid 11217,0
     16455va (VaSet
     16456font "Courier New,8,0"
     16457)
     16458xt "129000,122600,164000,125000"
     16459st "HEARTBEAT_PWM_DIVIDER = 50000       ( integer ) -- 10kHz @ 50 MHz
     16460MAX_DELAY             = 100         ( integer )                   
     16461WAITING_DIVIDER       = 50000000    ( integer ) -- 1Hz @ 50 MHz   
     16462"
     16463)
     16464header ""
     16465)
     16466elements [
     16467(GiElement
     16468name "HEARTBEAT_PWM_DIVIDER"
     16469type "integer"
     16470value "50000"
     16471e "-- 10kHz @ 50 MHz"
     16472)
     16473(GiElement
     16474name "MAX_DELAY"
     16475type "integer"
     16476value "100"
     16477)
     16478(GiElement
     16479name "WAITING_DIVIDER"
     16480type "integer"
     16481value "50000000"
     16482e "-- 1Hz @ 50 MHz"
     16483)
     16484]
     16485)
     16486viewicon (ZoomableIcon
     16487uid 11218,0
     16488sl 0
     16489va (VaSet
     16490vasetType 1
     16491fg "49152,49152,49152"
     16492)
     16493xt "129250,129250,130750,130750"
     16494iconName "VhdlFileViewIcon.png"
     16495iconMaskName "VhdlFileViewIcon.msk"
     16496ftype 10
     16497)
     16498ordering 1
     16499viewiconposition 0
     16500portVis (PortSigDisplay
     16501)
     16502archFileType "UNKNOWN"
    1661116503)
    1661216504*569 (Wire
     
    2214122033]
    2214222034)
    22143 end &558
     22035end &564
    2214422036sat 16
    2214522037eat 32
     
    2217622068]
    2217722069)
    22178 end &559
     22070end &565
    2217922071sat 16
    2218022072eat 32
     
    2221222104]
    2221322105)
    22214 end &553
     22106end &559
    2221522107sat 16
    2221622108eat 32
     
    2224722139]
    2224822140)
    22249 end &557
     22141end &563
    2225022142sat 16
    2225122143eat 32
     
    2228222174]
    2228322175)
    22284 start &554
    22285 end &564
     22176start &560
     22177end &553
    2228622178sat 32
    2228722179eat 32
     
    2230522197)
    2230622198)
    22307 on &563
     22199on &552
    2230822200)
    2230922201*728 (Wire
     
    2232022212]
    2232122213)
    22322 start &555
    22323 end &566
     22214start &561
     22215end &555
    2232422216sat 32
    2232522217eat 32
     
    2234322235)
    2234422236)
    22345 on &565
     22237on &554
    2234622238)
    2234722239*729 (Wire
     
    2235822250]
    2235922251)
    22360 start &556
    22361 end &568
     22252start &562
     22253end &557
    2236222254sat 32
    2236322255eat 32
     
    2238122273)
    2238222274)
    22383 on &567
     22275on &556
    2238422276)
    2238522277]
     
    2250422396windowSize "1280,0,2561,1024"
    2250522397viewArea "107600,77300,192480,147300"
    22506 cachedDiagramExtent "-105000,-60500,163300,343294"
     22398cachedDiagramExtent "-105000,-60500,164000,343294"
    2250722399pageSetupInfo (PageSetupInfo
    2250822400ptrCmd "eDocPrintPro,winspool,"
     
    2252922421hasePageBreakOrigin 1
    2253022422pageBreakOrigin "-73000,0"
    22531 lastUid 10893,0
     22423lastUid 11301,0
    2253222424defaultCommentText (CommentText
    2253322425shape (Rectangle
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak

    r10074 r10075  
    239239elements [
    240240(GiElement
    241 name "HEARTBEAT_DIVIDER"
     241name "HEARTBEAT_PWM_DIVIDER"
    242242type "integer"
    243 value "25000000"
    244 e "-- 2Hz @ 50 MHz"
     243value "500"
     244e "-- 10kHz @ 50 MHz"
     245)
     246(GiElement
     247name "MAX_DELAY"
     248type "integer"
     249value "100"
    245250)
    246251(GiElement
    247252name "WAITING_DIVIDER"
    248253type "integer"
    249 value "5000000"
    250 e "-- 10Hz @ 50 MHz"
     254value "50000000"
     255e "-- 1Hz @ 50 MHz"
    251256)
    252257]
    253258mwi 0
    254 uid 10675,0
     259uid 11209,0
    255260)
    256261]
     
    461466(vvPair
    462467variable "time"
    463 value "12:17:30"
     468value "17:54:26"
    464469)
    465470(vvPair
     
    553558)
    554559xt "-103000,118600,-59500,119400"
    555 st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
    556 "
     560st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\""
    557561)
    558562)
     
    572576)
    573577xt "-103000,64200,-63000,65000"
    574 st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    575 "
     578st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    576579)
    577580)
     
    591594)
    592595xt "-103000,81800,-70500,82600"
    593 st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)
    594 "
     596st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)"
    595597)
    596598)
     
    610612)
    611613xt "-103000,94600,-63000,95400"
    612 st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
    613 "
     614st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
    614615)
    615616)
     
    629630)
    630631xt "-103000,95400,-70500,96200"
    631 st "SIGNAL ram_data               : std_logic_vector(15 downto 0)
    632 "
     632st "SIGNAL ram_data               : std_logic_vector(15 downto 0)"
    633633)
    634634)
     
    648648)
    649649xt "-103000,55800,-63000,56600"
    650 st "wiz_reset              : std_logic                                    := '1'
    651 "
     650st "wiz_reset              : std_logic                                    := '1'"
    652651)
    653652)
     
    667666)
    668667xt "-103000,53400,-74500,54200"
    669 st "wiz_addr               : std_logic_vector(9 DOWNTO 0)
    670 "
     668st "wiz_addr               : std_logic_vector(9 DOWNTO 0)"
    671669)
    672670)
     
    686684)
    687685xt "-103000,58200,-74000,59000"
    688 st "wiz_data               : std_logic_vector(15 DOWNTO 0)
    689 "
     686st "wiz_data               : std_logic_vector(15 DOWNTO 0)"
    690687)
    691688)
     
    705702)
    706703xt "-103000,54200,-63000,55000"
    707 st "wiz_cs                 : std_logic                                    := '1'
    708 "
     704st "wiz_cs                 : std_logic                                    := '1'"
    709705)
    710706)
     
    724720)
    725721xt "-103000,56600,-63000,57400"
    726 st "wiz_wr                 : std_logic                                    := '1'
    727 "
     722st "wiz_wr                 : std_logic                                    := '1'"
    728723)
    729724)
     
    743738)
    744739xt "-103000,55000,-63000,55800"
    745 st "wiz_rd                 : std_logic                                    := '1'
    746 "
     740st "wiz_rd                 : std_logic                                    := '1'"
    747741)
    748742)
     
    761755)
    762756xt "-103000,26200,-84500,27000"
    763 st "wiz_int                : std_logic
    764 "
     757st "wiz_int                : std_logic"
    765758)
    766759)
     
    27792772)
    27802773xt "-103000,23800,-74500,24600"
    2781 st "board_id               : std_logic_vector(3 downto 0)
    2782 "
     2774st "board_id               : std_logic_vector(3 downto 0)"
    27832775)
    27842776)
     
    27992791)
    28002792xt "-103000,25400,-84500,26200"
    2801 st "trigger                : std_logic
    2802 "
     2793st "trigger                : std_logic"
    28032794)
    28042795)
     
    43734364)
    43744365xt "-103000,24600,-74500,25400"
    4375 st "crate_id               : std_logic_vector(1 downto 0)
    4376 "
     4366st "crate_id               : std_logic_vector(1 downto 0)"
    43774367)
    43784368)
     
    45954585)
    45964586xt "-103000,110600,-70500,111400"
    4597 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
    4598 "
     4587st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)"
    45994588)
    46004589)
     
    46164605)
    46174606xt "-103000,96200,-63000,97000"
    4618 st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    4619 "
     4607st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    46204608)
    46214609)
     
    54675455)
    54685456xt "-103000,113000,-80500,113800"
    5469 st "SIGNAL wiz_busy               : std_logic
    5470 "
     5457st "SIGNAL wiz_busy               : std_logic"
    54715458)
    54725459)
     
    54875474)
    54885475xt "-103000,115400,-59500,116200"
    5489 st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
    5490 "
     5476st "SIGNAL wiz_write_ea           : std_logic                                    := '0'"
    54915477)
    54925478)
     
    55085494)
    55095495xt "-103000,117800,-53500,118600"
    5510 st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
    5511 "
     5496st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')"
    55125497)
    55135498)
     
    55305515)
    55315516xt "-103000,114600,-53500,115400"
    5532 st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
    5533 "
     5517st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
    55345518)
    55355519)
     
    55515535)
    55525536xt "-103000,113800,-53500,114600"
    5553 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
    5554 "
     5537st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')"
    55555538)
    55565539)
     
    55715554)
    55725555xt "-103000,116200,-59500,117000"
    5573 st "SIGNAL wiz_write_end          : std_logic                                    := '0'
    5574 "
     5556st "SIGNAL wiz_write_end          : std_logic                                    := '0'"
    55755557)
    55765558)
     
    55915573)
    55925574xt "-103000,117000,-59500,117800"
    5593 st "SIGNAL wiz_write_header       : std_logic                                    := '0'
    5594 "
     5575st "SIGNAL wiz_write_header       : std_logic                                    := '0'"
    55955576)
    55965577)
     
    56095590)
    56105591xt "-103000,97000,-80500,97800"
    5611 st "SIGNAL ram_write_ea           : std_logic
    5612 "
     5592st "SIGNAL ram_write_ea           : std_logic"
    56135593)
    56145594)
     
    56285608)
    56295609xt "-103000,97800,-59500,98600"
    5630 st "SIGNAL ram_write_ready        : std_logic                                    := '0'
    5631 "
     5610st "SIGNAL ram_write_ready        : std_logic                                    := '0'"
    56325611)
    56335612)
     
    56475626)
    56485627xt "-103000,74600,-59500,75400"
    5649 st "SIGNAL config_start           : std_logic                                    := '0'
    5650 "
     5628st "SIGNAL config_start           : std_logic                                    := '0'"
    56515629)
    56525630)
     
    56655643)
    56665644xt "-103000,69000,-80500,69800"
    5667 st "SIGNAL config_ready           : std_logic
    5668 "
     5645st "SIGNAL config_ready           : std_logic"
    56695646)
    56705647)
     
    56835660)
    56845661xt "-103000,101000,-79000,101800"
    5685 st "SIGNAL roi_max                : roi_max_type
    5686 "
     5662st "SIGNAL roi_max                : roi_max_type"
    56875663)
    56885664)
     
    57025678)
    57035679xt "-103000,91400,-70500,92200"
    5704 st "SIGNAL package_length         : std_logic_vector(15 downto 0)
    5705 "
     5680st "SIGNAL package_length         : std_logic_vector(15 downto 0)"
    57065681)
    57075682)
     
    57215696)
    57225697xt "-103000,40600,-63000,41400"
    5723 st "adc_oeb                : std_logic                                    := '1'
    5724 "
     5698st "adc_oeb                : std_logic                                    := '1'"
    57255699)
    57265700)
     
    58295803)
    58305804xt "-103000,100200,-78000,101000"
    5831 st "SIGNAL roi_array              : roi_array_type
    5832 "
     5805st "SIGNAL roi_array              : roi_array_type"
    58335806)
    58345807)
     
    62636236)
    62646237xt "-103000,29400,-84500,30200"
    6265 st "CLK_25_PS              : std_logic
    6266 "
     6238st "CLK_25_PS              : std_logic"
    62676239)
    62686240)
     
    63266298)
    63276299xt "-103000,30200,-84500,31000"
    6328 st "CLK_50                 : std_logic
    6329 "
     6300st "CLK_50                 : std_logic"
    63306301)
    63316302)
     
    63446315)
    63456316xt "-103000,61000,-80500,61800"
    6346 st "SIGNAL CLK_25                 : std_logic
    6347 "
     6317st "SIGNAL CLK_25                 : std_logic"
    63486318)
    63496319)
     
    64076377)
    64086378xt "-103000,18200,-84500,19000"
    6409 st "CLK                    : std_logic
    6410 "
     6379st "CLK                    : std_logic"
    64116380)
    64126381)
     
    64266395)
    64276396xt "-103000,23000,-74500,23800"
    6428 st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)
    6429 "
     6397st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)"
    64306398)
    64316399)
     
    64446412)
    64456413xt "-103000,22200,-79000,23000"
    6446 st "adc_data_array         : adc_data_array_type
    6447 "
     6414st "adc_data_array         : adc_data_array_type"
    64486415)
    64496416)
     
    65086475)
    65096476xt "-103000,85000,-59500,85800"
    6510 st "SIGNAL drs_clk_en             : std_logic                                    := '0'
    6511 "
     6477st "SIGNAL drs_clk_en             : std_logic                                    := '0'"
    65126478)
    65136479)
     
    65266492)
    65276493xt "-103000,87400,-74500,88200"
    6528 st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type
    6529 "
     6494st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type"
    65306495)
    65316496)
     
    65456510)
    65466511xt "-103000,85800,-59500,86600"
    6547 st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'
    6548 "
     6512st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'"
    65496513)
    65506514)
     
    65656529)
    65666530xt "-103000,43800,-57000,44600"
    6567 st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')
    6568 "
     6531st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')"
    65696532)
    65706533)
     
    65846547)
    65856548xt "-103000,44600,-63000,45400"
    6586 st "drs_dwrite             : std_logic                                    := '1'
    6587 "
     6549st "drs_dwrite             : std_logic                                    := '1'"
    65886550)
    65896551)
     
    66926654)
    66936655xt "-103000,19000,-84500,19800"
    6694 st "SROUT_in_0             : std_logic
    6695 "
     6656st "SROUT_in_0             : std_logic"
    66966657)
    66976658)
     
    67106671)
    67116672xt "-103000,19800,-84500,20600"
    6712 st "SROUT_in_1             : std_logic
    6713 "
     6673st "SROUT_in_1             : std_logic"
    67146674)
    67156675)
     
    67286688)
    67296689xt "-103000,20600,-84500,21400"
    6730 st "SROUT_in_2             : std_logic
    6731 "
     6690st "SROUT_in_2             : std_logic"
    67326691)
    67336692)
     
    67466705)
    67476706xt "-103000,21400,-84500,22200"
    6748 st "SROUT_in_3             : std_logic
    6749 "
     6707st "SROUT_in_3             : std_logic"
    67506708)
    67516709)
     
    69446902)
    69456903xt "-103000,86600,-80500,87400"
    6946 st "SIGNAL drs_read_s_cell_ready  : std_logic
    6947 "
     6904st "SIGNAL drs_read_s_cell_ready  : std_logic"
    69486905)
    69496906)
     
    76007557)
    76017558xt "-103000,37400,-63000,38200"
    7602 st "RSRLOAD                : std_logic                                    := '0'
    7603 "
     7559st "RSRLOAD                : std_logic                                    := '0'"
    76047560)
    76057561)
     
    76647620)
    76657621xt "-103000,38200,-63000,39000"
    7666 st "SRCLK                  : std_logic                                    := '0'
    7667 "
     7622st "SRCLK                  : std_logic                                    := '0'"
    76687623)
    76697624)
     
    83188273)
    83198274xt "-103000,65000,-71000,65800"
    8320 st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)
    8321 "
     8275st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)"
    83228276)
    83238277)
     
    83368290)
    83378291xt "-103000,67400,-80500,68200"
    8338 st "SIGNAL config_data_valid      : std_logic
    8339 "
     8292st "SIGNAL config_data_valid      : std_logic"
    83408293)
    83418294)
     
    83548307)
    83558308xt "-103000,65800,-80500,66600"
    8356 st "SIGNAL config_busy            : std_logic
    8357 "
     8309st "SIGNAL config_busy            : std_logic"
    83588310)
    83598311)
     
    83738325)
    83748326xt "-103000,66600,-70500,67400"
    8375 st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)
    8376 "
     8327st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)"
    83778328)
    83788329)
     
    83918342)
    83928343xt "-103000,80200,-80500,81000"
    8393 st "SIGNAL config_wr_en           : std_logic
    8394 "
     8344st "SIGNAL config_wr_en           : std_logic"
    83958345)
    83968346)
     
    84098359)
    84108360xt "-103000,68200,-80500,69000"
    8411 st "SIGNAL config_rd_en           : std_logic
    8412 "
     8361st "SIGNAL config_rd_en           : std_logic"
    84138362)
    84148363)
     
    84278376)
    84288377xt "-103000,81000,-78000,81800"
    8429 st "SIGNAL dac_array              : dac_array_type
    8430 "
     8378st "SIGNAL dac_array              : dac_array_type"
    84318379)
    84328380)
     
    84458393)
    84468394xt "-103000,75400,-80500,76200"
    8447 st "SIGNAL config_start_cm        : std_logic
    8448 "
     8395st "SIGNAL config_start_cm        : std_logic"
    84498396)
    84508397)
     
    84638410)
    84648411xt "-103000,69800,-80500,70600"
    8465 st "SIGNAL config_ready_cm        : std_logic
    8466 "
     8412st "SIGNAL config_ready_cm        : std_logic"
    84678413)
    84688414)
     
    84848430)
    84858431xt "-103000,46200,-57000,47000"
    8486 st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
    8487 "
     8432st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    84888433)
    84898434)
     
    85028447)
    85038448xt "-103000,105000,-80500,105800"
    8504 st "SIGNAL sensor_ready           : std_logic
    8505 "
     8449st "SIGNAL sensor_ready           : std_logic"
    85068450)
    85078451)
     
    85208464)
    85218465xt "-103000,104200,-76500,105000"
    8522 st "SIGNAL sensor_array           : sensor_array_type
    8523 "
     8466st "SIGNAL sensor_array           : sensor_array_type"
    85248467)
    85258468)
     
    85388481)
    85398482xt "-103000,70600,-80500,71400"
    8540 st "SIGNAL config_ready_spi       : std_logic
    8541 "
     8483st "SIGNAL config_ready_spi       : std_logic"
    85428484)
    85438485)
     
    85588500)
    85598501xt "-103000,63400,-71000,64200"
    8560 st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)
    8561 "
     8502st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)"
    85628503)
    85638504)
     
    85768517)
    85778518xt "-103000,62600,-75500,63400"
    8578 st "SIGNAL adc_data_array_int     : adc_data_array_type
    8579 "
     8519st "SIGNAL adc_data_array_int     : adc_data_array_type"
    85808520)
    85818521)
     
    88678807)
    88688808xt "-103000,76200,-59500,77000"
    8869 st "SIGNAL config_start_spi       : std_logic                                    := '0'
    8870 "
     8809st "SIGNAL config_start_spi       : std_logic                                    := '0'"
    88718810)
    88728811)
     
    94019340)
    94029341xt "-103000,50200,-84500,51000"
    9403 st "sclk                   : std_logic
    9404 "
     9342st "sclk                   : std_logic"
    94059343)
    94069344)
     
    94219359)
    94229360xt "-103000,57400,-84500,58200"
    9423 st "sio                    : std_logic
    9424 "
     9361st "sio                    : std_logic"
    94259362)
    94269363)
     
    94399376)
    94409377xt "-103000,42200,-84500,43000"
    9441 st "dac_cs                 : std_logic
    9442 "
     9378st "dac_cs                 : std_logic"
    94439379)
    94449380)
     
    94589394)
    94599395xt "-103000,51000,-74500,51800"
    9460 st "sensor_cs              : std_logic_vector(3 DOWNTO 0)
    9461 "
     9396st "sensor_cs              : std_logic_vector(3 DOWNTO 0)"
    94629397)
    94639398)
     
    96579592)
    96589593xt "-103000,90600,-59500,91400"
    9659 st "SIGNAL new_config             : std_logic                                    := '0'
    9660 "
     9594st "SIGNAL new_config             : std_logic                                    := '0'"
    96619595)
    96629596)
     
    96759609)
    96769610xt "-103000,77000,-80500,77800"
    9677 st "SIGNAL config_started         : std_logic
    9678 "
     9611st "SIGNAL config_started         : std_logic"
    96799612)
    96809613)
     
    96949627)
    96959628xt "-103000,79400,-59500,80200"
    9696 st "SIGNAL config_started_spi     : std_logic                                    := '0'
    9697 "
     9629st "SIGNAL config_started_spi     : std_logic                                    := '0'"
    96989630)
    96999631)
     
    97139645)
    97149646xt "-103000,77800,-59500,78600"
    9715 st "SIGNAL config_started_cu      : std_logic                                    := '0'
    9716 "
     9647st "SIGNAL config_started_cu      : std_logic                                    := '0'"
    97179648)
    97189649)
     
    97319662)
    97329663xt "-103000,78600,-80500,79400"
    9733 st "SIGNAL config_started_mm      : std_logic
    9734 "
     9664st "SIGNAL config_started_mm      : std_logic"
    97359665)
    97369666)
     
    97509680)
    97519681xt "-103000,47000,-63000,47800"
    9752 st "mosi                   : std_logic                                    := '0'
    9753 "
     9682st "mosi                   : std_logic                                    := '0'"
    97549683)
    97559684)
     
    98169745)
    98179746xt "-103000,43000,-49500,43800"
    9818 st "denable                : std_logic                                    := '0' -- default domino wave off
    9819 "
     9747st "denable                : std_logic                                    := '0' -- default domino wave off"
    98209748)
    98219749)
     
    98799807)
    98809808xt "-103000,89800,-59500,90600"
    9881 st "SIGNAL dwrite_enable          : std_logic                                    := '1'
    9882 "
     9809st "SIGNAL dwrite_enable          : std_logic                                    := '1'"
    98839810)
    98849811)
     
    1026710194)
    1026810195xt "-103000,89000,-59500,89800"
    10269 st "SIGNAL dwrite                 : std_logic                                    := '1'
    10270 "
     10196st "SIGNAL dwrite                 : std_logic                                    := '1'"
    1027110197)
    1027210198)
     
    1064210568)
    1064310569xt "-103000,112200,-80500,113000"
    10644 st "SIGNAL wiz_ack                : std_logic
    10645 "
     10570st "SIGNAL wiz_ack                : std_logic"
    1064610571)
    1064710572)
     
    1066210587)
    1066310588xt "-103000,82600,-53500,83400"
    10664 st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
    10665 "
     10589st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')"
    1066610590)
    1066710591)
     
    1068010604)
    1068110605xt "-103000,83400,-80500,84200"
    10682 st "SIGNAL drs_address_mode       : std_logic
    10683 "
     10606st "SIGNAL drs_address_mode       : std_logic"
    1068410607)
    1068510608)
     
    1122811151)
    1122911152xt "-103000,84200,-53500,85000"
    11230 st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
    11231 "
     11153st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')"
    1123211154)
    1123311155)
     
    1161211534)
    1161311535xt "-103000,102600,-80500,103400"
    11614 st "SIGNAL sclk1                  : std_logic
    11615 "
     11536st "SIGNAL sclk1                  : std_logic"
    1161611537)
    1161711538)
     
    1163011551)
    1163111552xt "-103000,103400,-80500,104200"
    11632 st "SIGNAL sclk_enable            : std_logic
    11633 "
     11553st "SIGNAL sclk_enable            : std_logic"
    1163411554)
    1163511555)
     
    1164911569)
    1165011570xt "-103000,39800,-63000,40600"
    11651 st "adc_clk_en             : std_logic                                    := '0'
    11652 "
     11571st "adc_clk_en             : std_logic                                    := '0'"
    1165311572)
    1165411573)
     
    1242912348)
    1243012349xt "-103000,92200,-44000,93000"
    12431 st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards
    12432 "
     12350st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards"
    1243312351)
    1243412352)
     
    1245112369)
    1245212370xt "-103000,93000,-43000,93800"
    12453 st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once
    12454 "
     12371st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once"
    1245512372)
    1245612373)
     
    1246912386)
    1247012387xt "-103000,31800,-84500,32600"
    12471 st "LOCKED_extraOUT        : std_logic
    12472 "
     12388st "LOCKED_extraOUT        : std_logic"
    1247312389)
    1247412390)
     
    1253112447)
    1253212448xt "-103000,33400,-84500,34200"
    12533 st "PSDONE_extraOUT        : std_logic
    12534 "
     12449st "PSDONE_extraOUT        : std_logic"
    1253512450)
    1253612451)
     
    1259312508)
    1259412509xt "-103000,35000,-84500,35800"
    12595 st "PSINCDEC_OUT           : std_logic
    12596 "
     12510st "PSINCDEC_OUT           : std_logic"
    1259712511)
    1259812512)
     
    1265512569)
    1265612570xt "-103000,34200,-84500,35000"
    12657 st "PSEN_OUT               : std_logic
    12658 "
     12571st "PSEN_OUT               : std_logic"
    1265912572)
    1266012573)
     
    1271712630)
    1271812631xt "-103000,32600,-84500,33400"
    12719 st "PSCLK_OUT              : std_logic
    12720 "
     12632st "PSCLK_OUT              : std_logic"
    1272112633)
    1272212634)
     
    1278112693)
    1278212694xt "-103000,31000,-84500,31800"
    12783 st "DCM_locked             : std_logic
    12784 "
     12695st "DCM_locked             : std_logic"
    1278512696)
    1278612697)
     
    1284712758)
    1284812759xt "-103000,47800,-57000,48600"
    12849 st "offset                 : std_logic_vector(7 downto 0)                 := (OTHERS => '0')
    12850 "
     12760st "offset                 : std_logic_vector(7 downto 0)                 := (OTHERS => '0')"
    1285112761)
    1285212762)
     
    1291412824xt "-103000,51800,-63000,53400"
    1291512825st "-- status:
    12916 shifting               : std_logic                                    := '0'
    12917 "
     12826shifting               : std_logic                                    := '0'"
    1291812827)
    1291912828)
     
    1297912888)
    1298012889xt "-103000,48600,-63000,49400"
    12981 st "ready                  : std_logic                                    := '0'
    12982 "
     12890st "ready                  : std_logic                                    := '0'"
    1298312891)
    1298412892)
     
    1376813676)
    1376913677xt "-103000,35800,-84500,36600"
    13770 st "PS_DIR_IN              : std_logic
    13771 "
     13678st "PS_DIR_IN              : std_logic"
    1377213679)
    1377313680)
     
    1378613693)
    1378713694xt "-103000,36600,-84500,37400"
    13788 st "PS_DO_IN               : std_logic
    13789 "
     13695st "PS_DO_IN               : std_logic"
    1379013696)
    1379113697)
     
    1479514701)
    1479614702xt "-103000,28600,-84500,29400"
    14797 st "CLK50_OUT              : std_logic
    14798 "
     14703st "CLK50_OUT              : std_logic"
    1479914704)
    1480014705)
     
    1485714762)
    1485814763xt "-103000,27000,-84500,27800"
    14859 st "CLK25_OUT              : std_logic
    14860 "
     14764st "CLK25_OUT              : std_logic"
    1486114765)
    1486214766)
     
    1491914823)
    1492014824xt "-103000,27800,-84500,28600"
    14921 st "CLK25_PSOUT            : std_logic
    14922 "
     14825st "CLK25_PSOUT            : std_logic"
    1492314826)
    1492414827)
     
    1494014843)
    1494114844xt "-103000,93800,-35500,94600"
    14942 st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift
    14943 "
     14845st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
    1494414846)
    1494514847)
     
    1495914861)
    1496014862xt "-103000,107400,-59500,108200"
    14961 st "SIGNAL srclk_enable           : std_logic                                    := '0'
    14962 "
     14863st "SIGNAL srclk_enable           : std_logic                                    := '0'"
    1496314864)
    1496414865)
     
    1534415245)
    1534515246xt "-103000,61800,-59500,62600"
    15346 st "SIGNAL SRCLK1                 : std_logic                                    := '0'
    15347 "
     15247st "SIGNAL SRCLK1                 : std_logic                                    := '0'"
    1534815248)
    1534915249)
     
    1536715267xt "-103000,71400,-59500,73000"
    1536815268st "-- --
    15369 SIGNAL config_rw_ack          : std_logic                                    := '0'
    15370 "
     15269SIGNAL config_rw_ack          : std_logic                                    := '0'"
    1537115270)
    1537215271)
     
    1539015289xt "-103000,73000,-59500,74600"
    1539115290st "-- --
    15392 SIGNAL config_rw_ready        : std_logic                                    := '0'
    15393 "
     15291SIGNAL config_rw_ready        : std_logic                                    := '0'"
    1539415292)
    1539515293)
     
    1540815306)
    1540915307xt "-103000,101800,-80500,102600"
    15410 st "SIGNAL s_trigger              : std_logic
    15411 "
     15308st "SIGNAL s_trigger              : std_logic"
    1541215309)
    1541315310)
     
    1542615323)
    1542715324xt "-103000,109800,-80500,110600"
    15428 st "SIGNAL start_srin_write_8b    : std_logic
    15429 "
     15325st "SIGNAL start_srin_write_8b    : std_logic"
    1543015326)
    1543115327)
     
    1544515341)
    1544615342xt "-103000,108200,-59500,109000"
    15447 st "SIGNAL srin_write_ack         : std_logic                                    := '0'
    15448 "
     15343st "SIGNAL srin_write_ack         : std_logic                                    := '0'"
    1544915344)
    1545015345)
     
    1546415359)
    1546515360xt "-103000,109000,-59500,109800"
    15466 st "SIGNAL srin_write_ready       : std_logic                                    := '0'
    15467 "
     15361st "SIGNAL srin_write_ready       : std_logic                                    := '0'"
    1546815362)
    1546915363)
     
    1548415378)
    1548515379xt "-103000,88200,-53500,89000"
    15486 st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')
    15487 "
     15380st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')"
    1548815381)
    1548915382)
     
    1550315396)
    1550415397xt "-103000,39000,-63000,39800"
    15505 st "SRIN_out               : std_logic                                    := '0'
    15506 "
     15398st "SRIN_out               : std_logic                                    := '0'"
    1550715399)
    1550815400)
     
    1602215914)
    1602315915xt "-103000,111400,-80500,112200"
    16024 st "SIGNAL trigger_out            : std_logic
    16025 "
     15916st "SIGNAL trigger_out            : std_logic"
    1602615917)
    1602715918)
     
    1604615937xt "-103000,98600,-59500,100200"
    1604715938st "-- --
    16048 SIGNAL ram_write_ready_ack    : std_logic                                    := '0'
    16049 "
     15939SIGNAL ram_write_ready_ack    : std_logic                                    := '0'"
    1605015940)
    1605115941)
     
    1606415954)
    1606515955xt "-103000,105800,-80500,106600"
    16066 st "SIGNAL socks_connected        : std_logic
    16067 "
     15956st "SIGNAL socks_connected        : std_logic"
    1606815957)
    1606915958)
     
    1608215971)
    1608315972xt "-103000,106600,-80500,107400"
    16084 st "SIGNAL socks_waiting          : std_logic
    16085 "
    16086 )
    16087 )
    16088 *552 (SaComponent
    16089 uid 10675,0
    16090 optionalChildren [
    16091 *553 (CptPort
    16092 uid 10643,0
    16093 ps "OnEdgeStrategy"
    16094 shape (Triangle
    16095 uid 10644,0
    16096 ro 90
    16097 va (VaSet
    16098 vasetType 1
    16099 fg "0,65535,0"
    16100 )
    16101 xt "128250,125625,129000,126375"
    16102 )
    16103 tg (CPTG
    16104 uid 10645,0
    16105 ps "CptPortTextPlaceStrategy"
    16106 stg "VerticalLayoutStrategy"
    16107 f (Text
    16108 uid 10646,0
    16109 va (VaSet
    16110 )
    16111 xt "130000,125500,131900,126500"
    16112 st "CLK"
    16113 blo "130000,126300"
    16114 )
    16115 )
    16116 thePort (LogicalPort
    16117 decl (Decl
    16118 n "CLK"
    16119 t "std_logic"
    16120 o 1
    16121 )
    16122 )
    16123 )
    16124 *554 (CptPort
    16125 uid 10647,0
    16126 ps "OnEdgeStrategy"
    16127 shape (Triangle
    16128 uid 10648,0
    16129 ro 90
    16130 va (VaSet
    16131 vasetType 1
    16132 fg "0,65535,0"
    16133 )
    16134 xt "147000,125625,147750,126375"
    16135 )
    16136 tg (CPTG
    16137 uid 10649,0
    16138 ps "CptPortTextPlaceStrategy"
    16139 stg "RightVerticalLayoutStrategy"
    16140 f (Text
    16141 uid 10650,0
    16142 va (VaSet
    16143 )
    16144 xt "143600,125500,146000,126500"
    16145 st "green"
    16146 ju 2
    16147 blo "146000,126300"
    16148 )
    16149 )
    16150 thePort (LogicalPort
    16151 m 1
    16152 decl (Decl
    16153 n "green"
    16154 t "std_logic"
    16155 o 2
    16156 )
    16157 )
    16158 )
    16159 *555 (CptPort
    16160 uid 10651,0
    16161 ps "OnEdgeStrategy"
    16162 shape (Triangle
    16163 uid 10652,0
    16164 ro 90
    16165 va (VaSet
    16166 vasetType 1
    16167 fg "0,65535,0"
    16168 )
    16169 xt "147000,126625,147750,127375"
    16170 )
    16171 tg (CPTG
    16172 uid 10653,0
    16173 ps "CptPortTextPlaceStrategy"
    16174 stg "RightVerticalLayoutStrategy"
    16175 f (Text
    16176 uid 10654,0
    16177 va (VaSet
    16178 )
    16179 xt "143500,126500,146000,127500"
    16180 st "amber"
    16181 ju 2
    16182 blo "146000,127300"
    16183 )
    16184 )
    16185 thePort (LogicalPort
    16186 m 1
    16187 decl (Decl
    16188 n "amber"
    16189 t "std_logic"
    16190 o 3
    16191 )
    16192 )
    16193 )
    16194 *556 (CptPort
    16195 uid 10655,0
    16196 ps "OnEdgeStrategy"
    16197 shape (Triangle
    16198 uid 10656,0
    16199 ro 90
    16200 va (VaSet
    16201 vasetType 1
    16202 fg "0,65535,0"
    16203 )
    16204 xt "147000,127625,147750,128375"
    16205 )
    16206 tg (CPTG
    16207 uid 10657,0
    16208 ps "CptPortTextPlaceStrategy"
    16209 stg "RightVerticalLayoutStrategy"
    16210 f (Text
    16211 uid 10658,0
    16212 va (VaSet
    16213 )
    16214 xt "144500,127500,146000,128500"
    16215 st "red"
    16216 ju 2
    16217 blo "146000,128300"
    16218 )
    16219 )
    16220 thePort (LogicalPort
    16221 m 1
    16222 decl (Decl
    16223 n "red"
    16224 t "std_logic"
    16225 o 4
    16226 )
    16227 )
    16228 )
    16229 *557 (CptPort
    16230 uid 10659,0
    16231 ps "OnEdgeStrategy"
    16232 shape (Triangle
    16233 uid 10660,0
    16234 ro 90
    16235 va (VaSet
    16236 vasetType 1
    16237 fg "0,65535,0"
    16238 )
    16239 xt "128250,126625,129000,127375"
    16240 )
    16241 tg (CPTG
    16242 uid 10661,0
    16243 ps "CptPortTextPlaceStrategy"
    16244 stg "VerticalLayoutStrategy"
    16245 f (Text
    16246 uid 10662,0
    16247 va (VaSet
    16248 )
    16249 xt "130000,126500,132800,127500"
    16250 st "trigger"
    16251 blo "130000,127300"
    16252 )
    16253 )
    16254 thePort (LogicalPort
    16255 decl (Decl
    16256 n "trigger"
    16257 t "std_logic"
    16258 o 5
    16259 )
    16260 )
    16261 )
    16262 *558 (CptPort
    16263 uid 10663,0
    16264 ps "OnEdgeStrategy"
    16265 shape (Triangle
    16266 uid 10664,0
    16267 ro 90
    16268 va (VaSet
    16269 vasetType 1
    16270 fg "0,65535,0"
    16271 )
    16272 xt "128250,127625,129000,128375"
    16273 )
    16274 tg (CPTG
    16275 uid 10665,0
    16276 ps "CptPortTextPlaceStrategy"
    16277 stg "VerticalLayoutStrategy"
    16278 f (Text
    16279 uid 10666,0
    16280 va (VaSet
    16281 )
    16282 xt "130000,127500,135500,128500"
    16283 st "socks_waiting"
    16284 blo "130000,128300"
    16285 )
    16286 )
    16287 thePort (LogicalPort
    16288 decl (Decl
    16289 n "socks_waiting"
    16290 t "std_logic"
    16291 o 6
    16292 )
    16293 )
    16294 )
    16295 *559 (CptPort
    16296 uid 10667,0
    16297 ps "OnEdgeStrategy"
    16298 shape (Triangle
    16299 uid 10668,0
    16300 ro 90
    16301 va (VaSet
    16302 vasetType 1
    16303 fg "0,65535,0"
    16304 )
    16305 xt "128250,128625,129000,129375"
    16306 )
    16307 tg (CPTG
    16308 uid 10669,0
    16309 ps "CptPortTextPlaceStrategy"
    16310 stg "VerticalLayoutStrategy"
    16311 f (Text
    16312 uid 10670,0
    16313 va (VaSet
    16314 )
    16315 xt "130000,128500,136500,129500"
    16316 st "socks_connected"
    16317 blo "130000,129300"
    16318 )
    16319 )
    16320 thePort (LogicalPort
    16321 decl (Decl
    16322 n "socks_connected"
    16323 t "std_logic"
    16324 o 7
    16325 )
    16326 )
    16327 )
    16328 ]
    16329 shape (Rectangle
    16330 uid 10676,0
    16331 va (VaSet
    16332 vasetType 1
    16333 fg "0,65535,0"
    16334 lineColor "0,32896,0"
    16335 lineWidth 2
    16336 )
    16337 xt "129000,125000,147000,131000"
    16338 )
    16339 oxt "0,0,8000,10000"
    16340 ttg (MlTextGroup
    16341 uid 10677,0
    16342 ps "CenterOffsetStrategy"
    16343 stg "VerticalLayoutStrategy"
    16344 textVec [
    16345 *560 (Text
    16346 uid 10678,0
    16347 va (VaSet
    16348 font "Arial,8,1"
    16349 )
    16350 xt "130900,131000,137100,132000"
    16351 st "FACT_FAD_lib"
    16352 blo "130900,131800"
    16353 tm "BdLibraryNameMgr"
    16354 )
    16355 *561 (Text
    16356 uid 10679,0
    16357 va (VaSet
    16358 font "Arial,8,1"
    16359 )
    16360 xt "130900,132000,136800,133000"
    16361 st "led_controller"
    16362 blo "130900,132800"
    16363 tm "CptNameMgr"
    16364 )
    16365 *562 (Text
    16366 uid 10680,0
    16367 va (VaSet
    16368 font "Arial,8,1"
    16369 )
    16370 xt "130900,133000,133100,134000"
    16371 st "U_10"
    16372 blo "130900,133800"
    16373 tm "InstanceNameMgr"
    16374 )
    16375 ]
    16376 )
    16377 ga (GenericAssociation
    16378 uid 10681,0
    16379 ps "EdgeToEdgeStrategy"
    16380 matrix (Matrix
    16381 uid 10682,0
    16382 text (MLText
    16383 uid 10683,0
    16384 va (VaSet
    16385 font "Courier New,8,0"
    16386 )
    16387 xt "129000,123400,161500,125000"
    16388 st "HEARTBEAT_DIVIDER = 25000000    ( integer ) -- 2Hz @ 50 MHz 
    16389 WAITING_DIVIDER   = 5000000     ( integer ) -- 10Hz @ 50 MHz "
    16390 )
    16391 header ""
    16392 )
    16393 elements [
    16394 (GiElement
    16395 name "HEARTBEAT_DIVIDER"
    16396 type "integer"
    16397 value "25000000"
    16398 e "-- 2Hz @ 50 MHz"
    16399 )
    16400 (GiElement
    16401 name "WAITING_DIVIDER"
    16402 type "integer"
    16403 value "5000000"
    16404 e "-- 10Hz @ 50 MHz"
    16405 )
    16406 ]
    16407 )
    16408 viewicon (ZoomableIcon
    16409 uid 10684,0
    16410 sl 0
    16411 va (VaSet
    16412 vasetType 1
    16413 fg "49152,49152,49152"
    16414 )
    16415 xt "129250,129250,130750,130750"
    16416 iconName "VhdlFileViewIcon.png"
    16417 iconMaskName "VhdlFileViewIcon.msk"
    16418 ftype 10
    16419 )
    16420 ordering 1
    16421 viewiconposition 0
    16422 portVis (PortSigDisplay
    16423 )
    16424 archFileType "UNKNOWN"
    16425 )
    16426 *563 (Net
     15973st "SIGNAL socks_waiting          : std_logic"
     15974)
     15975)
     15976*552 (Net
    1642715977uid 10721,0
    1642815978decl (Decl
     
    1643815988)
    1643915989xt "-103000,45400,-84500,46200"
    16440 st "green                  : std_logic
    16441 "
    16442 )
    16443 )
    16444 *564 (PortIoOut
     15990st "green                  : std_logic"
     15991)
     15992)
     15993*553 (PortIoOut
    1644515994uid 10729,0
    1644615995shape (CompositeShape
     
    1648616035)
    1648716036)
    16488 *565 (Net
     16037*554 (Net
    1648916038uid 10735,0
    1649016039decl (Decl
     
    1650016049)
    1650116050xt "-103000,41400,-84500,42200"
    16502 st "amber                  : std_logic
    16503 "
    16504 )
    16505 )
    16506 *566 (PortIoOut
     16051st "amber                  : std_logic"
     16052)
     16053)
     16054*555 (PortIoOut
    1650716055uid 10743,0
    1650816056shape (CompositeShape
     
    1654816096)
    1654916097)
    16550 *567 (Net
     16098*556 (Net
    1655116099uid 10749,0
    1655216100decl (Decl
     
    1656216110)
    1656316111xt "-103000,49400,-84500,50200"
    16564 st "red                    : std_logic
    16565 "
    16566 )
    16567 )
    16568 *568 (PortIoOut
     16112st "red                    : std_logic"
     16113)
     16114)
     16115*557 (PortIoOut
    1656916116uid 10757,0
    1657016117shape (CompositeShape
     
    1660916156)
    1661016157)
     16158)
     16159*558 (SaComponent
     16160uid 11209,0
     16161optionalChildren [
     16162*559 (CptPort
     16163uid 11181,0
     16164ps "OnEdgeStrategy"
     16165shape (Triangle
     16166uid 11182,0
     16167ro 90
     16168va (VaSet
     16169vasetType 1
     16170fg "0,65535,0"
     16171)
     16172xt "128250,125625,129000,126375"
     16173)
     16174tg (CPTG
     16175uid 11183,0
     16176ps "CptPortTextPlaceStrategy"
     16177stg "VerticalLayoutStrategy"
     16178f (Text
     16179uid 11184,0
     16180va (VaSet
     16181)
     16182xt "130000,125500,131900,126500"
     16183st "CLK"
     16184blo "130000,126300"
     16185)
     16186)
     16187thePort (LogicalPort
     16188decl (Decl
     16189n "CLK"
     16190t "std_logic"
     16191o 1
     16192)
     16193)
     16194)
     16195*560 (CptPort
     16196uid 11185,0
     16197ps "OnEdgeStrategy"
     16198shape (Triangle
     16199uid 11186,0
     16200ro 90
     16201va (VaSet
     16202vasetType 1
     16203fg "0,65535,0"
     16204)
     16205xt "147000,125625,147750,126375"
     16206)
     16207tg (CPTG
     16208uid 11187,0
     16209ps "CptPortTextPlaceStrategy"
     16210stg "RightVerticalLayoutStrategy"
     16211f (Text
     16212uid 11188,0
     16213va (VaSet
     16214)
     16215xt "143600,125500,146000,126500"
     16216st "green"
     16217ju 2
     16218blo "146000,126300"
     16219)
     16220)
     16221thePort (LogicalPort
     16222m 1
     16223decl (Decl
     16224n "green"
     16225t "std_logic"
     16226o 2
     16227)
     16228)
     16229)
     16230*561 (CptPort
     16231uid 11189,0
     16232ps "OnEdgeStrategy"
     16233shape (Triangle
     16234uid 11190,0
     16235ro 90
     16236va (VaSet
     16237vasetType 1
     16238fg "0,65535,0"
     16239)
     16240xt "147000,126625,147750,127375"
     16241)
     16242tg (CPTG
     16243uid 11191,0
     16244ps "CptPortTextPlaceStrategy"
     16245stg "RightVerticalLayoutStrategy"
     16246f (Text
     16247uid 11192,0
     16248va (VaSet
     16249)
     16250xt "143500,126500,146000,127500"
     16251st "amber"
     16252ju 2
     16253blo "146000,127300"
     16254)
     16255)
     16256thePort (LogicalPort
     16257m 1
     16258decl (Decl
     16259n "amber"
     16260t "std_logic"
     16261o 3
     16262)
     16263)
     16264)
     16265*562 (CptPort
     16266uid 11193,0
     16267ps "OnEdgeStrategy"
     16268shape (Triangle
     16269uid 11194,0
     16270ro 90
     16271va (VaSet
     16272vasetType 1
     16273fg "0,65535,0"
     16274)
     16275xt "147000,127625,147750,128375"
     16276)
     16277tg (CPTG
     16278uid 11195,0
     16279ps "CptPortTextPlaceStrategy"
     16280stg "RightVerticalLayoutStrategy"
     16281f (Text
     16282uid 11196,0
     16283va (VaSet
     16284)
     16285xt "144500,127500,146000,128500"
     16286st "red"
     16287ju 2
     16288blo "146000,128300"
     16289)
     16290)
     16291thePort (LogicalPort
     16292m 1
     16293decl (Decl
     16294n "red"
     16295t "std_logic"
     16296o 4
     16297)
     16298)
     16299)
     16300*563 (CptPort
     16301uid 11197,0
     16302ps "OnEdgeStrategy"
     16303shape (Triangle
     16304uid 11198,0
     16305ro 90
     16306va (VaSet
     16307vasetType 1
     16308fg "0,65535,0"
     16309)
     16310xt "128250,126625,129000,127375"
     16311)
     16312tg (CPTG
     16313uid 11199,0
     16314ps "CptPortTextPlaceStrategy"
     16315stg "VerticalLayoutStrategy"
     16316f (Text
     16317uid 11200,0
     16318va (VaSet
     16319)
     16320xt "130000,126500,132800,127500"
     16321st "trigger"
     16322blo "130000,127300"
     16323)
     16324)
     16325thePort (LogicalPort
     16326decl (Decl
     16327n "trigger"
     16328t "std_logic"
     16329o 5
     16330)
     16331)
     16332)
     16333*564 (CptPort
     16334uid 11201,0
     16335ps "OnEdgeStrategy"
     16336shape (Triangle
     16337uid 11202,0
     16338ro 90
     16339va (VaSet
     16340vasetType 1
     16341fg "0,65535,0"
     16342)
     16343xt "128250,127625,129000,128375"
     16344)
     16345tg (CPTG
     16346uid 11203,0
     16347ps "CptPortTextPlaceStrategy"
     16348stg "VerticalLayoutStrategy"
     16349f (Text
     16350uid 11204,0
     16351va (VaSet
     16352)
     16353xt "130000,127500,135500,128500"
     16354st "socks_waiting"
     16355blo "130000,128300"
     16356)
     16357)
     16358thePort (LogicalPort
     16359decl (Decl
     16360n "socks_waiting"
     16361t "std_logic"
     16362o 6
     16363)
     16364)
     16365)
     16366*565 (CptPort
     16367uid 11205,0
     16368ps "OnEdgeStrategy"
     16369shape (Triangle
     16370uid 11206,0
     16371ro 90
     16372va (VaSet
     16373vasetType 1
     16374fg "0,65535,0"
     16375)
     16376xt "128250,128625,129000,129375"
     16377)
     16378tg (CPTG
     16379uid 11207,0
     16380ps "CptPortTextPlaceStrategy"
     16381stg "VerticalLayoutStrategy"
     16382f (Text
     16383uid 11208,0
     16384va (VaSet
     16385)
     16386xt "130000,128500,136500,129500"
     16387st "socks_connected"
     16388blo "130000,129300"
     16389)
     16390)
     16391thePort (LogicalPort
     16392decl (Decl
     16393n "socks_connected"
     16394t "std_logic"
     16395o 7
     16396)
     16397)
     16398)
     16399]
     16400shape (Rectangle
     16401uid 11210,0
     16402va (VaSet
     16403vasetType 1
     16404fg "0,65535,0"
     16405lineColor "0,32896,0"
     16406lineWidth 2
     16407)
     16408xt "129000,125000,147000,131000"
     16409)
     16410oxt "0,0,8000,10000"
     16411ttg (MlTextGroup
     16412uid 11211,0
     16413ps "CenterOffsetStrategy"
     16414stg "VerticalLayoutStrategy"
     16415textVec [
     16416*566 (Text
     16417uid 11212,0
     16418va (VaSet
     16419font "Arial,8,1"
     16420)
     16421xt "130900,131000,137100,132000"
     16422st "FACT_FAD_lib"
     16423blo "130900,131800"
     16424tm "BdLibraryNameMgr"
     16425)
     16426*567 (Text
     16427uid 11213,0
     16428va (VaSet
     16429font "Arial,8,1"
     16430)
     16431xt "130900,132000,136800,133000"
     16432st "led_controller"
     16433blo "130900,132800"
     16434tm "CptNameMgr"
     16435)
     16436*568 (Text
     16437uid 11214,0
     16438va (VaSet
     16439font "Arial,8,1"
     16440)
     16441xt "130900,133000,133100,134000"
     16442st "U_10"
     16443blo "130900,133800"
     16444tm "InstanceNameMgr"
     16445)
     16446]
     16447)
     16448ga (GenericAssociation
     16449uid 11215,0
     16450ps "EdgeToEdgeStrategy"
     16451matrix (Matrix
     16452uid 11216,0
     16453text (MLText
     16454uid 11217,0
     16455va (VaSet
     16456font "Courier New,8,0"
     16457)
     16458xt "129000,122600,164000,125000"
     16459st "HEARTBEAT_PWM_DIVIDER = 500         ( integer ) -- 10kHz @ 50 MHz
     16460MAX_DELAY             = 100         ( integer )                   
     16461WAITING_DIVIDER       = 50000000    ( integer ) -- 1Hz @ 50 MHz   
     16462"
     16463)
     16464header ""
     16465)
     16466elements [
     16467(GiElement
     16468name "HEARTBEAT_PWM_DIVIDER"
     16469type "integer"
     16470value "500"
     16471e "-- 10kHz @ 50 MHz"
     16472)
     16473(GiElement
     16474name "MAX_DELAY"
     16475type "integer"
     16476value "100"
     16477)
     16478(GiElement
     16479name "WAITING_DIVIDER"
     16480type "integer"
     16481value "50000000"
     16482e "-- 1Hz @ 50 MHz"
     16483)
     16484]
     16485)
     16486viewicon (ZoomableIcon
     16487uid 11218,0
     16488sl 0
     16489va (VaSet
     16490vasetType 1
     16491fg "49152,49152,49152"
     16492)
     16493xt "129250,129250,130750,130750"
     16494iconName "VhdlFileViewIcon.png"
     16495iconMaskName "VhdlFileViewIcon.msk"
     16496ftype 10
     16497)
     16498ordering 1
     16499viewiconposition 0
     16500portVis (PortSigDisplay
     16501)
     16502archFileType "UNKNOWN"
    1661116503)
    1661216504*569 (Wire
     
    2214122033]
    2214222034)
    22143 end &558
     22035end &564
    2214422036sat 16
    2214522037eat 32
     
    2217622068]
    2217722069)
    22178 end &559
     22070end &565
    2217922071sat 16
    2218022072eat 32
     
    2221222104]
    2221322105)
    22214 end &553
     22106end &559
    2221522107sat 16
    2221622108eat 32
     
    2224722139]
    2224822140)
    22249 end &557
     22141end &563
    2225022142sat 16
    2225122143eat 32
     
    2228222174]
    2228322175)
    22284 start &554
    22285 end &564
     22176start &560
     22177end &553
    2228622178sat 32
    2228722179eat 32
     
    2230522197)
    2230622198)
    22307 on &563
     22199on &552
    2230822200)
    2230922201*728 (Wire
     
    2232022212]
    2232122213)
    22322 start &555
    22323 end &566
     22214start &561
     22215end &555
    2232422216sat 32
    2232522217eat 32
     
    2234322235)
    2234422236)
    22345 on &565
     22237on &554
    2234622238)
    2234722239*729 (Wire
     
    2235822250]
    2235922251)
    22360 start &556
    22361 end &568
     22252start &562
     22253end &557
    2236222254sat 32
    2236322255eat 32
     
    2238122273)
    2238222274)
    22383 on &567
     22275on &556
    2238422276)
    2238522277]
     
    2250322395)
    2250422396windowSize "1280,0,2561,1024"
    22505 viewArea "107560,77269,192440,147269"
    22506 cachedDiagramExtent "-105000,-60500,163300,343294"
     22397viewArea "107600,77300,192480,147300"
     22398cachedDiagramExtent "-105000,-60500,164000,343294"
    2250722399pageSetupInfo (PageSetupInfo
    2250822400ptrCmd "eDocPrintPro,winspool,"
     
    2252922421hasePageBreakOrigin 1
    2253022422pageBreakOrigin "-73000,0"
    22531 lastUid 10772,0
     22423lastUid 11218,0
    2253222424defaultCommentText (CommentText
    2253322425shape (Rectangle
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/symbol.sb

    r10074 r10075  
    14831483(vvPair
    14841484variable "time"
    1485 value "15:59:32"
     1485value "18:05:35"
    14861486)
    14871487(vvPair
     
    44574457)
    44584458)
    4459 lastUid 4966,0
     4459lastUid 5081,0
    44604460okToSyncOnLoad 1
    44614461OkToSyncGenericsOnLoad 1
Note: See TracChangeset for help on using the changeset viewer.