Ignore:
Timestamp:
02/15/11 12:07:05 (14 years ago)
Author:
neise
Message:
highly unstable version !!!
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds
Files:
4 added
12 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/clock_generator_var_ps_struct.xrf

    r10129 r10155  
    4343DESIGN clock_generator_var_ps
    4444VIEW struct.bd
    45 NO_GRAPHIC 43
    46 DESIGN clock_generator_var_ps
    47 VIEW struct.bd
    48 GRAPHIC 0,0 46 2
    49 DESIGN clock_generator_var_ps
    50 VIEW struct.bd
    51 GRAPHIC 524,0 51 0
    52 DESIGN clock_generator_var_ps
    53 VIEW struct.bd
    54 GRAPHIC 600,0 52 0
    55 DESIGN clock_generator_var_ps
    56 VIEW struct.bd
    57 GRAPHIC 530,0 53 0
    58 DESIGN clock_generator_var_ps
    59 VIEW struct.bd
    60 GRAPHIC 586,0 54 0
    61 DESIGN clock_generator_var_ps
    62 VIEW struct.bd
    63 GRAPHIC 544,0 55 0
    64 DESIGN clock_generator_var_ps
    65 VIEW struct.bd
    66 GRAPHIC 558,0 56 0
    67 DESIGN clock_generator_var_ps
    68 VIEW struct.bd
    69 NO_GRAPHIC 57
    70 DESIGN clock_generator_var_ps
    71 VIEW struct.bd
    72 GRAPHIC 229,0 59 0
    73 DESIGN clock_generator_var_ps
    74 VIEW struct.bd
    75 NO_GRAPHIC 61
     45NO_GRAPHIC 45
     46DESIGN clock_generator_var_ps
     47VIEW struct.bd
     48GRAPHIC 0,0 48 2
     49DESIGN clock_generator_var_ps
     50VIEW struct.bd
     51GRAPHIC 524,0 53 0
     52DESIGN clock_generator_var_ps
     53VIEW struct.bd
     54GRAPHIC 600,0 54 0
     55DESIGN clock_generator_var_ps
     56VIEW struct.bd
     57GRAPHIC 530,0 55 0
     58DESIGN clock_generator_var_ps
     59VIEW struct.bd
     60GRAPHIC 586,0 56 0
     61DESIGN clock_generator_var_ps
     62VIEW struct.bd
     63GRAPHIC 544,0 57 0
     64DESIGN clock_generator_var_ps
     65VIEW struct.bd
     66GRAPHIC 558,0 58 0
     67DESIGN clock_generator_var_ps
     68VIEW struct.bd
     69GRAPHIC 1979,0 59 0
     70DESIGN clock_generator_var_ps
     71VIEW struct.bd
     72NO_GRAPHIC 60
     73DESIGN clock_generator_var_ps
     74VIEW struct.bd
     75GRAPHIC 229,0 62 0
     76DESIGN clock_generator_var_ps
     77VIEW struct.bd
     78NO_GRAPHIC 64
    7679LIBRARY FACT_FAD_lib
    7780DESIGN dcm_50_to_25
    7881VIEW @b@e@h@a@v@i@o@r@a@l
    79 GRAPHIC 403,0 63 0
    80 DESIGN clock_generator_var_ps
    81 VIEW struct.bd
    82 NO_GRAPHIC 69
    83 DESIGN clock_generator_var_ps
    84 VIEW struct.bd
    85 GRAPHIC 354,0 71 0
    86 DESIGN clock_generator_var_ps
    87 VIEW struct.bd
    88 NO_GRAPHIC 75
    89 DESIGN clock_generator_var_ps
    90 VIEW struct.bd
    91 GRAPHIC 514,0 77 0
    92 DESIGN clock_generator_var_ps
    93 VIEW struct.bd
    94 NO_GRAPHIC 87
    95 DESIGN clock_generator_var_ps
    96 VIEW struct.bd
    97 GRAPHIC 826,0 89 0
    98 DESIGN phase_shifter
    99 VIEW first_behave
    100 GRAPHIC 48,0 91 0
    101 DESIGN phase_shifter
    102 VIEW first_behave
    103 GRAPHIC 281,0 92 0
    104 DESIGN phase_shifter
    105 VIEW first_behave
    106 GRAPHIC 53,0 93 0
    107 DESIGN phase_shifter
    108 VIEW first_behave
    109 GRAPHIC 58,0 95 0
    110 DESIGN phase_shifter
    111 VIEW first_behave
    112 GRAPHIC 63,0 96 0
    113 DESIGN phase_shifter
    114 VIEW first_behave
    115 GRAPHIC 68,0 97 0
    116 DESIGN phase_shifter
    117 VIEW first_behave
    118 GRAPHIC 73,0 98 0
    119 DESIGN phase_shifter
    120 VIEW first_behave
    121 GRAPHIC 83,0 99 0
    122 DESIGN phase_shifter
    123 VIEW first_behave
    124 GRAPHIC 88,0 101 0
    125 DESIGN phase_shifter
    126 VIEW first_behave
    127 GRAPHIC 93,0 102 0
    128 DESIGN phase_shifter
    129 VIEW first_behave
    130 GRAPHIC 98,0 104 0
    131 DESIGN phase_shifter
    132 VIEW first_behave
    133 GRAPHIC 103,0 105 0
    134 DESIGN phase_shifter
    135 VIEW first_behave
    136 GRAPHIC 108,0 106 0
     82GRAPHIC 403,0 66 0
     83DESIGN clock_generator_var_ps
     84VIEW struct.bd
     85NO_GRAPHIC 72
     86DESIGN clock_generator_var_ps
     87VIEW struct.bd
     88GRAPHIC 354,0 74 0
     89DESIGN clock_generator_var_ps
     90VIEW struct.bd
     91NO_GRAPHIC 78
     92DESIGN clock_generator_var_ps
     93VIEW struct.bd
     94GRAPHIC 514,0 80 0
     95DESIGN clock_generator_var_ps
     96VIEW struct.bd
     97NO_GRAPHIC 90
     98DESIGN clock_generator_var_ps
     99VIEW struct.bd
     100GRAPHIC 826,0 92 0
     101DESIGN phase_shifter
     102VIEW first_behave
     103GRAPHIC 48,0 94 0
     104DESIGN phase_shifter
     105VIEW first_behave
     106GRAPHIC 281,0 95 0
     107DESIGN phase_shifter
     108VIEW first_behave
     109GRAPHIC 53,0 96 0
     110DESIGN phase_shifter
     111VIEW first_behave
     112GRAPHIC 58,0 98 0
     113DESIGN phase_shifter
     114VIEW first_behave
     115GRAPHIC 63,0 99 0
     116DESIGN phase_shifter
     117VIEW first_behave
     118GRAPHIC 68,0 100 0
     119DESIGN phase_shifter
     120VIEW first_behave
     121GRAPHIC 73,0 101 0
     122DESIGN phase_shifter
     123VIEW first_behave
     124GRAPHIC 83,0 102 0
     125DESIGN phase_shifter
     126VIEW first_behave
     127GRAPHIC 88,0 104 0
     128DESIGN phase_shifter
     129VIEW first_behave
     130GRAPHIC 362,0 105 0
     131DESIGN phase_shifter
     132VIEW first_behave
     133GRAPHIC 93,0 106 0
     134DESIGN phase_shifter
     135VIEW first_behave
     136GRAPHIC 98,0 108 0
     137DESIGN phase_shifter
     138VIEW first_behave
     139GRAPHIC 103,0 109 0
    137140LIBRARY FACT_FAD_lib
    138141DESIGN clock_generator_var_ps
    139142VIEW struct.bd
    140 NO_GRAPHIC 109
    141 DESIGN clock_generator_var_ps
    142 VIEW struct.bd
    143 GRAPHIC 403,0 112 0
    144 DESIGN clock_generator_var_ps
    145 VIEW struct.bd
    146 GRAPHIC 354,0 113 0
    147 DESIGN clock_generator_var_ps
    148 VIEW struct.bd
    149 GRAPHIC 514,0 114 0
    150 DESIGN clock_generator_var_ps
    151 VIEW struct.bd
    152 GRAPHIC 826,0 115 0
    153 DESIGN clock_generator_var_ps
    154 VIEW struct.bd
    155 NO_GRAPHIC 118
    156 DESIGN clock_generator_var_ps
    157 VIEW struct.bd
    158 NO_GRAPHIC 120
    159 DESIGN clock_generator_var_ps
    160 VIEW struct.bd
    161 GRAPHIC 403,0 122 0
    162 DESIGN clock_generator_var_ps
    163 VIEW struct.bd
    164 GRAPHIC 163,0 124 0
    165 DESIGN clock_generator_var_ps
    166 VIEW struct.bd
    167 GRAPHIC 209,0 125 0
    168 DESIGN clock_generator_var_ps
    169 VIEW struct.bd
    170 GRAPHIC 191,0 127 0
    171 DESIGN clock_generator_var_ps
    172 VIEW struct.bd
    173 GRAPHIC 354,0 129 0
    174 DESIGN clock_generator_var_ps
    175 VIEW struct.bd
    176 GRAPHIC 229,0 131 0
    177 DESIGN clock_generator_var_ps
    178 VIEW struct.bd
    179 GRAPHIC 526,0 132 0
    180 DESIGN clock_generator_var_ps
    181 VIEW struct.bd
    182 GRAPHIC 514,0 134 0
    183 DESIGN clock_generator_var_ps
    184 VIEW struct.bd
    185 GRAPHIC 526,0 136 0
    186 DESIGN clock_generator_var_ps
    187 VIEW struct.bd
    188 GRAPHIC 532,0 137 0
    189 DESIGN clock_generator_var_ps
    190 VIEW struct.bd
    191 GRAPHIC 546,0 138 0
    192 DESIGN clock_generator_var_ps
    193 VIEW struct.bd
    194 GRAPHIC 841,0 139 0
    195 DESIGN clock_generator_var_ps
    196 VIEW struct.bd
    197 GRAPHIC 1493,0 140 0
    198 DESIGN clock_generator_var_ps
    199 VIEW struct.bd
    200 GRAPHIC 1254,0 141 0
    201 DESIGN clock_generator_var_ps
    202 VIEW struct.bd
    203 GRAPHIC 602,0 142 0
    204 DESIGN clock_generator_var_ps
    205 VIEW struct.bd
    206 GRAPHIC 588,0 143 0
    207 DESIGN clock_generator_var_ps
    208 VIEW struct.bd
    209 GRAPHIC 826,0 145 0
    210 DESIGN clock_generator_var_ps
    211 VIEW struct.bd
    212 GRAPHIC 1458,0 147 0
    213 DESIGN clock_generator_var_ps
    214 VIEW struct.bd
    215 GRAPHIC 1625,0 148 0
    216 DESIGN clock_generator_var_ps
    217 VIEW struct.bd
    218 GRAPHIC 532,0 149 0
    219 DESIGN clock_generator_var_ps
    220 VIEW struct.bd
    221 GRAPHIC 546,0 150 0
    222 DESIGN clock_generator_var_ps
    223 VIEW struct.bd
    224 GRAPHIC 841,0 151 0
    225 DESIGN clock_generator_var_ps
    226 VIEW struct.bd
    227 GRAPHIC 588,0 152 0
    228 DESIGN clock_generator_var_ps
    229 VIEW struct.bd
    230 GRAPHIC 602,0 153 0
    231 DESIGN clock_generator_var_ps
    232 VIEW struct.bd
    233 GRAPHIC 1272,0 154 0
    234 DESIGN clock_generator_var_ps
    235 VIEW struct.bd
    236 GRAPHIC 1286,0 155 0
    237 DESIGN clock_generator_var_ps
    238 VIEW struct.bd
    239 GRAPHIC 1609,0 158 0
    240 DESIGN clock_generator_var_ps
    241 VIEW struct.bd
    242 GRAPHIC 229,0 163 0
    243 DESIGN clock_generator_var_ps
    244 VIEW struct.bd
    245 NO_GRAPHIC 165
     143NO_GRAPHIC 112
     144DESIGN clock_generator_var_ps
     145VIEW struct.bd
     146GRAPHIC 403,0 115 0
     147DESIGN clock_generator_var_ps
     148VIEW struct.bd
     149GRAPHIC 354,0 116 0
     150DESIGN clock_generator_var_ps
     151VIEW struct.bd
     152GRAPHIC 514,0 117 0
     153DESIGN clock_generator_var_ps
     154VIEW struct.bd
     155GRAPHIC 826,0 118 0
     156DESIGN clock_generator_var_ps
     157VIEW struct.bd
     158NO_GRAPHIC 121
     159DESIGN clock_generator_var_ps
     160VIEW struct.bd
     161NO_GRAPHIC 123
     162DESIGN clock_generator_var_ps
     163VIEW struct.bd
     164GRAPHIC 403,0 125 0
     165DESIGN clock_generator_var_ps
     166VIEW struct.bd
     167GRAPHIC 163,0 127 0
     168DESIGN clock_generator_var_ps
     169VIEW struct.bd
     170GRAPHIC 209,0 128 0
     171DESIGN clock_generator_var_ps
     172VIEW struct.bd
     173GRAPHIC 191,0 130 0
     174DESIGN clock_generator_var_ps
     175VIEW struct.bd
     176GRAPHIC 354,0 132 0
     177DESIGN clock_generator_var_ps
     178VIEW struct.bd
     179GRAPHIC 229,0 134 0
     180DESIGN clock_generator_var_ps
     181VIEW struct.bd
     182GRAPHIC 526,0 135 0
     183DESIGN clock_generator_var_ps
     184VIEW struct.bd
     185GRAPHIC 514,0 137 0
     186DESIGN clock_generator_var_ps
     187VIEW struct.bd
     188GRAPHIC 526,0 139 0
     189DESIGN clock_generator_var_ps
     190VIEW struct.bd
     191GRAPHIC 532,0 140 0
     192DESIGN clock_generator_var_ps
     193VIEW struct.bd
     194GRAPHIC 546,0 141 0
     195DESIGN clock_generator_var_ps
     196VIEW struct.bd
     197GRAPHIC 841,0 142 0
     198DESIGN clock_generator_var_ps
     199VIEW struct.bd
     200GRAPHIC 1981,0 143 0
     201DESIGN clock_generator_var_ps
     202VIEW struct.bd
     203GRAPHIC 1254,0 144 0
     204DESIGN clock_generator_var_ps
     205VIEW struct.bd
     206GRAPHIC 602,0 145 0
     207DESIGN clock_generator_var_ps
     208VIEW struct.bd
     209GRAPHIC 588,0 146 0
     210DESIGN clock_generator_var_ps
     211VIEW struct.bd
     212GRAPHIC 826,0 148 0
     213DESIGN clock_generator_var_ps
     214VIEW struct.bd
     215GRAPHIC 1458,0 150 0
     216DESIGN clock_generator_var_ps
     217VIEW struct.bd
     218GRAPHIC 1981,0 151 0
     219DESIGN clock_generator_var_ps
     220VIEW struct.bd
     221GRAPHIC 532,0 152 0
     222DESIGN clock_generator_var_ps
     223VIEW struct.bd
     224GRAPHIC 546,0 153 0
     225DESIGN clock_generator_var_ps
     226VIEW struct.bd
     227GRAPHIC 841,0 154 0
     228DESIGN clock_generator_var_ps
     229VIEW struct.bd
     230GRAPHIC 588,0 155 0
     231DESIGN clock_generator_var_ps
     232VIEW struct.bd
     233GRAPHIC 602,0 156 0
     234DESIGN clock_generator_var_ps
     235VIEW struct.bd
     236GRAPHIC 1272,0 157 0
     237DESIGN clock_generator_var_ps
     238VIEW struct.bd
     239GRAPHIC 1286,0 158 0
     240DESIGN clock_generator_var_ps
     241VIEW struct.bd
     242GRAPHIC 1493,0 159 0
     243DESIGN clock_generator_var_ps
     244VIEW struct.bd
     245GRAPHIC 1609,0 162 0
     246DESIGN clock_generator_var_ps
     247VIEW struct.bd
     248GRAPHIC 229,0 166 0
     249DESIGN clock_generator_var_ps
     250VIEW struct.bd
     251NO_GRAPHIC 168
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf

    r10129 r10155  
    367367DESIGN @f@a@d_main
    368368VIEW struct.bd
    369 GRAPHIC 10449,0 172 0
     369GRAPHIC 15492,0 172 0
    370370DESIGN @f@a@d_main
    371371VIEW struct.bd
     
    884884DESIGN w5300_modul
    885885VIEW @behavioral
    886 GRAPHIC 566,0 454 0
    887 DESIGN w5300_modul
    888 VIEW @behavioral
    889 GRAPHIC 551,0 455 0
    890 DESIGN w5300_modul
    891 VIEW @behavioral
    892 GRAPHIC 561,0 456 0
    893 DESIGN w5300_modul
    894 VIEW @behavioral
    895 GRAPHIC 571,0 457 0
    896 DESIGN w5300_modul
    897 VIEW @behavioral
    898 GRAPHIC 640,0 458 0
    899 DESIGN w5300_modul
    900 VIEW @behavioral
    901 GRAPHIC 1052,0 459 0
    902 DESIGN w5300_modul
    903 VIEW @behavioral
    904 GRAPHIC 1057,0 461 0
    905 DESIGN w5300_modul
    906 VIEW @behavioral
    907 GRAPHIC 556,0 463 0
     886GRAPHIC 566,0 456 0
     887DESIGN w5300_modul
     888VIEW @behavioral
     889GRAPHIC 551,0 457 0
     890DESIGN w5300_modul
     891VIEW @behavioral
     892GRAPHIC 561,0 459 0
     893DESIGN w5300_modul
     894VIEW @behavioral
     895GRAPHIC 571,0 460 0
     896DESIGN w5300_modul
     897VIEW @behavioral
     898GRAPHIC 640,0 461 0
     899DESIGN w5300_modul
     900VIEW @behavioral
     901GRAPHIC 1052,0 462 0
     902DESIGN w5300_modul
     903VIEW @behavioral
     904GRAPHIC 1057,0 463 0
     905DESIGN w5300_modul
     906VIEW @behavioral
     907GRAPHIC 556,0 464 0
    908908DESIGN w5300_modul
    909909VIEW @behavioral
     
    911911DESIGN w5300_modul
    912912VIEW @behavioral
    913 GRAPHIC 1315,0 466 0
    914 DESIGN w5300_modul
    915 VIEW @behavioral
    916 GRAPHIC 1320,0 467 0
    917 DESIGN w5300_modul
    918 VIEW @behavioral
    919 GRAPHIC 670,0 468 0
    920 DESIGN w5300_modul
    921 VIEW @behavioral
    922 GRAPHIC 723,0 469 0
    923 DESIGN w5300_modul
    924 VIEW @behavioral
    925 GRAPHIC 917,0 470 0
    926 DESIGN w5300_modul
    927 VIEW @behavioral
    928 GRAPHIC 949,0 471 0
    929 DESIGN w5300_modul
    930 VIEW @behavioral
    931 GRAPHIC 954,0 472 0
    932 DESIGN w5300_modul
    933 VIEW @behavioral
    934 GRAPHIC 988,0 473 0
    935 DESIGN w5300_modul
    936 VIEW @behavioral
    937 GRAPHIC 1020,0 474 0
    938 DESIGN w5300_modul
    939 VIEW @behavioral
    940 GRAPHIC 1130,0 475 0
    941 DESIGN w5300_modul
    942 VIEW @behavioral
    943 GRAPHIC 1096,0 476 0
    944 DESIGN w5300_modul
    945 VIEW @behavioral
    946 GRAPHIC 1091,0 477 0
     913GRAPHIC 1315,0 470 0
     914DESIGN w5300_modul
     915VIEW @behavioral
     916GRAPHIC 1320,0 471 0
     917DESIGN w5300_modul
     918VIEW @behavioral
     919GRAPHIC 1130,0 472 0
     920DESIGN w5300_modul
     921VIEW @behavioral
     922GRAPHIC 670,0 477 0
     923DESIGN w5300_modul
     924VIEW @behavioral
     925GRAPHIC 723,0 478 0
     926DESIGN w5300_modul
     927VIEW @behavioral
     928GRAPHIC 917,0 479 0
     929DESIGN w5300_modul
     930VIEW @behavioral
     931GRAPHIC 1020,0 480 0
     932DESIGN w5300_modul
     933VIEW @behavioral
     934GRAPHIC 949,0 481 0
     935DESIGN w5300_modul
     936VIEW @behavioral
     937GRAPHIC 954,0 487 0
     938DESIGN w5300_modul
     939VIEW @behavioral
     940GRAPHIC 988,0 488 0
     941DESIGN w5300_modul
     942VIEW @behavioral
     943GRAPHIC 1096,0 489 0
     944DESIGN w5300_modul
     945VIEW @behavioral
     946GRAPHIC 1091,0 495 0
    947947LIBRARY FACT_FAD_lib
    948948DESIGN @f@a@d_main
    949949VIEW struct.bd
    950 NO_GRAPHIC 480
    951 DESIGN @f@a@d_main
    952 VIEW struct.bd
    953 GRAPHIC 14417,0 483 0
    954 DESIGN @f@a@d_main
    955 VIEW struct.bd
    956 GRAPHIC 5678,0 484 0
    957 DESIGN @f@a@d_main
    958 VIEW struct.bd
    959 GRAPHIC 9175,0 485 0
    960 DESIGN @f@a@d_main
    961 VIEW struct.bd
    962 GRAPHIC 13117,0 486 0
    963 DESIGN @f@a@d_main
    964 VIEW struct.bd
    965 GRAPHIC 5072,0 487 0
    966 DESIGN @f@a@d_main
    967 VIEW struct.bd
    968 GRAPHIC 8277,0 488 0
    969 DESIGN @f@a@d_main
    970 VIEW struct.bd
    971 GRAPHIC 1399,0 489 0
    972 DESIGN @f@a@d_main
    973 VIEW struct.bd
    974 GRAPHIC 4903,0 490 0
    975 DESIGN @f@a@d_main
    976 VIEW struct.bd
    977 GRAPHIC 11209,0 491 0
    978 DESIGN @f@a@d_main
    979 VIEW struct.bd
    980 GRAPHIC 2311,0 492 0
    981 DESIGN @f@a@d_main
    982 VIEW struct.bd
    983 GRAPHIC 5793,0 493 0
    984 DESIGN @f@a@d_main
    985 VIEW struct.bd
    986 GRAPHIC 1768,0 494 0
    987 DESIGN @f@a@d_main
    988 VIEW struct.bd
    989 GRAPHIC 12625,0 495 0
    990 DESIGN @f@a@d_main
    991 VIEW struct.bd
    992 GRAPHIC 1606,0 496 0
    993 DESIGN @f@a@d_main
    994 VIEW struct.bd
    995950NO_GRAPHIC 499
    996951DESIGN @f@a@d_main
    997952VIEW struct.bd
    998 GRAPHIC 6529,0 501 0
    999 DESIGN @f@a@d_main
    1000 VIEW struct.bd
    1001 GRAPHIC 9957,0 504 0
    1002 DESIGN @f@a@d_main
    1003 VIEW struct.bd
    1004 GRAPHIC 8721,0 507 0
    1005 DESIGN @f@a@d_main
    1006 VIEW struct.bd
    1007 GRAPHIC 14991,0 510 0
    1008 DESIGN @f@a@d_main
    1009 VIEW struct.bd
    1010 GRAPHIC 12295,0 513 0
    1011 DESIGN @f@a@d_main
    1012 VIEW struct.bd
    1013 GRAPHIC 15058,0 516 0
    1014 DESIGN @f@a@d_main
    1015 VIEW struct.bd
    1016 GRAPHIC 15036,0 519 0
    1017 DESIGN @f@a@d_main
    1018 VIEW struct.bd
    1019 GRAPHIC 10380,0 523 0
    1020 DESIGN @f@a@d_main
    1021 VIEW struct.bd
    1022 GRAPHIC 13266,0 526 0
    1023 DESIGN @f@a@d_main
    1024 VIEW struct.bd
    1025 NO_GRAPHIC 529
    1026 DESIGN @f@a@d_main
    1027 VIEW struct.bd
    1028 GRAPHIC 14417,0 531 0
    1029 DESIGN @f@a@d_main
    1030 VIEW struct.bd
    1031 GRAPHIC 14427,0 533 0
    1032 DESIGN @f@a@d_main
    1033 VIEW struct.bd
    1034 GRAPHIC 14048,0 534 0
    1035 DESIGN @f@a@d_main
    1036 VIEW struct.bd
    1037 GRAPHIC 14622,0 535 0
    1038 DESIGN @f@a@d_main
    1039 VIEW struct.bd
    1040 GRAPHIC 14479,0 536 0
    1041 DESIGN @f@a@d_main
    1042 VIEW struct.bd
    1043 GRAPHIC 14493,0 537 0
    1044 DESIGN @f@a@d_main
    1045 VIEW struct.bd
    1046 GRAPHIC 5678,0 539 0
    1047 DESIGN @f@a@d_main
    1048 VIEW struct.bd
    1049 GRAPHIC 5646,0 541 0
    1050 DESIGN @f@a@d_main
    1051 VIEW struct.bd
    1052 GRAPHIC 4272,0 542 0
    1053 DESIGN @f@a@d_main
    1054 VIEW struct.bd
    1055 GRAPHIC 2786,0 543 0
    1056 DESIGN @f@a@d_main
    1057 VIEW struct.bd
    1058 GRAPHIC 5626,0 544 0
    1059 DESIGN @f@a@d_main
    1060 VIEW struct.bd
    1061 GRAPHIC 5634,0 545 0
    1062 DESIGN @f@a@d_main
    1063 VIEW struct.bd
    1064 GRAPHIC 9175,0 547 0
    1065 DESIGN @f@a@d_main
    1066 VIEW struct.bd
    1067 GRAPHIC 4042,0 549 0
    1068 DESIGN @f@a@d_main
    1069 VIEW struct.bd
    1070 GRAPHIC 10036,0 550 0
    1071 DESIGN @f@a@d_main
    1072 VIEW struct.bd
    1073 GRAPHIC 9253,0 551 0
    1074 DESIGN @f@a@d_main
    1075 VIEW struct.bd
    1076 GRAPHIC 9261,0 552 0
    1077 DESIGN @f@a@d_main
    1078 VIEW struct.bd
    1079 GRAPHIC 6072,0 553 0
    1080 DESIGN @f@a@d_main
    1081 VIEW struct.bd
    1082 GRAPHIC 3984,0 554 0
    1083 DESIGN @f@a@d_main
    1084 VIEW struct.bd
    1085 GRAPHIC 3888,0 555 0
    1086 DESIGN @f@a@d_main
    1087 VIEW struct.bd
    1088 GRAPHIC 13117,0 558 0
    1089 DESIGN @f@a@d_main
    1090 VIEW struct.bd
    1091 GRAPHIC 13124,0 559 1
    1092 DESIGN @f@a@d_main
    1093 VIEW struct.bd
    1094 GRAPHIC 13143,0 563 0
    1095 DESIGN @f@a@d_main
    1096 VIEW struct.bd
    1097 GRAPHIC 13159,0 564 0
    1098 DESIGN @f@a@d_main
    1099 VIEW struct.bd
    1100 GRAPHIC 13165,0 565 0
    1101 DESIGN @f@a@d_main
    1102 VIEW struct.bd
    1103 GRAPHIC 13210,0 566 0
    1104 DESIGN @f@a@d_main
    1105 VIEW struct.bd
    1106 GRAPHIC 5072,0 568 0
    1107 DESIGN @f@a@d_main
    1108 VIEW struct.bd
    1109 GRAPHIC 5582,0 570 0
    1110 DESIGN @f@a@d_main
    1111 VIEW struct.bd
    1112 GRAPHIC 5090,0 571 0
    1113 DESIGN @f@a@d_main
    1114 VIEW struct.bd
    1115 GRAPHIC 5130,0 572 0
    1116 DESIGN @f@a@d_main
    1117 VIEW struct.bd
    1118 GRAPHIC 5184,0 573 0
    1119 DESIGN @f@a@d_main
    1120 VIEW struct.bd
    1121 GRAPHIC 5122,0 574 0
    1122 DESIGN @f@a@d_main
    1123 VIEW struct.bd
    1124 GRAPHIC 5106,0 575 0
    1125 DESIGN @f@a@d_main
    1126 VIEW struct.bd
    1127 GRAPHIC 5098,0 576 0
    1128 DESIGN @f@a@d_main
    1129 VIEW struct.bd
    1130 GRAPHIC 5190,0 577 0
    1131 DESIGN @f@a@d_main
    1132 VIEW struct.bd
    1133 GRAPHIC 10194,0 578 0
    1134 DESIGN @f@a@d_main
    1135 VIEW struct.bd
    1136 GRAPHIC 10202,0 579 0
    1137 DESIGN @f@a@d_main
    1138 VIEW struct.bd
    1139 GRAPHIC 6002,0 580 0
    1140 DESIGN @f@a@d_main
    1141 VIEW struct.bd
    1142 GRAPHIC 5146,0 581 0
    1143 DESIGN @f@a@d_main
    1144 VIEW struct.bd
    1145 GRAPHIC 5138,0 582 0
    1146 DESIGN @f@a@d_main
    1147 VIEW struct.bd
    1148 GRAPHIC 5114,0 583 0
    1149 DESIGN @f@a@d_main
    1150 VIEW struct.bd
    1151 GRAPHIC 8277,0 585 0
    1152 DESIGN @f@a@d_main
    1153 VIEW struct.bd
    1154 GRAPHIC 5602,0 587 0
    1155 DESIGN @f@a@d_main
    1156 VIEW struct.bd
    1157 GRAPHIC 334,0 588 0
    1158 DESIGN @f@a@d_main
    1159 VIEW struct.bd
    1160 GRAPHIC 328,0 589 0
    1161 DESIGN @f@a@d_main
    1162 VIEW struct.bd
    1163 GRAPHIC 322,0 590 0
    1164 DESIGN @f@a@d_main
    1165 VIEW struct.bd
    1166 GRAPHIC 4240,0 591 0
    1167 DESIGN @f@a@d_main
    1168 VIEW struct.bd
    1169 GRAPHIC 364,0 592 0
    1170 DESIGN @f@a@d_main
    1171 VIEW struct.bd
    1172 GRAPHIC 370,0 593 0
    1173 DESIGN @f@a@d_main
    1174 VIEW struct.bd
    1175 GRAPHIC 1399,0 595 0
    1176 DESIGN @f@a@d_main
    1177 VIEW struct.bd
    1178 GRAPHIC 1406,0 596 1
    1179 DESIGN @f@a@d_main
    1180 VIEW struct.bd
    1181 GRAPHIC 5602,0 600 0
    1182 DESIGN @f@a@d_main
    1183 VIEW struct.bd
    1184 GRAPHIC 334,0 601 0
    1185 DESIGN @f@a@d_main
    1186 VIEW struct.bd
    1187 GRAPHIC 328,0 602 0
    1188 DESIGN @f@a@d_main
    1189 VIEW struct.bd
    1190 GRAPHIC 322,0 603 0
    1191 DESIGN @f@a@d_main
    1192 VIEW struct.bd
    1193 GRAPHIC 2299,0 604 0
    1194 DESIGN @f@a@d_main
    1195 VIEW struct.bd
    1196 GRAPHIC 2576,0 605 0
    1197 DESIGN @f@a@d_main
    1198 VIEW struct.bd
    1199 GRAPHIC 2582,0 606 0
    1200 DESIGN @f@a@d_main
    1201 VIEW struct.bd
    1202 GRAPHIC 10467,0 607 0
    1203 DESIGN @f@a@d_main
    1204 VIEW struct.bd
    1205 GRAPHIC 2588,0 608 0
    1206 DESIGN @f@a@d_main
    1207 VIEW struct.bd
    1208 GRAPHIC 5184,0 609 0
    1209 DESIGN @f@a@d_main
    1210 VIEW struct.bd
    1211 GRAPHIC 5745,0 610 0
    1212 DESIGN @f@a@d_main
    1213 VIEW struct.bd
    1214 GRAPHIC 2594,0 611 0
    1215 DESIGN @f@a@d_main
    1216 VIEW struct.bd
    1217 GRAPHIC 5190,0 612 0
    1218 DESIGN @f@a@d_main
    1219 VIEW struct.bd
    1220 GRAPHIC 5404,0 613 0
    1221 DESIGN @f@a@d_main
    1222 VIEW struct.bd
    1223 GRAPHIC 6018,0 614 0
    1224 DESIGN @f@a@d_main
    1225 VIEW struct.bd
    1226 GRAPHIC 6002,0 615 0
    1227 DESIGN @f@a@d_main
    1228 VIEW struct.bd
    1229 GRAPHIC 6008,0 616 0
    1230 DESIGN @f@a@d_main
    1231 VIEW struct.bd
    1232 GRAPHIC 5138,0 617 0
    1233 DESIGN @f@a@d_main
    1234 VIEW struct.bd
    1235 GRAPHIC 2600,0 618 0
    1236 DESIGN @f@a@d_main
    1237 VIEW struct.bd
    1238 GRAPHIC 5480,0 619 0
    1239 DESIGN @f@a@d_main
    1240 VIEW struct.bd
    1241 GRAPHIC 5474,0 620 0
    1242 DESIGN @f@a@d_main
    1243 VIEW struct.bd
    1244 GRAPHIC 6064,0 621 0
    1245 DESIGN @f@a@d_main
    1246 VIEW struct.bd
    1247 GRAPHIC 2642,0 622 0
    1248 DESIGN @f@a@d_main
    1249 VIEW struct.bd
    1250 GRAPHIC 1411,0 623 0
    1251 DESIGN @f@a@d_main
    1252 VIEW struct.bd
    1253 GRAPHIC 1682,0 624 0
    1254 DESIGN @f@a@d_main
    1255 VIEW struct.bd
    1256 GRAPHIC 1983,0 625 0
    1257 DESIGN @f@a@d_main
    1258 VIEW struct.bd
    1259 GRAPHIC 10439,0 626 0
    1260 DESIGN @f@a@d_main
    1261 VIEW struct.bd
    1262 GRAPHIC 5950,0 627 0
    1263 DESIGN @f@a@d_main
    1264 VIEW struct.bd
    1265 GRAPHIC 5962,0 628 0
    1266 DESIGN @f@a@d_main
    1267 VIEW struct.bd
    1268 GRAPHIC 5626,0 629 0
    1269 DESIGN @f@a@d_main
    1270 VIEW struct.bd
    1271 GRAPHIC 2778,0 630 0
    1272 DESIGN @f@a@d_main
    1273 VIEW struct.bd
    1274 GRAPHIC 9006,0 631 0
    1275 DESIGN @f@a@d_main
    1276 VIEW struct.bd
    1277 GRAPHIC 5634,0 632 0
    1278 DESIGN @f@a@d_main
    1279 VIEW struct.bd
    1280 GRAPHIC 4537,0 633 0
    1281 DESIGN @f@a@d_main
    1282 VIEW struct.bd
    1283 GRAPHIC 12649,0 634 0
    1284 DESIGN @f@a@d_main
    1285 VIEW struct.bd
    1286 GRAPHIC 12655,0 635 0
    1287 DESIGN @f@a@d_main
    1288 VIEW struct.bd
    1289 GRAPHIC 4401,0 636 0
    1290 DESIGN @f@a@d_main
    1291 VIEW struct.bd
    1292 GRAPHIC 4419,0 637 0
    1293 DESIGN @f@a@d_main
    1294 VIEW struct.bd
    1295 GRAPHIC 10298,0 638 0
    1296 DESIGN @f@a@d_main
    1297 VIEW struct.bd
    1298 GRAPHIC 10304,0 639 0
    1299 DESIGN @f@a@d_main
    1300 VIEW struct.bd
    1301 GRAPHIC 10316,0 640 0
    1302 DESIGN @f@a@d_main
    1303 VIEW struct.bd
    1304 GRAPHIC 10310,0 641 0
    1305 DESIGN @f@a@d_main
    1306 VIEW struct.bd
    1307 GRAPHIC 4743,0 642 0
    1308 DESIGN @f@a@d_main
    1309 VIEW struct.bd
    1310 GRAPHIC 4407,0 643 0
    1311 DESIGN @f@a@d_main
    1312 VIEW struct.bd
    1313 GRAPHIC 11405,0 644 0
    1314 DESIGN @f@a@d_main
    1315 VIEW struct.bd
    1316 GRAPHIC 4903,0 646 0
    1317 DESIGN @f@a@d_main
    1318 VIEW struct.bd
    1319 GRAPHIC 4757,0 648 0
    1320 DESIGN @f@a@d_main
    1321 VIEW struct.bd
    1322 GRAPHIC 4401,0 649 0
    1323 DESIGN @f@a@d_main
    1324 VIEW struct.bd
    1325 GRAPHIC 4419,0 650 0
    1326 DESIGN @f@a@d_main
    1327 VIEW struct.bd
    1328 GRAPHIC 4671,0 651 0
    1329 DESIGN @f@a@d_main
    1330 VIEW struct.bd
    1331 GRAPHIC 4679,0 652 0
    1332 DESIGN @f@a@d_main
    1333 VIEW struct.bd
    1334 GRAPHIC 4687,0 653 0
    1335 DESIGN @f@a@d_main
    1336 VIEW struct.bd
    1337 GRAPHIC 4695,0 654 0
    1338 DESIGN @f@a@d_main
    1339 VIEW struct.bd
    1340 GRAPHIC 4407,0 655 0
    1341 DESIGN @f@a@d_main
    1342 VIEW struct.bd
    1343 GRAPHIC 4743,0 656 0
     953GRAPHIC 14417,0 502 0
     954DESIGN @f@a@d_main
     955VIEW struct.bd
     956GRAPHIC 5678,0 503 0
     957DESIGN @f@a@d_main
     958VIEW struct.bd
     959GRAPHIC 9175,0 504 0
     960DESIGN @f@a@d_main
     961VIEW struct.bd
     962GRAPHIC 13117,0 505 0
     963DESIGN @f@a@d_main
     964VIEW struct.bd
     965GRAPHIC 5072,0 506 0
     966DESIGN @f@a@d_main
     967VIEW struct.bd
     968GRAPHIC 8277,0 507 0
     969DESIGN @f@a@d_main
     970VIEW struct.bd
     971GRAPHIC 1399,0 508 0
     972DESIGN @f@a@d_main
     973VIEW struct.bd
     974GRAPHIC 4903,0 509 0
     975DESIGN @f@a@d_main
     976VIEW struct.bd
     977GRAPHIC 11209,0 510 0
     978DESIGN @f@a@d_main
     979VIEW struct.bd
     980GRAPHIC 2311,0 511 0
     981DESIGN @f@a@d_main
     982VIEW struct.bd
     983GRAPHIC 5793,0 512 0
     984DESIGN @f@a@d_main
     985VIEW struct.bd
     986GRAPHIC 1768,0 513 0
     987DESIGN @f@a@d_main
     988VIEW struct.bd
     989GRAPHIC 12625,0 514 0
     990DESIGN @f@a@d_main
     991VIEW struct.bd
     992GRAPHIC 1606,0 515 0
     993DESIGN @f@a@d_main
     994VIEW struct.bd
     995NO_GRAPHIC 518
     996DESIGN @f@a@d_main
     997VIEW struct.bd
     998GRAPHIC 6529,0 520 0
     999DESIGN @f@a@d_main
     1000VIEW struct.bd
     1001GRAPHIC 9957,0 523 0
     1002DESIGN @f@a@d_main
     1003VIEW struct.bd
     1004GRAPHIC 8721,0 526 0
     1005DESIGN @f@a@d_main
     1006VIEW struct.bd
     1007GRAPHIC 14991,0 529 0
     1008DESIGN @f@a@d_main
     1009VIEW struct.bd
     1010GRAPHIC 12295,0 532 0
     1011DESIGN @f@a@d_main
     1012VIEW struct.bd
     1013GRAPHIC 15058,0 535 0
     1014DESIGN @f@a@d_main
     1015VIEW struct.bd
     1016GRAPHIC 15036,0 538 0
     1017DESIGN @f@a@d_main
     1018VIEW struct.bd
     1019GRAPHIC 10380,0 542 0
     1020DESIGN @f@a@d_main
     1021VIEW struct.bd
     1022GRAPHIC 13266,0 545 0
     1023DESIGN @f@a@d_main
     1024VIEW struct.bd
     1025NO_GRAPHIC 548
     1026DESIGN @f@a@d_main
     1027VIEW struct.bd
     1028GRAPHIC 14417,0 550 0
     1029DESIGN @f@a@d_main
     1030VIEW struct.bd
     1031GRAPHIC 14427,0 552 0
     1032DESIGN @f@a@d_main
     1033VIEW struct.bd
     1034GRAPHIC 14048,0 553 0
     1035DESIGN @f@a@d_main
     1036VIEW struct.bd
     1037GRAPHIC 14622,0 554 0
     1038DESIGN @f@a@d_main
     1039VIEW struct.bd
     1040GRAPHIC 14479,0 555 0
     1041DESIGN @f@a@d_main
     1042VIEW struct.bd
     1043GRAPHIC 14493,0 556 0
     1044DESIGN @f@a@d_main
     1045VIEW struct.bd
     1046GRAPHIC 5678,0 558 0
     1047DESIGN @f@a@d_main
     1048VIEW struct.bd
     1049GRAPHIC 5646,0 560 0
     1050DESIGN @f@a@d_main
     1051VIEW struct.bd
     1052GRAPHIC 4272,0 561 0
     1053DESIGN @f@a@d_main
     1054VIEW struct.bd
     1055GRAPHIC 2786,0 562 0
     1056DESIGN @f@a@d_main
     1057VIEW struct.bd
     1058GRAPHIC 5626,0 563 0
     1059DESIGN @f@a@d_main
     1060VIEW struct.bd
     1061GRAPHIC 5634,0 564 0
     1062DESIGN @f@a@d_main
     1063VIEW struct.bd
     1064GRAPHIC 9175,0 566 0
     1065DESIGN @f@a@d_main
     1066VIEW struct.bd
     1067GRAPHIC 4042,0 568 0
     1068DESIGN @f@a@d_main
     1069VIEW struct.bd
     1070GRAPHIC 10036,0 569 0
     1071DESIGN @f@a@d_main
     1072VIEW struct.bd
     1073GRAPHIC 9253,0 570 0
     1074DESIGN @f@a@d_main
     1075VIEW struct.bd
     1076GRAPHIC 9261,0 571 0
     1077DESIGN @f@a@d_main
     1078VIEW struct.bd
     1079GRAPHIC 6072,0 572 0
     1080DESIGN @f@a@d_main
     1081VIEW struct.bd
     1082GRAPHIC 3984,0 573 0
     1083DESIGN @f@a@d_main
     1084VIEW struct.bd
     1085GRAPHIC 3888,0 574 0
     1086DESIGN @f@a@d_main
     1087VIEW struct.bd
     1088GRAPHIC 13117,0 577 0
     1089DESIGN @f@a@d_main
     1090VIEW struct.bd
     1091GRAPHIC 13124,0 578 1
     1092DESIGN @f@a@d_main
     1093VIEW struct.bd
     1094GRAPHIC 13143,0 582 0
     1095DESIGN @f@a@d_main
     1096VIEW struct.bd
     1097GRAPHIC 13159,0 583 0
     1098DESIGN @f@a@d_main
     1099VIEW struct.bd
     1100GRAPHIC 13165,0 584 0
     1101DESIGN @f@a@d_main
     1102VIEW struct.bd
     1103GRAPHIC 13210,0 585 0
     1104DESIGN @f@a@d_main
     1105VIEW struct.bd
     1106GRAPHIC 5072,0 587 0
     1107DESIGN @f@a@d_main
     1108VIEW struct.bd
     1109GRAPHIC 5582,0 589 0
     1110DESIGN @f@a@d_main
     1111VIEW struct.bd
     1112GRAPHIC 5090,0 590 0
     1113DESIGN @f@a@d_main
     1114VIEW struct.bd
     1115GRAPHIC 5130,0 591 0
     1116DESIGN @f@a@d_main
     1117VIEW struct.bd
     1118GRAPHIC 5184,0 592 0
     1119DESIGN @f@a@d_main
     1120VIEW struct.bd
     1121GRAPHIC 5122,0 593 0
     1122DESIGN @f@a@d_main
     1123VIEW struct.bd
     1124GRAPHIC 5106,0 594 0
     1125DESIGN @f@a@d_main
     1126VIEW struct.bd
     1127GRAPHIC 5098,0 595 0
     1128DESIGN @f@a@d_main
     1129VIEW struct.bd
     1130GRAPHIC 5190,0 596 0
     1131DESIGN @f@a@d_main
     1132VIEW struct.bd
     1133GRAPHIC 10194,0 597 0
     1134DESIGN @f@a@d_main
     1135VIEW struct.bd
     1136GRAPHIC 10202,0 598 0
     1137DESIGN @f@a@d_main
     1138VIEW struct.bd
     1139GRAPHIC 6002,0 599 0
     1140DESIGN @f@a@d_main
     1141VIEW struct.bd
     1142GRAPHIC 5146,0 600 0
     1143DESIGN @f@a@d_main
     1144VIEW struct.bd
     1145GRAPHIC 5138,0 601 0
     1146DESIGN @f@a@d_main
     1147VIEW struct.bd
     1148GRAPHIC 5114,0 602 0
     1149DESIGN @f@a@d_main
     1150VIEW struct.bd
     1151GRAPHIC 8277,0 604 0
     1152DESIGN @f@a@d_main
     1153VIEW struct.bd
     1154GRAPHIC 5602,0 606 0
     1155DESIGN @f@a@d_main
     1156VIEW struct.bd
     1157GRAPHIC 334,0 607 0
     1158DESIGN @f@a@d_main
     1159VIEW struct.bd
     1160GRAPHIC 328,0 608 0
     1161DESIGN @f@a@d_main
     1162VIEW struct.bd
     1163GRAPHIC 322,0 609 0
     1164DESIGN @f@a@d_main
     1165VIEW struct.bd
     1166GRAPHIC 4240,0 610 0
     1167DESIGN @f@a@d_main
     1168VIEW struct.bd
     1169GRAPHIC 364,0 611 0
     1170DESIGN @f@a@d_main
     1171VIEW struct.bd
     1172GRAPHIC 370,0 612 0
     1173DESIGN @f@a@d_main
     1174VIEW struct.bd
     1175GRAPHIC 1399,0 614 0
     1176DESIGN @f@a@d_main
     1177VIEW struct.bd
     1178GRAPHIC 1406,0 615 1
     1179DESIGN @f@a@d_main
     1180VIEW struct.bd
     1181GRAPHIC 5602,0 619 0
     1182DESIGN @f@a@d_main
     1183VIEW struct.bd
     1184GRAPHIC 334,0 620 0
     1185DESIGN @f@a@d_main
     1186VIEW struct.bd
     1187GRAPHIC 328,0 621 0
     1188DESIGN @f@a@d_main
     1189VIEW struct.bd
     1190GRAPHIC 322,0 622 0
     1191DESIGN @f@a@d_main
     1192VIEW struct.bd
     1193GRAPHIC 2299,0 623 0
     1194DESIGN @f@a@d_main
     1195VIEW struct.bd
     1196GRAPHIC 2576,0 624 0
     1197DESIGN @f@a@d_main
     1198VIEW struct.bd
     1199GRAPHIC 2582,0 625 0
     1200DESIGN @f@a@d_main
     1201VIEW struct.bd
     1202GRAPHIC 10467,0 626 0
     1203DESIGN @f@a@d_main
     1204VIEW struct.bd
     1205GRAPHIC 2588,0 627 0
     1206DESIGN @f@a@d_main
     1207VIEW struct.bd
     1208GRAPHIC 5184,0 628 0
     1209DESIGN @f@a@d_main
     1210VIEW struct.bd
     1211GRAPHIC 5745,0 629 0
     1212DESIGN @f@a@d_main
     1213VIEW struct.bd
     1214GRAPHIC 2594,0 630 0
     1215DESIGN @f@a@d_main
     1216VIEW struct.bd
     1217GRAPHIC 5190,0 631 0
     1218DESIGN @f@a@d_main
     1219VIEW struct.bd
     1220GRAPHIC 5404,0 632 0
     1221DESIGN @f@a@d_main
     1222VIEW struct.bd
     1223GRAPHIC 6018,0 633 0
     1224DESIGN @f@a@d_main
     1225VIEW struct.bd
     1226GRAPHIC 6002,0 634 0
     1227DESIGN @f@a@d_main
     1228VIEW struct.bd
     1229GRAPHIC 6008,0 635 0
     1230DESIGN @f@a@d_main
     1231VIEW struct.bd
     1232GRAPHIC 5138,0 636 0
     1233DESIGN @f@a@d_main
     1234VIEW struct.bd
     1235GRAPHIC 2600,0 637 0
     1236DESIGN @f@a@d_main
     1237VIEW struct.bd
     1238GRAPHIC 5480,0 638 0
     1239DESIGN @f@a@d_main
     1240VIEW struct.bd
     1241GRAPHIC 5474,0 639 0
     1242DESIGN @f@a@d_main
     1243VIEW struct.bd
     1244GRAPHIC 6064,0 640 0
     1245DESIGN @f@a@d_main
     1246VIEW struct.bd
     1247GRAPHIC 2642,0 641 0
     1248DESIGN @f@a@d_main
     1249VIEW struct.bd
     1250GRAPHIC 1411,0 642 0
     1251DESIGN @f@a@d_main
     1252VIEW struct.bd
     1253GRAPHIC 1682,0 643 0
     1254DESIGN @f@a@d_main
     1255VIEW struct.bd
     1256GRAPHIC 1983,0 644 0
     1257DESIGN @f@a@d_main
     1258VIEW struct.bd
     1259GRAPHIC 15494,0 645 0
     1260DESIGN @f@a@d_main
     1261VIEW struct.bd
     1262GRAPHIC 5950,0 646 0
     1263DESIGN @f@a@d_main
     1264VIEW struct.bd
     1265GRAPHIC 5962,0 647 0
     1266DESIGN @f@a@d_main
     1267VIEW struct.bd
     1268GRAPHIC 5626,0 648 0
     1269DESIGN @f@a@d_main
     1270VIEW struct.bd
     1271GRAPHIC 2778,0 649 0
     1272DESIGN @f@a@d_main
     1273VIEW struct.bd
     1274GRAPHIC 9006,0 650 0
     1275DESIGN @f@a@d_main
     1276VIEW struct.bd
     1277GRAPHIC 5634,0 651 0
     1278DESIGN @f@a@d_main
     1279VIEW struct.bd
     1280GRAPHIC 4537,0 652 0
     1281DESIGN @f@a@d_main
     1282VIEW struct.bd
     1283GRAPHIC 12649,0 653 0
     1284DESIGN @f@a@d_main
     1285VIEW struct.bd
     1286GRAPHIC 12655,0 654 0
     1287DESIGN @f@a@d_main
     1288VIEW struct.bd
     1289GRAPHIC 4401,0 655 0
     1290DESIGN @f@a@d_main
     1291VIEW struct.bd
     1292GRAPHIC 4419,0 656 0
    13441293DESIGN @f@a@d_main
    13451294VIEW struct.bd
     
    13471296DESIGN @f@a@d_main
    13481297VIEW struct.bd
    1349 GRAPHIC 10310,0 658 0
    1350 DESIGN @f@a@d_main
    1351 VIEW struct.bd
    1352 GRAPHIC 10304,0 659 0
    1353 DESIGN @f@a@d_main
    1354 VIEW struct.bd
    1355 GRAPHIC 10316,0 660 0
    1356 DESIGN @f@a@d_main
    1357 VIEW struct.bd
    1358 GRAPHIC 10322,0 661 0
    1359 DESIGN @f@a@d_main
    1360 VIEW struct.bd
    1361 GRAPHIC 4948,0 662 0
    1362 DESIGN @f@a@d_main
    1363 VIEW struct.bd
    1364 GRAPHIC 10010,0 663 0
    1365 DESIGN @f@a@d_main
    1366 VIEW struct.bd
    1367 GRAPHIC 11209,0 665 0
    1368 DESIGN @f@a@d_main
    1369 VIEW struct.bd
    1370 GRAPHIC 11216,0 666 1
    1371 DESIGN @f@a@d_main
    1372 VIEW struct.bd
    1373 GRAPHIC 10699,0 672 0
    1374 DESIGN @f@a@d_main
    1375 VIEW struct.bd
    1376 GRAPHIC 10723,0 673 0
    1377 DESIGN @f@a@d_main
    1378 VIEW struct.bd
    1379 GRAPHIC 10737,0 674 0
    1380 DESIGN @f@a@d_main
    1381 VIEW struct.bd
    1382 GRAPHIC 10751,0 675 0
    1383 DESIGN @f@a@d_main
    1384 VIEW struct.bd
    1385 GRAPHIC 12707,0 676 0
    1386 DESIGN @f@a@d_main
    1387 VIEW struct.bd
    1388 GRAPHIC 10707,0 677 0
    1389 DESIGN @f@a@d_main
    1390 VIEW struct.bd
    1391 GRAPHIC 10685,0 678 0
    1392 DESIGN @f@a@d_main
    1393 VIEW struct.bd
    1394 GRAPHIC 10691,0 679 0
    1395 DESIGN @f@a@d_main
    1396 VIEW struct.bd
    1397 GRAPHIC 2311,0 681 0
    1398 DESIGN @f@a@d_main
    1399 VIEW struct.bd
    1400 GRAPHIC 2318,0 682 1
    1401 DESIGN @f@a@d_main
    1402 VIEW struct.bd
    1403 GRAPHIC 6082,0 687 0
    1404 DESIGN @f@a@d_main
    1405 VIEW struct.bd
    1406 GRAPHIC 2588,0 688 0
    1407 DESIGN @f@a@d_main
    1408 VIEW struct.bd
    1409 GRAPHIC 2582,0 689 0
    1410 DESIGN @f@a@d_main
    1411 VIEW struct.bd
    1412 GRAPHIC 10467,0 690 0
    1413 DESIGN @f@a@d_main
    1414 VIEW struct.bd
    1415 GRAPHIC 5168,0 691 0
    1416 DESIGN @f@a@d_main
    1417 VIEW struct.bd
    1418 GRAPHIC 2576,0 692 0
    1419 DESIGN @f@a@d_main
    1420 VIEW struct.bd
    1421 GRAPHIC 2594,0 693 0
    1422 DESIGN @f@a@d_main
    1423 VIEW struct.bd
    1424 GRAPHIC 6018,0 694 0
    1425 DESIGN @f@a@d_main
    1426 VIEW struct.bd
    1427 GRAPHIC 2600,0 695 0
    1428 DESIGN @f@a@d_main
    1429 VIEW struct.bd
    1430 GRAPHIC 2642,0 696 0
    1431 DESIGN @f@a@d_main
    1432 VIEW struct.bd
    1433 GRAPHIC 2488,0 697 0
    1434 DESIGN @f@a@d_main
    1435 VIEW struct.bd
    1436 GRAPHIC 2482,0 698 0
    1437 DESIGN @f@a@d_main
    1438 VIEW struct.bd
    1439 GRAPHIC 2494,0 699 0
    1440 DESIGN @f@a@d_main
    1441 VIEW struct.bd
    1442 GRAPHIC 2476,0 700 0
    1443 DESIGN @f@a@d_main
    1444 VIEW struct.bd
    1445 GRAPHIC 2506,0 701 0
    1446 DESIGN @f@a@d_main
    1447 VIEW struct.bd
    1448 GRAPHIC 2500,0 702 0
    1449 DESIGN @f@a@d_main
    1450 VIEW struct.bd
    1451 GRAPHIC 2470,0 703 0
    1452 DESIGN @f@a@d_main
    1453 VIEW struct.bd
    1454 GRAPHIC 8416,0 704 0
    1455 DESIGN @f@a@d_main
    1456 VIEW struct.bd
    1457 GRAPHIC 2299,0 705 0
    1458 DESIGN @f@a@d_main
    1459 VIEW struct.bd
    1460 GRAPHIC 5793,0 707 0
    1461 DESIGN @f@a@d_main
    1462 VIEW struct.bd
    1463 GRAPHIC 5805,0 709 0
    1464 DESIGN @f@a@d_main
    1465 VIEW struct.bd
    1466 GRAPHIC 5745,0 710 0
    1467 DESIGN @f@a@d_main
    1468 VIEW struct.bd
    1469 GRAPHIC 5146,0 711 0
    1470 DESIGN @f@a@d_main
    1471 VIEW struct.bd
    1472 GRAPHIC 5404,0 712 0
    1473 DESIGN @f@a@d_main
    1474 VIEW struct.bd
    1475 GRAPHIC 6008,0 713 0
    1476 DESIGN @f@a@d_main
    1477 VIEW struct.bd
    1478 GRAPHIC 5829,0 714 0
    1479 DESIGN @f@a@d_main
    1480 VIEW struct.bd
    1481 GRAPHIC 6160,0 715 0
    1482 DESIGN @f@a@d_main
    1483 VIEW struct.bd
    1484 GRAPHIC 8732,0 716 0
    1485 DESIGN @f@a@d_main
    1486 VIEW struct.bd
    1487 GRAPHIC 5480,0 717 0
    1488 DESIGN @f@a@d_main
    1489 VIEW struct.bd
    1490 GRAPHIC 5837,0 718 0
    1491 DESIGN @f@a@d_main
    1492 VIEW struct.bd
    1493 GRAPHIC 5474,0 719 0
    1494 DESIGN @f@a@d_main
    1495 VIEW struct.bd
    1496 GRAPHIC 5821,0 720 0
    1497 DESIGN @f@a@d_main
    1498 VIEW struct.bd
    1499 GRAPHIC 1768,0 722 0
    1500 DESIGN @f@a@d_main
    1501 VIEW struct.bd
    1502 GRAPHIC 1983,0 724 0
    1503 DESIGN @f@a@d_main
    1504 VIEW struct.bd
    1505 GRAPHIC 10439,0 725 0
    1506 DESIGN @f@a@d_main
    1507 VIEW struct.bd
    1508 GRAPHIC 6276,0 726 0
    1509 DESIGN @f@a@d_main
    1510 VIEW struct.bd
    1511 GRAPHIC 12625,0 728 0
    1512 DESIGN @f@a@d_main
    1513 VIEW struct.bd
    1514 GRAPHIC 12687,0 730 0
    1515 DESIGN @f@a@d_main
    1516 VIEW struct.bd
    1517 GRAPHIC 12643,0 731 0
    1518 DESIGN @f@a@d_main
    1519 VIEW struct.bd
    1520 GRAPHIC 12635,0 732 0
    1521 DESIGN @f@a@d_main
    1522 VIEW struct.bd
    1523 GRAPHIC 6540,0 733 0
    1524 DESIGN @f@a@d_main
    1525 VIEW struct.bd
    1526 GRAPHIC 12649,0 734 0
    1527 DESIGN @f@a@d_main
    1528 VIEW struct.bd
    1529 GRAPHIC 12655,0 735 0
    1530 DESIGN @f@a@d_main
    1531 VIEW struct.bd
    1532 GRAPHIC 1606,0 737 0
    1533 DESIGN @f@a@d_main
    1534 VIEW struct.bd
    1535 GRAPHIC 1613,0 738 1
    1536 DESIGN @f@a@d_main
    1537 VIEW struct.bd
    1538 GRAPHIC 3888,0 742 0
    1539 DESIGN @f@a@d_main
    1540 VIEW struct.bd
    1541 GRAPHIC 376,0 743 0
    1542 DESIGN @f@a@d_main
    1543 VIEW struct.bd
    1544 GRAPHIC 384,0 744 0
    1545 DESIGN @f@a@d_main
    1546 VIEW struct.bd
    1547 GRAPHIC 392,0 745 0
    1548 DESIGN @f@a@d_main
    1549 VIEW struct.bd
    1550 GRAPHIC 400,0 746 0
    1551 DESIGN @f@a@d_main
    1552 VIEW struct.bd
    1553 GRAPHIC 408,0 747 0
    1554 DESIGN @f@a@d_main
    1555 VIEW struct.bd
    1556 GRAPHIC 5222,0 748 0
    1557 DESIGN @f@a@d_main
    1558 VIEW struct.bd
    1559 GRAPHIC 424,0 749 0
    1560 DESIGN @f@a@d_main
    1561 VIEW struct.bd
    1562 GRAPHIC 432,0 750 0
    1563 DESIGN @f@a@d_main
    1564 VIEW struct.bd
    1565 GRAPHIC 2482,0 751 0
    1566 DESIGN @f@a@d_main
    1567 VIEW struct.bd
    1568 GRAPHIC 2488,0 752 0
    1569 DESIGN @f@a@d_main
    1570 VIEW struct.bd
    1571 GRAPHIC 370,0 753 0
    1572 DESIGN @f@a@d_main
    1573 VIEW struct.bd
    1574 GRAPHIC 364,0 754 0
    1575 DESIGN @f@a@d_main
    1576 VIEW struct.bd
    1577 GRAPHIC 2476,0 755 0
    1578 DESIGN @f@a@d_main
    1579 VIEW struct.bd
    1580 GRAPHIC 8416,0 756 0
    1581 DESIGN @f@a@d_main
    1582 VIEW struct.bd
    1583 GRAPHIC 2470,0 757 0
    1584 DESIGN @f@a@d_main
    1585 VIEW struct.bd
    1586 GRAPHIC 2506,0 758 0
    1587 DESIGN @f@a@d_main
    1588 VIEW struct.bd
    1589 GRAPHIC 2500,0 759 0
    1590 DESIGN @f@a@d_main
    1591 VIEW struct.bd
    1592 GRAPHIC 2494,0 760 0
    1593 DESIGN @f@a@d_main
    1594 VIEW struct.bd
    1595 GRAPHIC 10266,0 761 0
    1596 DESIGN @f@a@d_main
    1597 VIEW struct.bd
    1598 GRAPHIC 13159,0 762 0
    1599 DESIGN @f@a@d_main
    1600 VIEW struct.bd
    1601 GRAPHIC 13165,0 763 0
    1602 DESIGN @f@a@d_main
    1603 VIEW struct.bd
    1604 GRAPHIC 5950,0 764 0
    1605 DESIGN @f@a@d_main
    1606 VIEW struct.bd
    1607 GRAPHIC 5962,0 765 0
    1608 DESIGN @f@a@d_main
    1609 VIEW struct.bd
    1610 GRAPHIC 5090,0 766 0
    1611 DESIGN @f@a@d_main
    1612 VIEW struct.bd
    1613 GRAPHIC 5114,0 767 0
    1614 DESIGN @f@a@d_main
    1615 VIEW struct.bd
    1616 GRAPHIC 5122,0 768 0
    1617 DESIGN @f@a@d_main
    1618 VIEW struct.bd
    1619 GRAPHIC 5130,0 769 0
    1620 DESIGN @f@a@d_main
    1621 VIEW struct.bd
    1622 GRAPHIC 10194,0 770 0
    1623 DESIGN @f@a@d_main
    1624 VIEW struct.bd
    1625 GRAPHIC 10202,0 771 0
    1626 DESIGN @f@a@d_main
    1627 VIEW struct.bd
    1628 GRAPHIC 5106,0 772 0
    1629 DESIGN @f@a@d_main
    1630 VIEW struct.bd
    1631 GRAPHIC 13695,0 773 0
    1632 DESIGN @f@a@d_main
    1633 VIEW struct.bd
    1634 GRAPHIC 13921,0 774 0
    1635 DESIGN @f@a@d_main
    1636 VIEW struct.bd
    1637 GRAPHIC 13929,0 775 0
    1638 DESIGN @f@a@d_main
    1639 VIEW struct.bd
    1640 GRAPHIC 15071,0 776 0
    1641 DESIGN @f@a@d_main
    1642 VIEW struct.bd
    1643 GRAPHIC 6452,0 777 0
    1644 DESIGN @f@a@d_main
    1645 VIEW struct.bd
    1646 GRAPHIC 8752,0 778 0
    1647 DESIGN @f@a@d_main
    1648 VIEW struct.bd
    1649 GRAPHIC 9233,0 779 0
    1650 DESIGN @f@a@d_main
    1651 VIEW struct.bd
    1652 GRAPHIC 9241,0 780 0
    1653 DESIGN @f@a@d_main
    1654 VIEW struct.bd
    1655 GRAPHIC 9943,0 781 0
    1656 DESIGN @f@a@d_main
    1657 VIEW struct.bd
    1658 GRAPHIC 9951,0 782 0
    1659 DESIGN @f@a@d_main
    1660 VIEW struct.bd
    1661 GRAPHIC 11858,0 783 0
    1662 DESIGN @f@a@d_main
    1663 VIEW struct.bd
    1664 GRAPHIC 10637,0 784 0
    1665 DESIGN @f@a@d_main
    1666 VIEW struct.bd
    1667 GRAPHIC 10629,0 785 0
    1668 DESIGN @f@a@d_main
    1669 VIEW struct.bd
    1670 GRAPHIC 6276,0 789 0
    1671 DESIGN @f@a@d_main
    1672 VIEW struct.bd
    1673 GRAPHIC 3888,0 790 0
    1674 DESIGN @f@a@d_main
    1675 VIEW struct.bd
    1676 GRAPHIC 15138,0 791 0
    1677 DESIGN @f@a@d_main
    1678 VIEW struct.bd
    1679 GRAPHIC 15130,0 792 0
    1680 DESIGN @f@a@d_main
    1681 VIEW struct.bd
    1682 NO_GRAPHIC 794
     1298GRAPHIC 10304,0 658 0
     1299DESIGN @f@a@d_main
     1300VIEW struct.bd
     1301GRAPHIC 10316,0 659 0
     1302DESIGN @f@a@d_main
     1303VIEW struct.bd
     1304GRAPHIC 10310,0 660 0
     1305DESIGN @f@a@d_main
     1306VIEW struct.bd
     1307GRAPHIC 4743,0 661 0
     1308DESIGN @f@a@d_main
     1309VIEW struct.bd
     1310GRAPHIC 4407,0 662 0
     1311DESIGN @f@a@d_main
     1312VIEW struct.bd
     1313GRAPHIC 11405,0 663 0
     1314DESIGN @f@a@d_main
     1315VIEW struct.bd
     1316GRAPHIC 4903,0 665 0
     1317DESIGN @f@a@d_main
     1318VIEW struct.bd
     1319GRAPHIC 4757,0 667 0
     1320DESIGN @f@a@d_main
     1321VIEW struct.bd
     1322GRAPHIC 4401,0 668 0
     1323DESIGN @f@a@d_main
     1324VIEW struct.bd
     1325GRAPHIC 4419,0 669 0
     1326DESIGN @f@a@d_main
     1327VIEW struct.bd
     1328GRAPHIC 4671,0 670 0
     1329DESIGN @f@a@d_main
     1330VIEW struct.bd
     1331GRAPHIC 4679,0 671 0
     1332DESIGN @f@a@d_main
     1333VIEW struct.bd
     1334GRAPHIC 4687,0 672 0
     1335DESIGN @f@a@d_main
     1336VIEW struct.bd
     1337GRAPHIC 4695,0 673 0
     1338DESIGN @f@a@d_main
     1339VIEW struct.bd
     1340GRAPHIC 4407,0 674 0
     1341DESIGN @f@a@d_main
     1342VIEW struct.bd
     1343GRAPHIC 4743,0 675 0
     1344DESIGN @f@a@d_main
     1345VIEW struct.bd
     1346GRAPHIC 10298,0 676 0
     1347DESIGN @f@a@d_main
     1348VIEW struct.bd
     1349GRAPHIC 10310,0 677 0
     1350DESIGN @f@a@d_main
     1351VIEW struct.bd
     1352GRAPHIC 10304,0 678 0
     1353DESIGN @f@a@d_main
     1354VIEW struct.bd
     1355GRAPHIC 10316,0 679 0
     1356DESIGN @f@a@d_main
     1357VIEW struct.bd
     1358GRAPHIC 10322,0 680 0
     1359DESIGN @f@a@d_main
     1360VIEW struct.bd
     1361GRAPHIC 4948,0 681 0
     1362DESIGN @f@a@d_main
     1363VIEW struct.bd
     1364GRAPHIC 10010,0 682 0
     1365DESIGN @f@a@d_main
     1366VIEW struct.bd
     1367GRAPHIC 11209,0 684 0
     1368DESIGN @f@a@d_main
     1369VIEW struct.bd
     1370GRAPHIC 11216,0 685 1
     1371DESIGN @f@a@d_main
     1372VIEW struct.bd
     1373GRAPHIC 10699,0 691 0
     1374DESIGN @f@a@d_main
     1375VIEW struct.bd
     1376GRAPHIC 10723,0 692 0
     1377DESIGN @f@a@d_main
     1378VIEW struct.bd
     1379GRAPHIC 10737,0 693 0
     1380DESIGN @f@a@d_main
     1381VIEW struct.bd
     1382GRAPHIC 10751,0 694 0
     1383DESIGN @f@a@d_main
     1384VIEW struct.bd
     1385GRAPHIC 12707,0 695 0
     1386DESIGN @f@a@d_main
     1387VIEW struct.bd
     1388GRAPHIC 10707,0 696 0
     1389DESIGN @f@a@d_main
     1390VIEW struct.bd
     1391GRAPHIC 10685,0 697 0
     1392DESIGN @f@a@d_main
     1393VIEW struct.bd
     1394GRAPHIC 10691,0 698 0
     1395DESIGN @f@a@d_main
     1396VIEW struct.bd
     1397GRAPHIC 2311,0 700 0
     1398DESIGN @f@a@d_main
     1399VIEW struct.bd
     1400GRAPHIC 2318,0 701 1
     1401DESIGN @f@a@d_main
     1402VIEW struct.bd
     1403GRAPHIC 15379,0 706 0
     1404DESIGN @f@a@d_main
     1405VIEW struct.bd
     1406GRAPHIC 2588,0 707 0
     1407DESIGN @f@a@d_main
     1408VIEW struct.bd
     1409GRAPHIC 2582,0 708 0
     1410DESIGN @f@a@d_main
     1411VIEW struct.bd
     1412GRAPHIC 10467,0 709 0
     1413DESIGN @f@a@d_main
     1414VIEW struct.bd
     1415GRAPHIC 5168,0 710 0
     1416DESIGN @f@a@d_main
     1417VIEW struct.bd
     1418GRAPHIC 2576,0 711 0
     1419DESIGN @f@a@d_main
     1420VIEW struct.bd
     1421GRAPHIC 2594,0 712 0
     1422DESIGN @f@a@d_main
     1423VIEW struct.bd
     1424GRAPHIC 6018,0 713 0
     1425DESIGN @f@a@d_main
     1426VIEW struct.bd
     1427GRAPHIC 2600,0 714 0
     1428DESIGN @f@a@d_main
     1429VIEW struct.bd
     1430GRAPHIC 2642,0 715 0
     1431DESIGN @f@a@d_main
     1432VIEW struct.bd
     1433GRAPHIC 2488,0 716 0
     1434DESIGN @f@a@d_main
     1435VIEW struct.bd
     1436GRAPHIC 2482,0 717 0
     1437DESIGN @f@a@d_main
     1438VIEW struct.bd
     1439GRAPHIC 2494,0 718 0
     1440DESIGN @f@a@d_main
     1441VIEW struct.bd
     1442GRAPHIC 2476,0 719 0
     1443DESIGN @f@a@d_main
     1444VIEW struct.bd
     1445GRAPHIC 2506,0 720 0
     1446DESIGN @f@a@d_main
     1447VIEW struct.bd
     1448GRAPHIC 2500,0 721 0
     1449DESIGN @f@a@d_main
     1450VIEW struct.bd
     1451GRAPHIC 2470,0 722 0
     1452DESIGN @f@a@d_main
     1453VIEW struct.bd
     1454GRAPHIC 8416,0 723 0
     1455DESIGN @f@a@d_main
     1456VIEW struct.bd
     1457GRAPHIC 2299,0 724 0
     1458DESIGN @f@a@d_main
     1459VIEW struct.bd
     1460GRAPHIC 5793,0 726 0
     1461DESIGN @f@a@d_main
     1462VIEW struct.bd
     1463GRAPHIC 5805,0 728 0
     1464DESIGN @f@a@d_main
     1465VIEW struct.bd
     1466GRAPHIC 5745,0 729 0
     1467DESIGN @f@a@d_main
     1468VIEW struct.bd
     1469GRAPHIC 5146,0 730 0
     1470DESIGN @f@a@d_main
     1471VIEW struct.bd
     1472GRAPHIC 5404,0 731 0
     1473DESIGN @f@a@d_main
     1474VIEW struct.bd
     1475GRAPHIC 6008,0 732 0
     1476DESIGN @f@a@d_main
     1477VIEW struct.bd
     1478GRAPHIC 5829,0 733 0
     1479DESIGN @f@a@d_main
     1480VIEW struct.bd
     1481GRAPHIC 6160,0 734 0
     1482DESIGN @f@a@d_main
     1483VIEW struct.bd
     1484GRAPHIC 8732,0 735 0
     1485DESIGN @f@a@d_main
     1486VIEW struct.bd
     1487GRAPHIC 5480,0 736 0
     1488DESIGN @f@a@d_main
     1489VIEW struct.bd
     1490GRAPHIC 5837,0 737 0
     1491DESIGN @f@a@d_main
     1492VIEW struct.bd
     1493GRAPHIC 5474,0 738 0
     1494DESIGN @f@a@d_main
     1495VIEW struct.bd
     1496GRAPHIC 5821,0 739 0
     1497DESIGN @f@a@d_main
     1498VIEW struct.bd
     1499GRAPHIC 1768,0 741 0
     1500DESIGN @f@a@d_main
     1501VIEW struct.bd
     1502GRAPHIC 1983,0 743 0
     1503DESIGN @f@a@d_main
     1504VIEW struct.bd
     1505GRAPHIC 15498,0 744 0
     1506DESIGN @f@a@d_main
     1507VIEW struct.bd
     1508GRAPHIC 6276,0 745 0
     1509DESIGN @f@a@d_main
     1510VIEW struct.bd
     1511GRAPHIC 12625,0 747 0
     1512DESIGN @f@a@d_main
     1513VIEW struct.bd
     1514GRAPHIC 12687,0 749 0
     1515DESIGN @f@a@d_main
     1516VIEW struct.bd
     1517GRAPHIC 12643,0 750 0
     1518DESIGN @f@a@d_main
     1519VIEW struct.bd
     1520GRAPHIC 15494,0 751 0
     1521DESIGN @f@a@d_main
     1522VIEW struct.bd
     1523GRAPHIC 6540,0 752 0
     1524DESIGN @f@a@d_main
     1525VIEW struct.bd
     1526GRAPHIC 12649,0 753 0
     1527DESIGN @f@a@d_main
     1528VIEW struct.bd
     1529GRAPHIC 12655,0 754 0
     1530DESIGN @f@a@d_main
     1531VIEW struct.bd
     1532GRAPHIC 1606,0 756 0
     1533DESIGN @f@a@d_main
     1534VIEW struct.bd
     1535GRAPHIC 1613,0 757 1
     1536DESIGN @f@a@d_main
     1537VIEW struct.bd
     1538GRAPHIC 3888,0 761 0
     1539DESIGN @f@a@d_main
     1540VIEW struct.bd
     1541GRAPHIC 376,0 762 0
     1542DESIGN @f@a@d_main
     1543VIEW struct.bd
     1544GRAPHIC 384,0 763 0
     1545DESIGN @f@a@d_main
     1546VIEW struct.bd
     1547GRAPHIC 392,0 764 0
     1548DESIGN @f@a@d_main
     1549VIEW struct.bd
     1550GRAPHIC 400,0 765 0
     1551DESIGN @f@a@d_main
     1552VIEW struct.bd
     1553GRAPHIC 408,0 766 0
     1554DESIGN @f@a@d_main
     1555VIEW struct.bd
     1556GRAPHIC 5222,0 767 0
     1557DESIGN @f@a@d_main
     1558VIEW struct.bd
     1559GRAPHIC 424,0 768 0
     1560DESIGN @f@a@d_main
     1561VIEW struct.bd
     1562GRAPHIC 432,0 769 0
     1563DESIGN @f@a@d_main
     1564VIEW struct.bd
     1565GRAPHIC 2482,0 770 0
     1566DESIGN @f@a@d_main
     1567VIEW struct.bd
     1568GRAPHIC 2488,0 771 0
     1569DESIGN @f@a@d_main
     1570VIEW struct.bd
     1571GRAPHIC 370,0 772 0
     1572DESIGN @f@a@d_main
     1573VIEW struct.bd
     1574GRAPHIC 364,0 773 0
     1575DESIGN @f@a@d_main
     1576VIEW struct.bd
     1577GRAPHIC 2476,0 774 0
     1578DESIGN @f@a@d_main
     1579VIEW struct.bd
     1580GRAPHIC 8416,0 775 0
     1581DESIGN @f@a@d_main
     1582VIEW struct.bd
     1583GRAPHIC 2470,0 776 0
     1584DESIGN @f@a@d_main
     1585VIEW struct.bd
     1586GRAPHIC 2506,0 777 0
     1587DESIGN @f@a@d_main
     1588VIEW struct.bd
     1589GRAPHIC 2500,0 778 0
     1590DESIGN @f@a@d_main
     1591VIEW struct.bd
     1592GRAPHIC 2494,0 779 0
     1593DESIGN @f@a@d_main
     1594VIEW struct.bd
     1595GRAPHIC 10266,0 780 0
     1596DESIGN @f@a@d_main
     1597VIEW struct.bd
     1598GRAPHIC 13159,0 781 0
     1599DESIGN @f@a@d_main
     1600VIEW struct.bd
     1601GRAPHIC 13165,0 782 0
     1602DESIGN @f@a@d_main
     1603VIEW struct.bd
     1604GRAPHIC 5950,0 783 0
     1605DESIGN @f@a@d_main
     1606VIEW struct.bd
     1607GRAPHIC 5962,0 784 0
     1608DESIGN @f@a@d_main
     1609VIEW struct.bd
     1610GRAPHIC 5090,0 785 0
     1611DESIGN @f@a@d_main
     1612VIEW struct.bd
     1613GRAPHIC 5114,0 786 0
     1614DESIGN @f@a@d_main
     1615VIEW struct.bd
     1616GRAPHIC 5122,0 787 0
     1617DESIGN @f@a@d_main
     1618VIEW struct.bd
     1619GRAPHIC 5130,0 788 0
     1620DESIGN @f@a@d_main
     1621VIEW struct.bd
     1622GRAPHIC 10194,0 789 0
     1623DESIGN @f@a@d_main
     1624VIEW struct.bd
     1625GRAPHIC 10202,0 790 0
     1626DESIGN @f@a@d_main
     1627VIEW struct.bd
     1628GRAPHIC 5106,0 791 0
     1629DESIGN @f@a@d_main
     1630VIEW struct.bd
     1631GRAPHIC 13695,0 792 0
     1632DESIGN @f@a@d_main
     1633VIEW struct.bd
     1634GRAPHIC 13921,0 793 0
     1635DESIGN @f@a@d_main
     1636VIEW struct.bd
     1637GRAPHIC 13929,0 794 0
     1638DESIGN @f@a@d_main
     1639VIEW struct.bd
     1640GRAPHIC 15071,0 795 0
     1641DESIGN @f@a@d_main
     1642VIEW struct.bd
     1643GRAPHIC 6452,0 796 0
     1644DESIGN @f@a@d_main
     1645VIEW struct.bd
     1646GRAPHIC 8752,0 797 0
     1647DESIGN @f@a@d_main
     1648VIEW struct.bd
     1649GRAPHIC 9233,0 798 0
     1650DESIGN @f@a@d_main
     1651VIEW struct.bd
     1652GRAPHIC 9241,0 799 0
     1653DESIGN @f@a@d_main
     1654VIEW struct.bd
     1655GRAPHIC 9943,0 800 0
     1656DESIGN @f@a@d_main
     1657VIEW struct.bd
     1658GRAPHIC 9951,0 801 0
     1659DESIGN @f@a@d_main
     1660VIEW struct.bd
     1661GRAPHIC 11858,0 802 0
     1662DESIGN @f@a@d_main
     1663VIEW struct.bd
     1664GRAPHIC 10637,0 803 0
     1665DESIGN @f@a@d_main
     1666VIEW struct.bd
     1667GRAPHIC 10629,0 804 0
     1668DESIGN @f@a@d_main
     1669VIEW struct.bd
     1670GRAPHIC 6276,0 808 0
     1671DESIGN @f@a@d_main
     1672VIEW struct.bd
     1673GRAPHIC 3888,0 809 0
     1674DESIGN @f@a@d_main
     1675VIEW struct.bd
     1676GRAPHIC 15138,0 810 0
     1677DESIGN @f@a@d_main
     1678VIEW struct.bd
     1679GRAPHIC 15130,0 811 0
     1680DESIGN @f@a@d_main
     1681VIEW struct.bd
     1682NO_GRAPHIC 813
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r10138 r10155  
    2626library "IEEE"
    2727unitName "std_logic_signed"
     28)
     29(DmPackageRef
     30library "UNISIM"
     31unitName "VComponents"
    2832)
    2933]
     
    278282uid 15058,0
    279283)
     284(Instance
     285name "U_0"
     286duLibraryName "FACT_FAD_lib"
     287duName "dna_gen"
     288elements [
     289]
     290mwi 0
     291uid 15728,0
     292)
    280293]
    281294libraryRefs [
     
    333346(vvPair
    334347variable "date"
    335 value "08.02.2011"
     348value "15.02.2011"
    336349)
    337350(vvPair
     
    345358(vvPair
    346359variable "dd"
    347 value "08"
     360value "15"
    348361)
    349362(vvPair
     
    485498(vvPair
    486499variable "time"
    487 value "11:06:22"
     500value "09:27:14"
    488501)
    489502(vvPair
     
    13631376preAdd 0
    13641377posAdd 0
    1365 o 24
     1378o 25
    13661379suid 9,0
    13671380)
     
    13691382)
    13701383*29 (CptPort
    1371 uid 1388,0
    1372 ps "OnEdgeStrategy"
    1373 shape (Triangle
    1374 uid 1389,0
    1375 ro 90
    1376 va (VaSet
    1377 vasetType 1
    1378 fg "0,65535,0"
    1379 )
    1380 xt "-21750,69625,-21000,70375"
    1381 )
    1382 tg (CPTG
    1383 uid 1390,0
    1384 ps "CptPortTextPlaceStrategy"
    1385 stg "VerticalLayoutStrategy"
    1386 f (Text
    1387 uid 1391,0
    1388 va (VaSet
    1389 )
    1390 xt "-20000,69500,-13200,70500"
    1391 st "trigger_id : (47:0)"
    1392 blo "-20000,70300"
    1393 )
    1394 )
    1395 thePort (LogicalPort
    1396 decl (Decl
    1397 n "trigger_id"
    1398 t "std_logic_vector"
    1399 b "(47 downto 0)"
    1400 preAdd 0
    1401 posAdd 0
    1402 o 26
    1403 suid 10,0
    1404 )
    1405 )
    1406 )
    1407 *30 (CptPort
    14081384uid 1392,0
    14091385ps "OnEdgeStrategy"
     
    14361412preAdd 0
    14371413posAdd 0
    1438 o 27
     1414o 28
    14391415suid 11,0
    14401416)
    14411417)
    14421418)
    1443 *31 (CptPort
     1419*30 (CptPort
    14441420uid 1676,0
    14451421ps "OnEdgeStrategy"
     
    14711447t "std_logic_vector"
    14721448b "(1 downto 0)"
    1473 o 25
     1449o 26
    14741450suid 12,0
    14751451)
    14761452)
    14771453)
    1478 *32 (CptPort
     1454*31 (CptPort
    14791455uid 2562,0
    14801456ps "OnEdgeStrategy"
     
    15111487)
    15121488)
    1513 *33 (CptPort
     1489*32 (CptPort
    15141490uid 2566,0
    15151491ps "OnEdgeStrategy"
     
    15491525)
    15501526)
    1551 *34 (CptPort
     1527*33 (CptPort
    15521528uid 2570,0
    15531529ps "OnEdgeStrategy"
     
    15841560)
    15851561)
    1586 *35 (CptPort
     1562*34 (CptPort
    15871563uid 2614,0
    15881564ps "OnEdgeStrategy"
     
    16191595)
    16201596)
    1621 *36 (CptPort
     1597*35 (CptPort
    16221598uid 2624,0
    16231599ps "OnEdgeStrategy"
     
    16551631)
    16561632)
    1657 *37 (CptPort
     1633*36 (CptPort
    16581634uid 2760,0
    16591635ps "OnEdgeStrategy"
     
    16851661n "adc_oeb"
    16861662t "std_logic"
    1687 o 31
     1663o 32
    16881664suid 23,0
    16891665i "'1'"
     
    16911667)
    16921668)
    1693 *38 (CptPort
     1669*37 (CptPort
    16941670uid 2764,0
    16951671ps "OnEdgeStrategy"
     
    17211697t "std_logic_vector"
    17221698b "(3 downto 0)"
    1723 o 33
     1699o 34
    17241700suid 24,0
    17251701)
    17261702)
    17271703)
    1728 *39 (CptPort
     1704*38 (CptPort
    17291705uid 3918,0
    17301706ps "OnEdgeStrategy"
     
    17581734b "(3 downto 0)"
    17591735posAdd 0
    1760 o 34
     1736o 35
    17611737suid 25,0
    17621738i "(others => '0')"
     
    17641740)
    17651741)
    1766 *40 (CptPort
     1742*39 (CptPort
    17671743uid 3922,0
    17681744ps "OnEdgeStrategy"
     
    17971773preAdd 0
    17981774posAdd 0
    1799 o 37
     1775o 38
    18001776suid 26,0
    18011777i "'0'"
     
    18031779)
    18041780)
    1805 *41 (CptPort
     1781*40 (CptPort
    18061782uid 3930,0
    18071783ps "OnEdgeStrategy"
     
    18351811prec "-- --"
    18361812preAdd 0
    1837 o 38
     1813o 39
    18381814suid 33,0
    18391815i "'0'"
     
    18411817)
    18421818)
    1843 *42 (CptPort
     1819*41 (CptPort
    18441820uid 3934,0
    18451821ps "OnEdgeStrategy"
     
    18701846n "drs_read_s_cell_ready"
    18711847t "std_logic"
    1872 o 43
     1848o 44
    18731849suid 34,0
    18741850)
    18751851)
    18761852)
    1877 *43 (CptPort
     1853*42 (CptPort
    18781854uid 3938,0
    18791855ps "OnEdgeStrategy"
     
    19041880n "drs_s_cell_array"
    19051881t "drs_s_cell_array_type"
    1906 o 44
     1882o 45
    19071883suid 35,0
    19081884)
    19091885)
    19101886)
    1911 *44 (CptPort
     1887*43 (CptPort
    19121888uid 4246,0
    19131889ps "OnEdgeStrategy"
     
    19381914n "adc_data_array"
    19391915t "adc_data_array_type"
    1940 o 30
     1916o 31
    19411917suid 37,0
    19421918)
    19431919)
    19441920)
    1945 *45 (CptPort
     1921*44 (CptPort
    19461922uid 5174,0
    19471923ps "OnEdgeStrategy"
     
    19781954)
    19791955)
    1980 *46 (CptPort
     1956*45 (CptPort
    19811957uid 5178,0
    19821958ps "OnEdgeStrategy"
     
    20181994)
    20191995)
    2020 *47 (CptPort
     1996*46 (CptPort
    20211997uid 5392,0
    20221998ps "OnEdgeStrategy"
     
    20532029)
    20542030)
    2055 *48 (CptPort
     2031*47 (CptPort
    20562032uid 5396,0
    20572033ps "OnEdgeStrategy"
     
    20882064)
    20892065)
    2090 *49 (CptPort
     2066*48 (CptPort
    20912067uid 5464,0
    20922068ps "OnEdgeStrategy"
     
    21232099)
    21242100)
    2125 *50 (CptPort
     2101*49 (CptPort
    21262102uid 5468,0
    21272103ps "OnEdgeStrategy"
     
    21582134)
    21592135)
    2160 *51 (CptPort
     2136*50 (CptPort
    21612137uid 5735,0
    21622138ps "OnEdgeStrategy"
     
    21982174)
    21992175)
    2200 *52 (CptPort
     2176*51 (CptPort
    22012177uid 5739,0
    22022178ps "OnEdgeStrategy"
     
    22372213)
    22382214)
    2239 *53 (CptPort
     2215*52 (CptPort
    22402216uid 5916,0
    22412217ps "OnEdgeStrategy"
     
    22682244n "config_started"
    22692245t "std_logic"
    2270 o 29
     2246o 30
    22712247suid 48,0
    22722248i "'0'"
     
    22742250)
    22752251)
    2276 *54 (CptPort
     2252*53 (CptPort
    22772253uid 5920,0
    22782254ps "OnEdgeStrategy"
     
    23062282prec "--      s_trigger      : in std_logic;"
    23072283preAdd 0
    2308 o 28
     2284o 29
    23092285suid 49,0
    23102286)
    23112287)
    23122288)
    2313 *55 (CptPort
     2289*54 (CptPort
    23142290uid 5974,0
    23152291ps "OnEdgeStrategy"
     
    23462322)
    23472323)
    2348 *56 (CptPort
     2324*55 (CptPort
    23492325uid 5978,0
    23502326ps "OnEdgeStrategy"
     
    23812357)
    23822358)
    2383 *57 (CptPort
     2359*56 (CptPort
    23842360uid 5982,0
    23852361ps "OnEdgeStrategy"
     
    24162392)
    24172393)
    2418 *58 (CptPort
     2394*57 (CptPort
    24192395uid 6060,0
    24202396ps "OnEdgeStrategy"
     
    24512427)
    24522428)
    2453 *59 (CptPort
     2429*58 (CptPort
    24542430uid 9000,0
    24552431ps "OnEdgeStrategy"
     
    24812457n "adc_clk_en"
    24822458t "std_logic"
    2483 o 32
     2459o 33
    24842460suid 54,0
    24852461i "'0'"
     
    24872463)
    24882464)
    2489 *60 (CptPort
     2465*59 (CptPort
    24902466uid 10244,0
    24912467ps "OnEdgeStrategy"
     
    25182494t "std_logic_vector"
    25192495b "(7 downto 0)"
    2520 o 41
     2496o 42
    25212497suid 56,0
    25222498i "(others => '0')"
     
    25242500)
    25252501)
    2526 *61 (CptPort
     2502*60 (CptPort
    25272503uid 10248,0
    25282504ps "OnEdgeStrategy"
     
    25542530n "drs_srin_write_8b"
    25552531t "std_logic"
    2556 o 39
     2532o 40
    25572533suid 57,0
    25582534i "'0'"
     
    25602536)
    25612537)
    2562 *62 (CptPort
     2538*61 (CptPort
    25632539uid 10252,0
    25642540ps "OnEdgeStrategy"
     
    25892565n "drs_srin_write_ack"
    25902566t "std_logic"
    2591 o 40
     2567o 41
    25922568suid 58,0
    25932569)
    25942570)
    25952571)
    2596 *63 (CptPort
     2572*62 (CptPort
    25972573uid 10256,0
    25982574ps "OnEdgeStrategy"
     
    26232599n "drs_srin_write_ready"
    26242600t "std_logic"
    2625 o 42
     2601o 43
    26262602suid 59,0
    26272603)
    26282604)
    26292605)
    2630 *64 (CptPort
     2606*63 (CptPort
    26312607uid 10260,0
    26322608ps "OnEdgeStrategy"
     
    26662642)
    26672643)
    2668 *65 (CptPort
     2644*64 (CptPort
    26692645uid 11385,0
    26702646ps "OnEdgeStrategy"
     
    26972673n "drs_readout_started"
    26982674t "std_logic"
    2699 o 45
     2675o 46
    27002676suid 61,0
    27012677i "'0'"
     
    27032679)
    27042680)
    2705 *66 (CptPort
     2681*65 (CptPort
    27062682uid 12597,0
    27072683ps "OnEdgeStrategy"
     
    27372713preAdd 0
    27382714posAdd 0
    2739 o 35
     2715o 36
    27402716suid 62,0
    27412717i "'0'"
     
    27432719)
    27442720)
    2745 *67 (CptPort
     2721*66 (CptPort
    27462722uid 12601,0
    27472723ps "OnEdgeStrategy"
     
    27722748n "drs_readout_ready_ack"
    27732749t "std_logic"
    2774 o 36
     2750o 37
    27752751suid 63,0
     2752)
     2753)
     2754)
     2755*67 (CptPort
     2756uid 15740,0
     2757ps "OnEdgeStrategy"
     2758shape (Triangle
     2759uid 15741,0
     2760ro 90
     2761va (VaSet
     2762vasetType 1
     2763fg "0,65535,0"
     2764)
     2765xt "-21750,69625,-21000,70375"
     2766)
     2767tg (CPTG
     2768uid 15742,0
     2769ps "CptPortTextPlaceStrategy"
     2770stg "VerticalLayoutStrategy"
     2771f (Text
     2772uid 15743,0
     2773va (VaSet
     2774)
     2775xt "-20000,69500,-10200,70500"
     2776st "fad_event_counter : (31:0)"
     2777blo "-20000,70300"
     2778)
     2779)
     2780thePort (LogicalPort
     2781decl (Decl
     2782n "fad_event_counter"
     2783t "std_logic_vector"
     2784b "(31 downto 0)"
     2785o 27
     2786suid 65,0
     2787)
     2788)
     2789)
     2790*68 (CptPort
     2791uid 15744,0
     2792ps "OnEdgeStrategy"
     2793shape (Triangle
     2794uid 15745,0
     2795ro 90
     2796va (VaSet
     2797vasetType 1
     2798fg "0,65535,0"
     2799)
     2800xt "-21750,95625,-21000,96375"
     2801)
     2802tg (CPTG
     2803uid 15746,0
     2804ps "CptPortTextPlaceStrategy"
     2805stg "VerticalLayoutStrategy"
     2806f (Text
     2807uid 15747,0
     2808va (VaSet
     2809)
     2810xt "-20000,95500,-14500,96500"
     2811st "pll_lock : (3:0)"
     2812blo "-20000,96300"
     2813)
     2814)
     2815thePort (LogicalPort
     2816decl (Decl
     2817n "pll_lock"
     2818t "std_logic_vector"
     2819b "( 3 downto 0)"
     2820o 24
     2821suid 64,0
    27762822)
    27772823)
     
    27942840stg "VerticalLayoutStrategy"
    27952841textVec [
    2796 *68 (Text
     2842*69 (Text
    27972843uid 1402,0
    27982844va (VaSet
     
    28042850tm "BdLibraryNameMgr"
    28052851)
    2806 *69 (Text
     2852*70 (Text
    28072853uid 1403,0
    28082854va (VaSet
     
    28142860tm "CptNameMgr"
    28152861)
    2816 *70 (Text
     2862*71 (Text
    28172863uid 1404,0
    28182864va (VaSet
     
    28672913archFileType "UNKNOWN"
    28682914)
    2869 *71 (Net
     2915*72 (Net
    28702916uid 1409,0
    28712917decl (Decl
     
    28862932)
    28872933)
    2888 *72 (Net
     2934*73 (Net
    28892935uid 1423,0
    28902936decl (Decl
     
    29062952)
    29072953)
    2908 *73 (PortIoIn
     2954*74 (PortIoIn
    29092955uid 1443,0
    29102956shape (CompositeShape
     
    29512997)
    29522998)
    2953 *74 (SaComponent
     2999*75 (SaComponent
    29543000uid 1606,0
    29553001optionalChildren [
    2956 *75 (CptPort
     3002*76 (CptPort
    29573003uid 1542,0
    29583004ps "OnEdgeStrategy"
     
    29903036)
    29913037)
    2992 *76 (CptPort
     3038*77 (CptPort
    29933039uid 1546,0
    29943040ps "OnEdgeStrategy"
     
    30293075)
    30303076)
    3031 *77 (CptPort
     3077*78 (CptPort
    30323078uid 1550,0
    30333079ps "OnEdgeStrategy"
     
    30683114)
    30693115)
    3070 *78 (CptPort
     3116*79 (CptPort
    30713117uid 1554,0
    30723118ps "OnEdgeStrategy"
     
    31073153)
    31083154)
    3109 *79 (CptPort
     3155*80 (CptPort
    31103156uid 1558,0
    31113157ps "OnEdgeStrategy"
     
    31463192)
    31473193)
    3148 *80 (CptPort
     3194*81 (CptPort
    31493195uid 1562,0
    31503196ps "OnEdgeStrategy"
     
    31853231)
    31863232)
    3187 *81 (CptPort
     3233*82 (CptPort
    31883234uid 1570,0
    31893235ps "OnEdgeStrategy"
     
    32243270)
    32253271)
    3226 *82 (CptPort
     3272*83 (CptPort
    32273273uid 1574,0
    32283274ps "OnEdgeStrategy"
     
    32613307)
    32623308)
    3263 *83 (CptPort
     3309*84 (CptPort
    32643310uid 1578,0
    32653311ps "OnEdgeStrategy"
     
    32983344)
    32993345)
    3300 *84 (CptPort
     3346*85 (CptPort
    33013347uid 1582,0
    33023348ps "OnEdgeStrategy"
     
    33353381)
    33363382)
    3337 *85 (CptPort
     3383*86 (CptPort
    33383384uid 1586,0
    33393385ps "OnEdgeStrategy"
     
    33723418)
    33733419)
    3374 *86 (CptPort
     3420*87 (CptPort
    33753421uid 1590,0
    33763422ps "OnEdgeStrategy"
     
    34103456)
    34113457)
    3412 *87 (CptPort
     3458*88 (CptPort
    34133459uid 1594,0
    34143460ps "OnEdgeStrategy"
     
    34463492)
    34473493)
    3448 *88 (CptPort
     3494*89 (CptPort
    34493495uid 1598,0
    34503496ps "OnEdgeStrategy"
     
    34843530)
    34853531)
    3486 *89 (CptPort
     3532*90 (CptPort
    34873533uid 2218,0
    34883534ps "OnEdgeStrategy"
     
    35203566)
    35213567)
    3522 *90 (CptPort
     3568*91 (CptPort
    35233569uid 2222,0
    35243570ps "OnEdgeStrategy"
     
    35543600)
    35553601)
    3556 *91 (CptPort
     3602*92 (CptPort
    35573603uid 2226,0
    35583604ps "OnEdgeStrategy"
     
    35883634)
    35893635)
    3590 *92 (CptPort
     3636*93 (CptPort
    35913637uid 5216,0
    35923638ps "OnEdgeStrategy"
     
    36273673)
    36283674)
    3629 *93 (CptPort
     3675*94 (CptPort
    36303676uid 5275,0
    36313677ps "OnEdgeStrategy"
     
    36653711)
    36663712)
    3667 *94 (CptPort
     3713*95 (CptPort
    36683714uid 5924,0
    36693715ps "OnEdgeStrategy"
     
    37013747)
    37023748)
    3703 *95 (CptPort
     3749*96 (CptPort
    37043750uid 5928,0
    37053751ps "OnEdgeStrategy"
     
    37373783)
    37383784)
    3739 *96 (CptPort
     3785*97 (CptPort
    37403786uid 5932,0
    37413787ps "OnEdgeStrategy"
     
    37743820)
    37753821)
    3776 *97 (CptPort
     3822*98 (CptPort
    37773823uid 5936,0
    37783824ps "OnEdgeStrategy"
     
    38083854)
    38093855)
    3810 *98 (CptPort
     3856*99 (CptPort
    38113857uid 5940,0
    38123858ps "OnEdgeStrategy"
     
    38443890)
    38453891)
    3846 *99 (CptPort
     3892*100 (CptPort
    38473893uid 5944,0
    38483894ps "OnEdgeStrategy"
     
    38823928)
    38833929)
    3884 *100 (CptPort
     3930*101 (CptPort
    38853931uid 5970,0
    38863932ps "OnEdgeStrategy"
     
    39193965)
    39203966)
    3921 *101 (CptPort
     3967*102 (CptPort
    39223968uid 6356,0
    39233969ps "OnEdgeStrategy"
     
    39584004)
    39594005)
    3960 *102 (CptPort
     4006*103 (CptPort
    39614007uid 6446,0
    39624008ps "OnEdgeStrategy"
     
    39984044)
    39994045)
    4000 *103 (CptPort
     4046*104 (CptPort
    40014047uid 8406,0
    40024048ps "OnEdgeStrategy"
     
    40344080)
    40354081)
    4036 *104 (CptPort
     4082*105 (CptPort
    40374083uid 8748,0
    40384084ps "OnEdgeStrategy"
     
    40734119)
    40744120)
    4075 *105 (CptPort
     4121*106 (CptPort
    40764122uid 9223,0
    40774123ps "OnEdgeStrategy"
     
    41124158)
    41134159)
    4114 *106 (CptPort
     4160*107 (CptPort
    41154161uid 9227,0
    41164162ps "OnEdgeStrategy"
     
    41524198)
    41534199)
    4154 *107 (CptPort
     4200*108 (CptPort
    41554201uid 9933,0
    41564202ps "OnEdgeStrategy"
     
    41914237)
    41924238)
    4193 *108 (CptPort
     4239*109 (CptPort
    41944240uid 9937,0
    41954241ps "OnEdgeStrategy"
     
    42304276)
    42314277)
    4232 *109 (CptPort
     4278*110 (CptPort
    42334279uid 10212,0
    42344280ps "OnEdgeStrategy"
     
    42674313)
    42684314)
    4269 *110 (CptPort
     4315*111 (CptPort
    42704316uid 10216,0
    42714317ps "OnEdgeStrategy"
     
    43044350)
    43054351)
    4306 *111 (CptPort
     4352*112 (CptPort
    43074353uid 10619,0
    43084354ps "OnEdgeStrategy"
     
    43404386)
    43414387)
    4342 *112 (CptPort
     4388*113 (CptPort
    43434389uid 10623,0
    43444390ps "OnEdgeStrategy"
     
    43774423)
    43784424)
    4379 *113 (CptPort
     4425*114 (CptPort
    43804426uid 11838,0
    43814427ps "OnEdgeStrategy"
     
    44164462)
    44174463)
    4418 *114 (CptPort
     4464*115 (CptPort
    44194465uid 13149,0
    44204466ps "OnEdgeStrategy"
     
    44524498)
    44534499)
    4454 *115 (CptPort
     4500*116 (CptPort
    44554501uid 13153,0
    44564502ps "OnEdgeStrategy"
     
    44914537)
    44924538)
    4493 *116 (CptPort
     4539*117 (CptPort
    44944540uid 13806,0
    44954541ps "OnEdgeStrategy"
     
    45264572)
    45274573)
    4528 *117 (CptPort
     4574*118 (CptPort
    45294575uid 13911,0
    45304576ps "OnEdgeStrategy"
     
    45614607)
    45624608)
    4563 *118 (CptPort
     4609*119 (CptPort
    45644610uid 13915,0
    45654611ps "OnEdgeStrategy"
     
    46134659stg "VerticalLayoutStrategy"
    46144660textVec [
    4615 *119 (Text
     4661*120 (Text
    46164662uid 1609,0
    46174663va (VaSet
     
    46234669tm "BdLibraryNameMgr"
    46244670)
    4625 *120 (Text
     4671*121 (Text
    46264672uid 1610,0
    46274673va (VaSet
     
    46334679tm "CptNameMgr"
    46344680)
    4635 *121 (Text
     4681*122 (Text
    46364682uid 1611,0
    46374683va (VaSet
     
    46864732archFileType "UNKNOWN"
    46874733)
    4688 *122 (Net
     4734*123 (Net
    46894735uid 1680,0
    46904736decl (Decl
     
    47054751)
    47064752)
    4707 *123 (SaComponent
     4753*124 (SaComponent
    47084754uid 1768,0
    47094755optionalChildren [
    4710 *124 (CptPort
     4756*125 (CptPort
    47114757uid 1760,0
    47124758ps "OnEdgeStrategy"
     
    47294775)
    47304776xt "-48800,68500,-42000,69500"
    4731 st "trigger_id : (47:0)"
     4777st "trigger_id : (31:0)"
    47324778ju 2
    47334779blo "-42000,69300"
     
    47404786n "trigger_id"
    47414787t "std_logic_vector"
    4742 b "(47 downto 0)"
     4788b "(31 downto 0)"
    47434789preAdd 0
    47444790posAdd 0
     
    47484794)
    47494795)
    4750 *125 (CptPort
     4796*126 (CptPort
    47514797uid 1764,0
    47524798ps "OnEdgeStrategy"
     
    47854831)
    47864832)
    4787 *126 (CptPort
     4833*127 (CptPort
    47884834uid 6207,0
    47894835ps "OnEdgeStrategy"
     
    48374883stg "VerticalLayoutStrategy"
    48384884textVec [
    4839 *127 (Text
     4885*128 (Text
    48404886uid 1771,0
    48414887va (VaSet
     
    48484894tm "BdLibraryNameMgr"
    48494895)
    4850 *128 (Text
     4896*129 (Text
    48514897uid 1772,0
    48524898va (VaSet
     
    48594905tm "CptNameMgr"
    48604906)
    4861 *129 (Text
     4907*130 (Text
    48624908uid 1773,0
    48634909va (VaSet
     
    49074953)
    49084954archFileType "UNKNOWN"
    4909 )
    4910 *130 (Net
    4911 uid 1981,0
    4912 lang 2
    4913 decl (Decl
    4914 n "trigger_id"
    4915 t "std_logic_vector"
    4916 b "(47 downto 0)"
    4917 preAdd 0
    4918 posAdd 0
    4919 o 119
    4920 suid 34,0
    4921 )
    4922 declText (MLText
    4923 uid 1982,0
    4924 va (VaSet
    4925 font "Courier New,8,0"
    4926 )
    4927 xt "-172000,98800,-139500,99600"
    4928 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
    4929 "
    4930 )
    49314955)
    49324956*131 (Net
     
    1701117035)
    1701217036)
    17013 *599 (Wire
     17037*599 (SaComponent
     17038uid 15728,0
     17039optionalChildren [
     17040*600 (CptPort
     17041uid 15712,0
     17042ps "OnEdgeStrategy"
     17043shape (Triangle
     17044uid 15713,0
     17045ro 90
     17046va (VaSet
     17047vasetType 1
     17048fg "0,65535,0"
     17049)
     17050xt "-83750,113625,-83000,114375"
     17051)
     17052tg (CPTG
     17053uid 15714,0
     17054ps "CptPortTextPlaceStrategy"
     17055stg "VerticalLayoutStrategy"
     17056f (Text
     17057uid 15715,0
     17058va (VaSet
     17059)
     17060xt "-82000,113500,-80700,114500"
     17061st "clk"
     17062blo "-82000,114300"
     17063)
     17064)
     17065thePort (LogicalPort
     17066decl (Decl
     17067n "clk"
     17068t "STD_LOGIC"
     17069preAdd 0
     17070posAdd 0
     17071o 1
     17072suid 1,0
     17073)
     17074)
     17075)
     17076*601 (CptPort
     17077uid 15716,0
     17078ps "OnEdgeStrategy"
     17079shape (Triangle
     17080uid 15717,0
     17081ro 90
     17082va (VaSet
     17083vasetType 1
     17084fg "0,65535,0"
     17085)
     17086xt "-83750,115625,-83000,116375"
     17087)
     17088tg (CPTG
     17089uid 15718,0
     17090ps "CptPortTextPlaceStrategy"
     17091stg "VerticalLayoutStrategy"
     17092f (Text
     17093uid 15719,0
     17094va (VaSet
     17095)
     17096xt "-82000,115500,-80100,116500"
     17097st "start"
     17098blo "-82000,116300"
     17099)
     17100)
     17101thePort (LogicalPort
     17102decl (Decl
     17103n "start"
     17104t "STD_LOGIC"
     17105preAdd 0
     17106posAdd 0
     17107o 2
     17108suid 2,0
     17109)
     17110)
     17111)
     17112*602 (CptPort
     17113uid 15720,0
     17114ps "OnEdgeStrategy"
     17115shape (Triangle
     17116uid 15721,0
     17117ro 90
     17118va (VaSet
     17119vasetType 1
     17120fg "0,65535,0"
     17121)
     17122xt "-73000,113625,-72250,114375"
     17123)
     17124tg (CPTG
     17125uid 15722,0
     17126ps "CptPortTextPlaceStrategy"
     17127stg "RightVerticalLayoutStrategy"
     17128f (Text
     17129uid 15723,0
     17130va (VaSet
     17131)
     17132xt "-78600,113500,-74000,114500"
     17133st "dna : (63:0)"
     17134ju 2
     17135blo "-74000,114300"
     17136)
     17137t (Text
     17138uid 15738,0
     17139va (VaSet
     17140)
     17141xt "-79700,114500,-74000,115500"
     17142st "(others => '0')"
     17143ju 2
     17144blo "-74000,115300"
     17145)
     17146)
     17147thePort (LogicalPort
     17148m 1
     17149decl (Decl
     17150n "dna"
     17151t "STD_LOGIC_VECTOR"
     17152b "(63 downto 0)"
     17153preAdd 0
     17154posAdd 0
     17155o 3
     17156suid 3,0
     17157i "(others => '0')"
     17158)
     17159)
     17160)
     17161*603 (CptPort
     17162uid 15724,0
     17163ps "OnEdgeStrategy"
     17164shape (Triangle
     17165uid 15725,0
     17166ro 90
     17167va (VaSet
     17168vasetType 1
     17169fg "0,65535,0"
     17170)
     17171xt "-73000,115625,-72250,116375"
     17172)
     17173tg (CPTG
     17174uid 15726,0
     17175ps "CptPortTextPlaceStrategy"
     17176stg "RightVerticalLayoutStrategy"
     17177f (Text
     17178uid 15727,0
     17179va (VaSet
     17180)
     17181xt "-76200,115500,-74000,116500"
     17182st "ready"
     17183ju 2
     17184blo "-74000,116300"
     17185)
     17186t (Text
     17187uid 15739,0
     17188va (VaSet
     17189)
     17190xt "-75200,116500,-74000,117500"
     17191st "'0'"
     17192ju 2
     17193blo "-74000,117300"
     17194)
     17195)
     17196thePort (LogicalPort
     17197m 1
     17198decl (Decl
     17199n "ready"
     17200t "STD_LOGIC"
     17201preAdd 0
     17202posAdd 0
     17203o 4
     17204suid 4,0
     17205i "'0'"
     17206)
     17207)
     17208)
     17209]
     17210shape (Rectangle
     17211uid 15729,0
     17212va (VaSet
     17213vasetType 1
     17214fg "0,65535,0"
     17215lineColor "0,32896,0"
     17216lineWidth 2
     17217)
     17218xt "-83000,112000,-73000,118000"
     17219)
     17220oxt "39000,2000,49000,12000"
     17221ttg (MlTextGroup
     17222uid 15730,0
     17223ps "CenterOffsetStrategy"
     17224stg "VerticalLayoutStrategy"
     17225textVec [
     17226*604 (Text
     17227uid 15731,0
     17228va (VaSet
     17229font "Arial,8,1"
     17230)
     17231xt "-80800,118000,-74600,119000"
     17232st "FACT_FAD_lib"
     17233blo "-80800,118800"
     17234tm "BdLibraryNameMgr"
     17235)
     17236*605 (Text
     17237uid 15732,0
     17238va (VaSet
     17239font "Arial,8,1"
     17240)
     17241xt "-80800,119000,-77200,120000"
     17242st "dna_gen"
     17243blo "-80800,119800"
     17244tm "CptNameMgr"
     17245)
     17246*606 (Text
     17247uid 15733,0
     17248va (VaSet
     17249font "Arial,8,1"
     17250)
     17251xt "-80800,120000,-79000,121000"
     17252st "U_0"
     17253blo "-80800,120800"
     17254tm "InstanceNameMgr"
     17255)
     17256]
     17257)
     17258ga (GenericAssociation
     17259uid 15734,0
     17260ps "EdgeToEdgeStrategy"
     17261matrix (Matrix
     17262uid 15735,0
     17263text (MLText
     17264uid 15736,0
     17265va (VaSet
     17266font "Courier New,8,0"
     17267)
     17268xt "-83000,111000,-83000,111000"
     17269)
     17270header ""
     17271)
     17272elements [
     17273]
     17274)
     17275viewicon (ZoomableIcon
     17276uid 15737,0
     17277sl 0
     17278va (VaSet
     17279vasetType 1
     17280fg "49152,49152,49152"
     17281)
     17282xt "-82750,116250,-81250,117750"
     17283iconName "VhdlFileViewIcon.png"
     17284iconMaskName "VhdlFileViewIcon.msk"
     17285ftype 10
     17286)
     17287ordering 1
     17288viewiconposition 0
     17289portVis (PortSigDisplay
     17290sIVOD 1
     17291)
     17292archFileType "UNKNOWN"
     17293)
     17294*607 (Net
     17295uid 15748,0
     17296lang 2
     17297decl (Decl
     17298n "trigger_id"
     17299t "std_logic_vector"
     17300b "(31 downto 0)"
     17301preAdd 0
     17302posAdd 0
     17303o 123
     17304suid 302,0
     17305)
     17306declText (MLText
     17307uid 15749,0
     17308va (VaSet
     17309font "Courier New,8,0"
     17310)
     17311xt "-172000,98800,-139500,99600"
     17312st "SIGNAL trigger_id             : std_logic_vector(31 downto 0)
     17313"
     17314)
     17315)
     17316*608 (Wire
    1701417317uid 322,0
    1701517318shape (OrthoPolyLine
     
    1705017353on &2
    1705117354)
    17052 *600 (Wire
     17355*609 (Wire
    1705317356uid 328,0
    1705417357shape (OrthoPolyLine
     
    1708917392on &3
    1709017393)
    17091 *601 (Wire
     17394*610 (Wire
    1709217395uid 334,0
    1709317396shape (OrthoPolyLine
     
    1712817431on &4
    1712917432)
    17130 *602 (Wire
     17433*611 (Wire
    1713117434uid 364,0
    1713217435shape (OrthoPolyLine
     
    1714417447]
    1714517448)
    17146 start &86
     17449start &87
    1714717450end &336
    1714817451sat 32
     
    1716817471on &5
    1716917472)
    17170 *603 (Wire
     17473*612 (Wire
    1717117474uid 370,0
    1717217475shape (OrthoPolyLine
     
    1718417487]
    1718517488)
    17186 start &85
     17489start &86
    1718717490end &337
    1718817491sat 32
     
    1720817511on &6
    1720917512)
    17210 *604 (Wire
     17513*613 (Wire
    1721117514uid 376,0
    1721217515shape (OrthoPolyLine
     
    1722117524]
    1722217525)
    17223 start &76
     17526start &77
    1722417527end &14
    1722517528sat 32
     
    1724617549on &7
    1724717550)
    17248 *605 (Wire
     17551*614 (Wire
    1724917552uid 384,0
    1725017553shape (OrthoPolyLine
     
    1726017563]
    1726117564)
    17262 start &77
     17565start &78
    1726317566end &15
    1726417567sat 32
     
    1728617589on &8
    1728717590)
    17288 *606 (Wire
     17591*615 (Wire
    1728917592uid 392,0
    1729017593shape (OrthoPolyLine
     
    1730017603]
    1730117604)
    17302 start &78
     17605start &79
    1730317606end &16
    1730417607sat 32
     
    1732617629on &9
    1732717630)
    17328 *607 (Wire
     17631*616 (Wire
    1732917632uid 400,0
    1733017633shape (OrthoPolyLine
     
    1733917642]
    1734017643)
    17341 start &79
     17644start &80
    1734217645end &17
    1734317646sat 32
     
    1736417667on &10
    1736517668)
    17366 *608 (Wire
     17669*617 (Wire
    1736717670uid 408,0
    1736817671shape (OrthoPolyLine
     
    1737717680]
    1737817681)
    17379 start &80
     17682start &81
    1738017683end &18
    1738117684sat 32
     
    1740217705on &11
    1740317706)
    17404 *609 (Wire
     17707*618 (Wire
    1740517708uid 424,0
    1740617709shape (OrthoPolyLine
     
    1741517718]
    1741617719)
    17417 start &81
     17720start &82
    1741817721end &20
    1741917722sat 32
     
    1744017743on &12
    1744117744)
    17442 *610 (Wire
     17745*619 (Wire
    1744317746uid 432,0
    1744417747shape (OrthoPolyLine
     
    1745417757)
    1745517758start &21
    17456 end &82
     17759end &83
    1745717760sat 32
    1745817761eat 32
     
    1747817781on &13
    1747917782)
    17480 *611 (Wire
     17783*620 (Wire
    1748117784uid 1411,0
    1748217785shape (OrthoPolyLine
     
    1751517818)
    1751617819)
    17517 on &71
    17518 )
    17519 *612 (Wire
     17820on &72
     17821)
     17822*621 (Wire
    1752017823uid 1425,0
    1752117824shape (OrthoPolyLine
     
    1753017833]
    1753117834)
    17532 start &73
     17835start &74
    1753317836end &409
    1753417837es 0
     
    1755417857)
    1755517858)
    17556 on &72
    17557 )
    17558 *613 (Wire
     17859on &73
     17860)
     17861*622 (Wire
    1755917862uid 1682,0
    1756017863shape (OrthoPolyLine
     
    1757117874)
    1757217875start &173
    17573 end &31
     17876end &30
    1757417877sat 32
    1757517878eat 32
     
    1759317896)
    1759417897)
    17595 on &122
    17596 )
    17597 *614 (Wire
    17598 uid 1983,0
    17599 shape (OrthoPolyLine
    17600 uid 1984,0
    17601 va (VaSet
    17602 vasetType 3
    17603 lineWidth 2
    17604 )
    17605 xt "-40250,69000,-21750,70000"
    17606 pts [
    17607 "-40250,69000"
    17608 "-36000,69000"
    17609 "-36000,70000"
    17610 "-21750,70000"
    17611 ]
    17612 )
    17613 start &124
    17614 end &29
    17615 sat 32
    17616 eat 32
    17617 sty 1
    17618 st 0
    17619 sf 1
    17620 tg (WTG
    17621 uid 1985,0
    17622 ps "ConnStartEndStrategy"
    17623 stg "STSignalDisplayStrategy"
    17624 f (Text
    17625 uid 1986,0
    17626 va (VaSet
    17627 )
    17628 xt "-29000,70000,-22200,71000"
    17629 st "trigger_id : (47:0)"
    17630 blo "-29000,70800"
    17631 tm "WireNameMgr"
    17632 )
    17633 )
    17634 on &130
    17635 )
    17636 *615 (Wire
     17898on &123
     17899)
     17900*623 (Wire
    1763717901uid 2299,0
    1763817902shape (OrthoPolyLine
     
    1767217936on &131
    1767317937)
    17674 *616 (Wire
     17938*624 (Wire
    1767517939uid 2470,0
    1767617940shape (OrthoPolyLine
     
    1768617950)
    1768717951start &140
    17688 end &88
     17952end &89
    1768917953sat 32
    1769017954eat 32
     
    1770817972on &155
    1770917973)
    17710 *617 (Wire
     17974*625 (Wire
    1771117975uid 2476,0
    1771217976shape (OrthoPolyLine
     
    1772217986)
    1772317987start &143
    17724 end &87
     17988end &88
    1772517989sat 32
    1772617990eat 32
     
    1774418008on &156
    1774518009)
    17746 *618 (Wire
     18010*626 (Wire
    1774718011uid 2482,0
    1774818012shape (OrthoPolyLine
     
    1775918023)
    1776018024start &146
    17761 end &83
     18025end &84
    1776218026sat 32
    1776318027eat 32
     
    1778218046on &157
    1778318047)
    17784 *619 (Wire
     18048*627 (Wire
    1778518049uid 2488,0
    1778618050shape (OrthoPolyLine
     
    1779718061)
    1779818062start &142
    17799 end &84
     18063end &85
    1780018064sat 32
    1780118065eat 32
     
    1782018084on &158
    1782118085)
    17822 *620 (Wire
     18086*628 (Wire
    1782318087uid 2494,0
    1782418088shape (OrthoPolyLine
     
    1783518099)
    1783618100start &141
    17837 end &89
     18101end &90
    1783818102sat 32
    1783918103eat 32
     
    1785818122on &159
    1785918123)
    17860 *621 (Wire
     18124*629 (Wire
    1786118125uid 2500,0
    1786218126shape (OrthoPolyLine
     
    1787218136)
    1787318137start &144
    17874 end &90
     18138end &91
    1787518139sat 32
    1787618140eat 32
     
    1789418158on &160
    1789518159)
    17896 *622 (Wire
     18160*630 (Wire
    1789718161uid 2506,0
    1789818162shape (OrthoPolyLine
     
    1790818172)
    1790918173start &145
    17910 end &91
     18174end &92
    1791118175sat 32
    1791218176eat 32
     
    1793018194on &161
    1793118195)
    17932 *623 (Wire
     18196*631 (Wire
    1793318197uid 2576,0
    1793418198shape (OrthoPolyLine
     
    1794318207]
    1794418208)
    17945 start &32
     18209start &31
    1794618210end &137
    1794718211sat 32
     
    1796618230on &162
    1796718231)
    17968 *624 (Wire
     18232*632 (Wire
    1796918233uid 2582,0
    1797018234shape (OrthoPolyLine
     
    1797918243]
    1798018244)
    17981 start &33
     18245start &32
    1798218246end &138
    1798318247sat 32
     
    1800218266on &163
    1800318267)
    18004 *625 (Wire
     18268*633 (Wire
    1800518269uid 2588,0
    1800618270shape (OrthoPolyLine
     
    1801518279]
    1801618280)
    18017 start &51
     18281start &50
    1801818282end &136
    1801918283ss 0
     
    1803918303on &164
    1804018304)
    18041 *626 (Wire
     18305*634 (Wire
    1804218306uid 2594,0
    1804318307shape (OrthoPolyLine
     
    1805218316]
    1805318317)
    18054 start &47
     18318start &46
    1805518319end &135
    1805618320sat 32
     
    1807518339on &165
    1807618340)
    18077 *627 (Wire
     18341*635 (Wire
    1807818342uid 2600,0
    1807918343shape (OrthoPolyLine
     
    1808818352]
    1808918353)
    18090 start &34
     18354start &33
    1809118355end &139
    1809218356sat 32
     
    1811118375on &166
    1811218376)
    18113 *628 (Wire
     18377*636 (Wire
    1811418378uid 2642,0
    1811518379shape (OrthoPolyLine
     
    1812518389]
    1812618390)
    18127 start &36
     18391start &35
    1812818392end &148
    1812918393sat 32
     
    1814918413on &167
    1815018414)
    18151 *629 (Wire
     18415*637 (Wire
    1815218416uid 2778,0
    1815318417shape (OrthoPolyLine
     
    1816218426]
    1816318427)
    18164 start &37
     18428start &36
    1816518429end &169
    1816618430sat 32
     
    1818718451on &168
    1818818452)
    18189 *630 (Wire
     18453*638 (Wire
    1819018454uid 2786,0
    1819118455shape (OrthoPolyLine
     
    1822718491on &191
    1822818492)
    18229 *631 (Wire
     18493*639 (Wire
    1823018494uid 3888,0
    1823118495optionalChildren [
    18232 *632 (BdJunction
     18496*640 (BdJunction
    1823318497uid 4230,0
    1823418498ps "OnConnectorStrategy"
     
    1824218506)
    1824318507)
    18244 *633 (BdJunction
     18508*641 (BdJunction
    1824518509uid 4244,0
    1824618510ps "OnConnectorStrategy"
     
    1827018534)
    1827118535start &365
    18272 end &75
     18536end &76
    1827318537sat 32
    1827418538eat 32
     
    1829318557on &187
    1829418558)
    18295 *634 (Wire
     18559*642 (Wire
    1829618560uid 3984,0
    1829718561shape (OrthoPolyLine
     
    1833418598on &185
    1833518599)
    18336 *635 (Wire
     18600*643 (Wire
    1833718601uid 4042,0
    1833818602shape (OrthoPolyLine
     
    1837218636on &190
    1837318637)
    18374 *636 (Wire
     18638*644 (Wire
    1837518639uid 4226,0
    1837618640shape (OrthoPolyLine
     
    1838818652)
    1838918653start &189
    18390 end &632
     18654end &640
    1839118655sat 32
    1839218656eat 32
     
    1841218676on &187
    1841318677)
    18414 *637 (Wire
     18678*645 (Wire
    1841518679uid 4240,0
    1841618680shape (OrthoPolyLine
     
    1842818692)
    1842918693start &335
    18430 end &633
     18694end &641
    1843118695sat 32
    1843218696eat 32
     
    1845118715on &187
    1845218716)
    18453 *638 (Wire
     18717*646 (Wire
    1845418718uid 4272,0
    1845518719shape (OrthoPolyLine
     
    1848918753on &192
    1849018754)
    18491 *639 (Wire
     18755*647 (Wire
    1849218756uid 4401,0
    1849318757shape (OrthoPolyLine
     
    1850418768]
    1850518769)
    18506 start &40
     18770start &39
    1850718771end &212
    1850818772sat 32
     
    1852718791on &194
    1852818792)
    18529 *640 (Wire
     18793*648 (Wire
    1853018794uid 4407,0
    1853118795shape (OrthoPolyLine
     
    1854218806]
    1854318807)
    18544 start &43
     18808start &42
    1854518809end &218
    1854618810sat 32
     
    1856518829on &195
    1856618830)
    18567 *641 (Wire
     18831*649 (Wire
    1856818832uid 4419,0
    1856918833shape (OrthoPolyLine
     
    1858018844]
    1858118845)
    18582 start &41
     18846start &40
    1858318847end &213
    1858418848sat 32
     
    1860318867on &196
    1860418868)
    18605 *642 (Wire
     18869*650 (Wire
    1860618870uid 4537,0
    1860718871shape (OrthoPolyLine
     
    1861718881]
    1861818882)
    18619 start &39
     18883start &38
    1862018884end &199
    1862118885sat 32
     
    1864318907on &197
    1864418908)
    18645 *643 (Wire
     18909*651 (Wire
    1864618910uid 4545,0
    1864718911shape (OrthoPolyLine
     
    1868018944on &198
    1868118945)
    18682 *644 (Wire
     18946*652 (Wire
    1868318947uid 4671,0
    1868418948shape (OrthoPolyLine
     
    1871818982on &201
    1871918983)
    18720 *645 (Wire
     18984*653 (Wire
    1872118985uid 4679,0
    1872218986shape (OrthoPolyLine
     
    1875619020on &202
    1875719021)
    18758 *646 (Wire
     19022*654 (Wire
    1875919023uid 4687,0
    1876019024shape (OrthoPolyLine
     
    1879419058on &203
    1879519059)
    18796 *647 (Wire
     19060*655 (Wire
    1879719061uid 4695,0
    1879819062shape (OrthoPolyLine
     
    1883219096on &204
    1883319097)
    18834 *648 (Wire
     19098*656 (Wire
    1883519099uid 4743,0
    1883619100shape (OrthoPolyLine
     
    1884819112)
    1884919113start &219
    18850 end &42
     19114end &41
    1885119115sat 32
    1885219116eat 32
     
    1887019134on &209
    1887119135)
    18872 *649 (Wire
     19136*657 (Wire
    1887319137uid 4757,0
    1887419138optionalChildren [
    18875 *650 (BdJunction
     19139*658 (BdJunction
    1887619140uid 6076,0
    1887719141ps "OnConnectorStrategy"
     
    1888119145vasetType 1
    1888219146)
    18883 xt "-25400,40600,-24600,41400"
     19147xt "-26400,40600,-25600,41400"
    1888419148radius 400
    1888519149)
     
    1889219156lineColor "0,32896,0"
    1889319157)
    18894 xt "-62000,41000,-25000,48000"
     19158xt "-64000,41000,-26000,48000"
    1889519159pts [
    1889619160"-58750,48000"
    18897 "-62000,48000"
    18898 "-62000,41000"
    18899 "-25000,41000"
     19161"-64000,48000"
     19162"-64000,41000"
     19163"-26000,41000"
    1890019164]
    1890119165)
    1890219166start &211
    18903 end *651 (BdJunction
     19167end *659 (BdJunction
    1890419168uid 6080,0
    1890519169ps "OnConnectorStrategy"
     
    1890919173vasetType 1
    1891019174)
    18911 xt "-25400,40600,-24600,41400"
     19175xt "-26400,40600,-25600,41400"
    1891219176radius 400
    1891319177)
     
    1893519199on &188
    1893619200)
    18937 *652 (Wire
     19201*660 (Wire
    1893819202uid 4948,0
    1893919203shape (OrthoPolyLine
     
    1897319237on &230
    1897419238)
    18975 *653 (Wire
     19239*661 (Wire
    1897619240uid 4962,0
    1897719241shape (OrthoPolyLine
     
    1901119275on &232
    1901219276)
    19013 *654 (Wire
     19277*662 (Wire
    1901419278uid 5090,0
    1901519279shape (OrthoPolyLine
     
    1902719291]
    1902819292)
    19029 start &94
     19293start &95
    1903019294end &236
    1903119295sat 32
     
    1905019314on &252
    1905119315)
    19052 *655 (Wire
     19316*663 (Wire
    1905319317uid 5098,0
    1905419318shape (OrthoPolyLine
     
    1908419348on &253
    1908519349)
    19086 *656 (Wire
     19350*664 (Wire
    1908719351uid 5106,0
    1908819352shape (OrthoPolyLine
     
    1910019364)
    1910119365start &238
    19102 end &95
     19366end &96
    1910319367sat 32
    1910419368eat 32
     
    1912119385on &254
    1912219386)
    19123 *657 (Wire
     19387*665 (Wire
    1912419388uid 5114,0
    1912519389shape (OrthoPolyLine
     
    1913819402)
    1913919403start &239
    19140 end &96
     19404end &97
    1914119405sat 32
    1914219406eat 32
     
    1916019424on &255
    1916119425)
    19162 *658 (Wire
     19426*666 (Wire
    1916319427uid 5122,0
    1916419428shape (OrthoPolyLine
     
    1917519439]
    1917619440)
    19177 start &98
     19441start &99
    1917819442end &241
    1917919443sat 32
     
    1919719461on &256
    1919819462)
    19199 *659 (Wire
     19463*667 (Wire
    1920019464uid 5130,0
    1920119465shape (OrthoPolyLine
     
    1921219476]
    1921319477)
    19214 start &100
     19478start &101
    1921519479end &243
    1921619480sat 32
     
    1923419498on &257
    1923519499)
    19236 *660 (Wire
     19500*668 (Wire
    1923719501uid 5138,0
    1923819502optionalChildren [
    19239 *661 (BdJunction
     19503*669 (BdJunction
    1924019504uid 5400,0
    1924119505ps "OnConnectorStrategy"
     
    1926419528)
    1926519529start &240
    19266 end &35
     19530end &34
    1926719531ss 0
    1926819532es 0
     
    1928719551on &171
    1928819552)
    19289 *662 (Wire
     19553*670 (Wire
    1929019554uid 5146,0
    1929119555shape (OrthoPolyLine
     
    1932319587on &258
    1932419588)
    19325 *663 (Wire
     19589*671 (Wire
    1932619590uid 5168,0
    1932719591shape (OrthoPolyLine
     
    1933819602]
    1933919603)
    19340 start &661
     19604start &669
    1934119605end &147
    1934219606sat 32
     
    1936119625on &171
    1936219626)
    19363 *664 (Wire
     19627*672 (Wire
    1936419628uid 5184,0
    1936519629shape (OrthoPolyLine
     
    1937719641)
    1937819642start &244
    19379 end &46
     19643end &45
    1938019644sat 32
    1938119645eat 32
     
    1939819662on &259
    1939919663)
    19400 *665 (Wire
     19664*673 (Wire
    1940119665uid 5190,0
    1940219666shape (OrthoPolyLine
     
    1941419678)
    1941519679start &245
    19416 end &45
     19680end &44
    1941719681sat 32
    1941819682eat 32
     
    1943519699on &260
    1943619700)
    19437 *666 (Wire
     19701*674 (Wire
    1943819702uid 5222,0
    1943919703shape (OrthoPolyLine
     
    1944919713]
    1945019714)
    19451 start &92
     19715start &93
    1945219716end &19
    1945319717sat 32
     
    1947519739on &261
    1947619740)
    19477 *667 (Wire
     19741*675 (Wire
    1947819742uid 5404,0
    1947919743shape (OrthoPolyLine
     
    1949119755)
    1949219756start &280
    19493 end &48
     19757end &47
    1949419758sat 32
    1949519759eat 32
     
    1951219776on &264
    1951319777)
    19514 *668 (Wire
     19778*676 (Wire
    1951519779uid 5474,0
    1951619780shape (OrthoPolyLine
     
    1952819792)
    1952919793start &283
    19530 end &50
     19794end &49
    1953119795sat 32
    1953219796eat 32
     
    1954919813on &262
    1955019814)
    19551 *669 (Wire
     19815*677 (Wire
    1955219816uid 5480,0
    1955319817shape (OrthoPolyLine
     
    1956519829)
    1956619830start &282
    19567 end &49
     19831end &48
    1956819832sat 32
    1956919833eat 32
     
    1958619850on &263
    1958719851)
    19588 *670 (Wire
     19852*678 (Wire
    1958919853uid 5582,0
    1959019854shape (OrthoPolyLine
     
    1962119885on &187
    1962219886)
    19623 *671 (Wire
     19887*679 (Wire
    1962419888uid 5602,0
    1962519889optionalChildren [
    19626 &651
     19890&659
    1962719891]
    1962819892shape (OrthoPolyLine
     
    1963219896lineColor "0,32896,0"
    1963319897)
    19634 xt "-25000,41000,36250,51000"
     19898xt "-26000,41000,36250,51000"
    1963519899pts [
    1963619900"-21750,51000"
    19637 "-25000,51000"
    19638 "-25000,41000"
     19901"-26000,51000"
     19902"-26000,41000"
    1963919903"28000,41000"
    1964019904"28000,47000"
     
    1966619930on &188
    1966719931)
    19668 *672 (Wire
     19932*680 (Wire
    1966919933uid 5626,0
    1967019934shape (OrthoPolyLine
     
    1967919943]
    1968019944)
    19681 start &44
     19945start &43
    1968219946end &269
    1968319947sat 32
     
    1970219966on &266
    1970319967)
    19704 *673 (Wire
     19968*681 (Wire
    1970519969uid 5634,0
    1970619970shape (OrthoPolyLine
     
    1971619980]
    1971719981)
    19718 start &38
     19982start &37
    1971919983end &270
    1972019984sat 32
     
    1974020004on &265
    1974120005)
    19742 *674 (Wire
     20006*682 (Wire
    1974320007uid 5646,0
    1974420008shape (OrthoPolyLine
     
    1977620040on &185
    1977720041)
    19778 *675 (Wire
     20042*683 (Wire
    1977920043uid 5745,0
    1978020044shape (OrthoPolyLine
     
    1979120055]
    1979220056)
    19793 start &52
     20057start &51
    1979420058end &281
    1979520059sat 32
     
    1981420078on &276
    1981520079)
    19816 *676 (Wire
     20080*684 (Wire
    1981720081uid 5805,0
    1981820082shape (OrthoPolyLine
     
    1984820112on &187
    1984920113)
    19850 *677 (Wire
     20114*685 (Wire
    1985120115uid 5813,0
    1985220116shape (OrthoPolyLine
     
    1988620150on &293
    1988720151)
    19888 *678 (Wire
     20152*686 (Wire
    1988920153uid 5821,0
    1989020154shape (OrthoPolyLine
     
    1992420188on &294
    1992520189)
    19926 *679 (Wire
     20190*687 (Wire
    1992720191uid 5829,0
    1992820192shape (OrthoPolyLine
     
    1996220226on &295
    1996320227)
    19964 *680 (Wire
     20228*688 (Wire
    1996520229uid 5837,0
    1996620230shape (OrthoPolyLine
     
    2000220266on &296
    2000320267)
    20004 *681 (Wire
     20268*689 (Wire
    2000520269uid 5950,0
    2000620270shape (OrthoPolyLine
     
    2001720281]
    2001820282)
    20019 start &99
    20020 end &54
     20283start &100
     20284end &53
    2002120285sat 32
    2002220286eat 32
     
    2004020304on &301
    2004120305)
    20042 *682 (Wire
     20306*690 (Wire
    2004320307uid 5962,0
    2004420308shape (OrthoPolyLine
     
    2005520319]
    2005620320)
    20057 start &97
    20058 end &53
     20321start &98
     20322end &52
    2005920323sat 32
    2006020324eat 32
     
    2007820342on &302
    2007920343)
    20080 *683 (Wire
     20344*691 (Wire
    2008120345uid 6002,0
    2008220346shape (OrthoPolyLine
     
    2009420358)
    2009520359start &246
    20096 end &55
     20360end &54
    2009720361sat 32
    2009820362eat 32
     
    2011620380on &304
    2011720381)
    20118 *684 (Wire
     20382*692 (Wire
    2011920383uid 6008,0
    2012020384shape (OrthoPolyLine
     
    2013220396)
    2013320397start &287
    20134 end &57
     20398end &56
    2013520399sat 32
    2013620400eat 32
     
    2015420418on &303
    2015520419)
    20156 *685 (Wire
     20420*693 (Wire
    2015720421uid 6018,0
    2015820422shape (OrthoPolyLine
     
    2016720431]
    2016820432)
    20169 start &56
     20433start &55
    2017020434end &149
    2017120435sat 32
     
    2019020454on &305
    2019120455)
    20192 *686 (Wire
     20456*694 (Wire
    2019320457uid 6064,0
    2019420458shape (OrthoPolyLine
     
    2020320467]
    2020420468)
    20205 end &58
     20469end &57
    2020620470sat 16
    2020720471eat 32
     
    2022520489on &258
    2022620490)
    20227 *687 (Wire
     20491*695 (Wire
    2022820492uid 6072,0
    2022920493shape (OrthoPolyLine
     
    2023320497lineColor "0,32896,0"
    2023420498)
    20235 xt "-41250,23000,-25000,41000"
     20499xt "-41250,23000,-26000,41000"
    2023620500pts [
    2023720501"-41250,23000"
    20238 "-25000,23000"
    20239 "-25000,41000"
     20502"-26000,23000"
     20503"-26000,41000"
    2024020504]
    2024120505)
    2024220506start &366
    20243 end &650
     20507end &658
    2024420508sat 32
    2024520509eat 32
     
    2026420528on &188
    2026520529)
    20266 *688 (Wire
     20530*696 (Wire
    2026720531uid 6160,0
    2026820532shape (OrthoPolyLine
     
    2030220566on &306
    2030320567)
    20304 *689 (Wire
     20568*697 (Wire
    2030520569uid 6276,0
    2030620570shape (OrthoPolyLine
     
    2031520579]
    2031620580)
    20317 end &126
     20581end &127
    2031820582sat 16
    2031920583eat 32
     
    2033620600on &185
    2033720601)
    20338 *690 (Wire
     20602*698 (Wire
    2033920603uid 6362,0
    2034020604shape (OrthoPolyLine
     
    2037520639on &308
    2037620640)
    20377 *691 (Wire
     20641*699 (Wire
    2037820642uid 6452,0
    2037920643shape (OrthoPolyLine
     
    2038820652]
    2038920653)
    20390 start &102
     20654start &103
    2039120655sat 32
    2039220656eat 16
     
    2041120675on &310
    2041220676)
    20413 *692 (Wire
     20677*700 (Wire
    2041420678uid 6540,0
    2041520679shape (OrthoPolyLine
     
    2044820712on &329
    2044920713)
    20450 *693 (Wire
     20714*701 (Wire
    2045120715uid 6548,0
    2045220716shape (OrthoPolyLine
     
    2048520749on &310
    2048620750)
    20487 *694 (Wire
     20751*702 (Wire
    2048820752uid 8416,0
    2048920753shape (OrthoPolyLine
     
    2049920763)
    2050020764start &150
    20501 end &103
     20765end &104
    2050220766sat 32
    2050320767eat 32
     
    2052120785on &341
    2052220786)
    20523 *695 (Wire
     20787*703 (Wire
    2052420788uid 8732,0
    2052520789shape (OrthoPolyLine
     
    2055920823on &360
    2056020824)
    20561 *696 (Wire
     20825*704 (Wire
    2056220826uid 8738,0
    2056320827shape (OrthoPolyLine
     
    2059520859on &361
    2059620860)
    20597 *697 (Wire
     20861*705 (Wire
    2059820862uid 8752,0
    2059920863shape (OrthoPolyLine
     
    2060820872]
    2060920873)
    20610 start &104
     20874start &105
    2061120875sat 32
    2061220876eat 16
     
    2063020894on &361
    2063120895)
    20632 *698 (Wire
     20896*706 (Wire
    2063320897uid 9006,0
    2063420898shape (OrthoPolyLine
     
    2064320907]
    2064420908)
    20645 start &59
     20909start &58
    2064620910end &363
    2064720911sat 32
     
    2066820932on &362
    2066920933)
    20670 *699 (Wire
     20934*707 (Wire
    2067120935uid 9233,0
    2067220936shape (OrthoPolyLine
     
    2068120945]
    2068220946)
    20683 start &105
     20947start &106
    2068420948sat 32
    2068520949eat 16
     
    2070320967on &376
    2070420968)
    20705 *700 (Wire
     20969*708 (Wire
    2070620970uid 9241,0
    2070720971shape (OrthoPolyLine
     
    2071620980]
    2071720981)
    20718 start &106
     20982start &107
    2071920983sat 32
    2072020984eat 16
     
    2073821002on &377
    2073921003)
    20740 *701 (Wire
     21004*709 (Wire
    2074121005uid 9253,0
    2074221006shape (OrthoPolyLine
     
    2077221036on &376
    2077321037)
    20774 *702 (Wire
     21038*710 (Wire
    2077521039uid 9261,0
    2077621040shape (OrthoPolyLine
     
    2080621070on &377
    2080721071)
    20808 *703 (Wire
     21072*711 (Wire
    2080921073uid 9943,0
    2081021074shape (OrthoPolyLine
     
    2081921083]
    2082021084)
    20821 start &107
     21085start &108
    2082221086sat 32
    2082321087eat 16
     
    2084121105on &378
    2084221106)
    20843 *704 (Wire
     21107*712 (Wire
    2084421108uid 9951,0
    2084521109shape (OrthoPolyLine
     
    2085421118]
    2085521119)
    20856 start &108
     21120start &109
    2085721121sat 32
    2085821122eat 16
     
    2087621140on &379
    2087721141)
    20878 *705 (Wire
     21142*713 (Wire
    2087921143uid 10010,0
    2088021144shape (OrthoPolyLine
     
    2091421178on &398
    2091521179)
    20916 *706 (Wire
     21180*714 (Wire
    2091721181uid 10018,0
    2091821182shape (OrthoPolyLine
     
    2095021214on &379
    2095121215)
    20952 *707 (Wire
     21216*715 (Wire
    2095321217uid 10036,0
    2095421218shape (OrthoPolyLine
     
    2098421248on &378
    2098521249)
    20986 *708 (Wire
     21250*716 (Wire
    2098721251uid 10194,0
    2098821252shape (OrthoPolyLine
     
    2100021264)
    2100121265start &247
    21002 end &109
     21266end &110
    2100321267ss 0
    2100421268es 0
     
    2102421288on &399
    2102521289)
    21026 *709 (Wire
     21290*717 (Wire
    2102721291uid 10202,0
    2102821292shape (OrthoPolyLine
     
    2104021304)
    2104121305start &248
    21042 end &110
     21306end &111
    2104321307sat 32
    2104421308eat 32
     
    2106221326on &400
    2106321327)
    21064 *710 (Wire
     21328*718 (Wire
    2106521329uid 10266,0
    2106621330shape (OrthoPolyLine
     
    2107521339]
    2107621340)
    21077 start &93
     21341start &94
    2107821342sat 32
    2107921343eat 16
     
    2109721361on &498
    2109821362)
    21099 *711 (Wire
     21363*719 (Wire
    2110021364uid 10298,0
    2110121365shape (OrthoPolyLine
     
    2111121375)
    2111221376start &226
    21113 end &61
     21377end &60
    2111421378sat 32
    2111521379eat 32
     
    2113321397on &402
    2113421398)
    21135 *712 (Wire
     21399*720 (Wire
    2113621400uid 10304,0
    2113721401shape (OrthoPolyLine
     
    2114721411)
    2114821412start &224
    21149 end &62
     21413end &61
    2115021414sat 32
    2115121415eat 32
     
    2116921433on &403
    2117021434)
    21171 *713 (Wire
     21435*721 (Wire
    2117221436uid 10310,0
    2117321437shape (OrthoPolyLine
     
    2118321447)
    2118421448start &225
    21185 end &63
     21449end &62
    2118621450sat 32
    2118721451eat 32
     
    2120521469on &404
    2120621470)
    21207 *714 (Wire
     21471*722 (Wire
    2120821472uid 10316,0
    2120921473shape (OrthoPolyLine
     
    2121921483]
    2122021484)
    21221 start &60
     21485start &59
    2122221486end &222
    2122321487sat 32
     
    2124321507on &405
    2124421508)
    21245 *715 (Wire
     21509*723 (Wire
    2124621510uid 10322,0
    2124721511shape (OrthoPolyLine
     
    2128121545on &406
    2128221546)
    21283 *716 (Wire
     21547*724 (Wire
    2128421548uid 10431,0
    2128521549shape (OrthoPolyLine
     
    2131821582on &401
    2131921583)
    21320 *717 (Wire
     21584*725 (Wire
    2132121585uid 10467,0
    2132221586shape (OrthoPolyLine
     
    2133221596)
    2133321597start &151
    21334 end &64
     21598end &63
    2133521599es 0
    2133621600sat 32
     
    2135521619on &431
    2135621620)
    21357 *718 (Wire
     21621*726 (Wire
    2135821622uid 10629,0
    2135921623shape (OrthoPolyLine
     
    2136821632]
    2136921633)
    21370 start &111
     21634start &112
    2137121635sat 32
    2137221636eat 16
     
    2139021654on &432
    2139121655)
    21392 *719 (Wire
     21656*727 (Wire
    2139321657uid 10637,0
    2139421658shape (OrthoPolyLine
     
    2140321667]
    2140421668)
    21405 start &112
     21669start &113
    2140621670sat 32
    2140721671eat 16
     
    2142521689on &433
    2142621690)
    21427 *720 (Wire
     21691*728 (Wire
    2142821692uid 10685,0
    2142921693shape (OrthoPolyLine
     
    2146021724on &433
    2146121725)
    21462 *721 (Wire
     21726*729 (Wire
    2146321727uid 10691,0
    2146421728shape (OrthoPolyLine
     
    2149521759on &432
    2149621760)
    21497 *722 (Wire
     21761*730 (Wire
    2149821762uid 10699,0
    2149921763shape (OrthoPolyLine
     
    2153121795on &187
    2153221796)
    21533 *723 (Wire
     21797*731 (Wire
    2153421798uid 10707,0
    2153521799shape (OrthoPolyLine
     
    2156621830on &452
    2156721831)
    21568 *724 (Wire
     21832*732 (Wire
    2156921833uid 10723,0
    2157021834shape (OrthoPolyLine
     
    2160421868on &434
    2160521869)
    21606 *725 (Wire
     21870*733 (Wire
    2160721871uid 10737,0
    2160821872shape (OrthoPolyLine
     
    2164221906on &436
    2164321907)
    21644 *726 (Wire
     21908*734 (Wire
    2164521909uid 10751,0
    2164621910shape (OrthoPolyLine
     
    2168021944on &438
    2168121945)
    21682 *727 (Wire
     21946*735 (Wire
    2168321947uid 11405,0
    2168421948shape (OrthoPolyLine
     
    2169421958]
    2169521959)
    21696 start &65
     21960start &64
    2169721961sat 32
    2169821962eat 16
     
    2171621980on &452
    2171721981)
    21718 *728 (Wire
     21982*736 (Wire
    2171921983uid 11858,0
    2172021984shape (OrthoPolyLine
     
    2172921993]
    2173021994)
    21731 start &113
     21995start &114
    2173221996sat 32
    2173321997eat 16
     
    2175122015on &453
    2175222016)
    21753 *729 (Wire
     22017*737 (Wire
    2175422018uid 11952,0
    2175522019shape (OrthoPolyLine
     
    2178722051on &453
    2178822052)
    21789 *730 (Wire
     22053*738 (Wire
    2179022054uid 12306,0
    2179122055shape (OrthoPolyLine
     
    2182522089on &472
    2182622090)
    21827 *731 (Wire
     22091*739 (Wire
    2182822092uid 12643,0
    2182922093shape (OrthoPolyLine
     
    2186522129on &483
    2186622130)
    21867 *732 (Wire
     22131*740 (Wire
    2186822132uid 12649,0
    2186922133shape (OrthoPolyLine
     
    2187822142]
    2187922143)
    21880 start &66
     22144start &65
    2188122145end &477
    2188222146sat 32
     
    2190122165on &484
    2190222166)
    21903 *733 (Wire
     22167*741 (Wire
    2190422168uid 12655,0
    2190522169shape (OrthoPolyLine
     
    2191522179]
    2191622180)
    21917 start &67
     22181start &66
    2191822182end &478
    2191922183sat 32
     
    2193822202on &485
    2193922203)
    21940 *734 (Wire
     22204*742 (Wire
    2194122205uid 12687,0
    2194222206shape (OrthoPolyLine
     
    2197622240on &188
    2197722241)
    21978 *735 (Wire
     22242*743 (Wire
    2197922243uid 12707,0
    2198022244shape (OrthoPolyLine
     
    2201422278on &486
    2201522279)
    22016 *736 (Wire
     22280*744 (Wire
    2201722281uid 13143,0
    2201822282shape (OrthoPolyLine
     
    2204922313on &188
    2205022314)
    22051 *737 (Wire
     22315*745 (Wire
    2205222316uid 13159,0
    2205322317shape (OrthoPolyLine
     
    2206422328]
    2206522329)
    22066 start &114
     22330start &115
    2206722331end &490
    2206822332sat 32
     
    2208722351on &496
    2208822352)
    22089 *738 (Wire
     22353*746 (Wire
    2209022354uid 13165,0
    2209122355shape (OrthoPolyLine
     
    2210322367]
    2210422368)
    22105 start &115
     22369start &116
    2210622370end &491
    2210722371sat 32
     
    2212722391on &497
    2212822392)
    22129 *739 (Wire
     22393*747 (Wire
    2213022394uid 13210,0
    2213122395shape (OrthoPolyLine
     
    2216322427on &499
    2216422428)
    22165 *740 (Wire
     22429*748 (Wire
    2216622430uid 13216,0
    2216722431shape (OrthoPolyLine
     
    2219922463on &498
    2220022464)
    22201 *741 (Wire
     22465*749 (Wire
    2220222466uid 13224,0
    2220322467shape (OrthoPolyLine
     
    2223522499on &401
    2223622500)
    22237 *742 (Wire
     22501*750 (Wire
    2223822502uid 13695,0
    2223922503shape (OrthoPolyLine
     
    2225022514)
    2225122515start &523
    22252 end &116
     22516end &117
    2225322517sat 32
    2225422518eat 32
     
    2227422538on &524
    2227522539)
    22276 *743 (Wire
     22540*751 (Wire
    2227722541uid 13921,0
    2227822542shape (OrthoPolyLine
     
    2228822552]
    2228922553)
    22290 end &117
     22554end &118
    2229122555sat 16
    2229222556eat 32
     
    2230922573)
    2231022574)
    22311 on &71
    22312 )
    22313 *744 (Wire
     22575on &72
     22576)
     22577*752 (Wire
    2231422578uid 13929,0
    2231522579shape (OrthoPolyLine
     
    2232522589]
    2232622590)
    22327 end &118
     22591end &119
    2232822592sat 16
    2232922593eat 32
     
    2234622610)
    2234722611)
    22348 on &122
    22349 )
    22350 *745 (Wire
     22612on &123
     22613)
     22614*753 (Wire
    2235122615uid 14048,0
    2235222616shape (OrthoPolyLine
     
    2238522649on &526
    2238622650)
    22387 *746 (Wire
     22651*754 (Wire
    2238822652uid 14171,0
    2238922653shape (OrthoPolyLine
     
    2242322687on &528
    2242422688)
    22425 *747 (Wire
     22689*755 (Wire
    2242622690uid 14427,0
    2242722691shape (OrthoPolyLine
     
    2245822722on &187
    2245922723)
    22460 *748 (Wire
     22724*756 (Wire
    2246122725uid 14479,0
    2246222726shape (OrthoPolyLine
     
    2249622760on &538
    2249722761)
    22498 *749 (Wire
     22762*757 (Wire
    2249922763uid 14493,0
    2250022764shape (OrthoPolyLine
     
    2253422798on &540
    2253522799)
    22536 *750 (Wire
     22800*758 (Wire
    2253722801uid 14622,0
    2253822802shape (OrthoPolyLine
     
    2257422838on &542
    2257522839)
    22576 *751 (Wire
     22840*759 (Wire
    2257722841uid 15071,0
    2257822842shape (OrthoPolyLine
     
    2258722851]
    2258822852)
    22589 start &101
     22853start &102
    2259022854end &548
    2259122855sat 32
     
    2261022874on &595
    2261122875)
    22612 *752 (Wire
     22876*760 (Wire
    2261322877uid 15081,0
    2261422878shape (OrthoPolyLine
     
    2264822912on &596
    2264922913)
    22650 *753 (Wire
     22914*761 (Wire
    2265122915uid 15122,0
    2265222916shape (OrthoPolyLine
     
    2268622950on &597
    2268722951)
    22688 *754 (Wire
     22952*762 (Wire
    2268922953uid 15130,0
    2269022954shape (OrthoPolyLine
     
    2272422988on &540
    2272522989)
    22726 *755 (Wire
     22990*763 (Wire
    2272722991uid 15138,0
    2272822992shape (OrthoPolyLine
     
    2276223026on &538
    2276323027)
    22764 *756 (Wire
     23028*764 (Wire
    2276523029uid 15379,0
    2276623030shape (OrthoPolyLine
     
    2279723061on &188
    2279823062)
    22799 *757 (Wire
     23063*765 (Wire
    2280023064uid 15494,0
    2280123065optionalChildren [
    22802 *758 (BdJunction
     23066*766 (BdJunction
    2280323067uid 15502,0
    2280423068ps "OnConnectorStrategy"
     
    2282523089)
    2282623090start &475
    22827 end &30
     23091end &29
    2282823092sat 32
    2282923093eat 32
     
    2284723111on &598
    2284823112)
    22849 *759 (Wire
     23113*767 (Wire
    2285023114uid 15498,0
    2285123115shape (OrthoPolyLine
     
    2286123125]
    2286223126)
    22863 start &125
    22864 end &758
     23127start &126
     23128end &766
    2286523129sat 32
    2286623130eat 32
     
    2288523149on &598
    2288623150)
     23151*768 (Wire
     23152uid 15750,0
     23153shape (OrthoPolyLine
     23154uid 15751,0
     23155va (VaSet
     23156vasetType 3
     23157lineWidth 2
     23158)
     23159xt "-40250,69000,-21750,70000"
     23160pts [
     23161"-40250,69000"
     23162"-28000,69000"
     23163"-28000,70000"
     23164"-21750,70000"
     23165]
     23166)
     23167start &125
     23168end &67
     23169sat 32
     23170eat 32
     23171sty 1
     23172st 0
     23173sf 1
     23174tg (WTG
     23175uid 15752,0
     23176ps "ConnStartEndStrategy"
     23177stg "STSignalDisplayStrategy"
     23178f (Text
     23179uid 15753,0
     23180va (VaSet
     23181)
     23182xt "-38250,68000,-31450,69000"
     23183st "trigger_id : (31:0)"
     23184blo "-38250,68800"
     23185tm "WireNameMgr"
     23186)
     23187)
     23188on &607
     23189)
    2288723190]
    2288823191bg "65535,65535,65535"
     
    2289723200color "26368,26368,26368"
    2289823201)
    22899 packageList *760 (PackageList
     23202packageList *769 (PackageList
    2290023203uid 41,0
    2290123204stg "VerticalLayoutStrategy"
    2290223205textVec [
    22903 *761 (Text
     23206*770 (Text
    2290423207uid 42,0
    2290523208va (VaSet
     
    2291023213blo "-163000,-15200"
    2291123214)
    22912 *762 (MLText
     23215*771 (MLText
    2291323216uid 43,0
    2291423217va (VaSet
    2291523218)
    22916 xt "-163000,-15000,-148600,-3000"
     23219xt "-163000,-15000,-148600,-2000"
    2291723220st "library ieee;
    2291823221use ieee.std_logic_1164.all;
     
    2292623229--use UNISIM.VComponents.all;
    2292723230USE IEEE.NUMERIC_STD.all;
    22928 USE IEEE.std_logic_signed.all;"
     23231USE IEEE.std_logic_signed.all;
     23232USE UNISIM.VComponents.all;"
    2292923233tm "PackageList"
    2293023234)
     
    2293523239stg "VerticalLayoutStrategy"
    2293623240textVec [
    22937 *763 (Text
     23241*772 (Text
    2293823242uid 45,0
    2293923243va (VaSet
     
    2294523249blo "20000,800"
    2294623250)
    22947 *764 (Text
     23251*773 (Text
    2294823252uid 46,0
    2294923253va (VaSet
     
    2295523259blo "20000,1800"
    2295623260)
    22957 *765 (MLText
     23261*774 (MLText
    2295823262uid 47,0
    2295923263va (VaSet
     
    2296523269tm "BdCompilerDirectivesTextMgr"
    2296623270)
    22967 *766 (Text
     23271*775 (Text
    2296823272uid 48,0
    2296923273va (VaSet
     
    2297523279blo "20000,4800"
    2297623280)
    22977 *767 (MLText
     23281*776 (MLText
    2297823282uid 49,0
    2297923283va (VaSet
     
    2298323287tm "BdCompilerDirectivesTextMgr"
    2298423288)
    22985 *768 (Text
     23289*777 (Text
    2298623290uid 50,0
    2298723291va (VaSet
     
    2299323297blo "20000,5800"
    2299423298)
    22995 *769 (MLText
     23299*778 (MLText
    2299623300uid 51,0
    2299723301va (VaSet
     
    2300523309)
    2300623310windowSize "0,0,1281,1024"
    23007 viewArea "-65700,47500,1143,102625"
     23311viewArea "-70475,46416,-3930,101296"
    2300823312cachedDiagramExtent "-174000,-25425,428157,346294"
    2300923313pageSetupInfo (PageSetupInfo
     
    2303123335hasePageBreakOrigin 1
    2303223336pageBreakOrigin "-73000,0"
    23033 lastUid 15505,0
     23337lastUid 15755,0
    2303423338defaultCommentText (CommentText
    2303523339shape (Rectangle
     
    2309323397stg "VerticalLayoutStrategy"
    2309423398textVec [
    23095 *770 (Text
     23399*779 (Text
    2309623400va (VaSet
    2309723401font "Arial,8,1"
     
    2310223406tm "BdLibraryNameMgr"
    2310323407)
    23104 *771 (Text
     23408*780 (Text
    2310523409va (VaSet
    2310623410font "Arial,8,1"
     
    2311123415tm "BlkNameMgr"
    2311223416)
    23113 *772 (Text
     23417*781 (Text
    2311423418va (VaSet
    2311523419font "Arial,8,1"
     
    2315723461)
    2315823462xt "0,0,8000,10000"
    23159 )
    23160 ttg (MlTextGroup
    23161 ps "CenterOffsetStrategy"
    23162 stg "VerticalLayoutStrategy"
    23163 textVec [
    23164 *773 (Text
    23165 va (VaSet
    23166 font "Arial,8,1"
    23167 )
    23168 xt "550,3500,3450,4500"
    23169 st "Library"
    23170 blo "550,4300"
    23171 )
    23172 *774 (Text
    23173 va (VaSet
    23174 font "Arial,8,1"
    23175 )
    23176 xt "550,4500,7450,5500"
    23177 st "MWComponent"
    23178 blo "550,5300"
    23179 )
    23180 *775 (Text
    23181 va (VaSet
    23182 font "Arial,8,1"
    23183 )
    23184 xt "550,5500,2350,6500"
    23185 st "U_0"
    23186 blo "550,6300"
    23187 tm "InstanceNameMgr"
    23188 )
    23189 ]
    23190 )
    23191 ga (GenericAssociation
    23192 ps "EdgeToEdgeStrategy"
    23193 matrix (Matrix
    23194 text (MLText
    23195 va (VaSet
    23196 font "Courier New,8,0"
    23197 )
    23198 xt "-6450,1500,-6450,1500"
    23199 )
    23200 header ""
    23201 )
    23202 elements [
    23203 ]
    23204 )
    23205 portVis (PortSigDisplay
    23206 )
    23207 prms (Property
    23208 pclass "params"
    23209 pname "params"
    23210 ptn "String"
    23211 )
    23212 visOptions (mwParamsVisibilityOptions
    23213 )
    23214 )
    23215 defaultSaComponent (SaComponent
    23216 shape (Rectangle
    23217 va (VaSet
    23218 vasetType 1
    23219 fg "0,65535,0"
    23220 lineColor "0,32896,0"
    23221 lineWidth 2
    23222 )
    23223 xt "0,0,8000,10000"
    23224 )
    23225 ttg (MlTextGroup
    23226 ps "CenterOffsetStrategy"
    23227 stg "VerticalLayoutStrategy"
    23228 textVec [
    23229 *776 (Text
    23230 va (VaSet
    23231 font "Arial,8,1"
    23232 )
    23233 xt "900,3500,3800,4500"
    23234 st "Library"
    23235 blo "900,4300"
    23236 tm "BdLibraryNameMgr"
    23237 )
    23238 *777 (Text
    23239 va (VaSet
    23240 font "Arial,8,1"
    23241 )
    23242 xt "900,4500,7100,5500"
    23243 st "SaComponent"
    23244 blo "900,5300"
    23245 tm "CptNameMgr"
    23246 )
    23247 *778 (Text
    23248 va (VaSet
    23249 font "Arial,8,1"
    23250 )
    23251 xt "900,5500,2700,6500"
    23252 st "U_0"
    23253 blo "900,6300"
    23254 tm "InstanceNameMgr"
    23255 )
    23256 ]
    23257 )
    23258 ga (GenericAssociation
    23259 ps "EdgeToEdgeStrategy"
    23260 matrix (Matrix
    23261 text (MLText
    23262 va (VaSet
    23263 font "Courier New,8,0"
    23264 )
    23265 xt "-6100,1500,-6100,1500"
    23266 )
    23267 header ""
    23268 )
    23269 elements [
    23270 ]
    23271 )
    23272 viewicon (ZoomableIcon
    23273 sl 0
    23274 va (VaSet
    23275 vasetType 1
    23276 fg "49152,49152,49152"
    23277 )
    23278 xt "0,0,1500,1500"
    23279 iconName "UnknownFile.png"
    23280 iconMaskName "UnknownFile.msk"
    23281 )
    23282 viewiconposition 0
    23283 portVis (PortSigDisplay
    23284 )
    23285 archFileType "UNKNOWN"
    23286 )
    23287 defaultVhdlComponent (VhdlComponent
    23288 shape (Rectangle
    23289 va (VaSet
    23290 vasetType 1
    23291 fg "0,65535,0"
    23292 lineColor "0,32896,0"
    23293 lineWidth 2
    23294 )
    23295 xt "0,0,8000,10000"
    23296 )
    23297 ttg (MlTextGroup
    23298 ps "CenterOffsetStrategy"
    23299 stg "VerticalLayoutStrategy"
    23300 textVec [
    23301 *779 (Text
    23302 va (VaSet
    23303 font "Arial,8,1"
    23304 )
    23305 xt "500,3500,3400,4500"
    23306 st "Library"
    23307 blo "500,4300"
    23308 )
    23309 *780 (Text
    23310 va (VaSet
    23311 font "Arial,8,1"
    23312 )
    23313 xt "500,4500,7500,5500"
    23314 st "VhdlComponent"
    23315 blo "500,5300"
    23316 )
    23317 *781 (Text
    23318 va (VaSet
    23319 font "Arial,8,1"
    23320 )
    23321 xt "500,5500,2300,6500"
    23322 st "U_0"
    23323 blo "500,6300"
    23324 tm "InstanceNameMgr"
    23325 )
    23326 ]
    23327 )
    23328 ga (GenericAssociation
    23329 ps "EdgeToEdgeStrategy"
    23330 matrix (Matrix
    23331 text (MLText
    23332 va (VaSet
    23333 font "Courier New,8,0"
    23334 )
    23335 xt "-6500,1500,-6500,1500"
    23336 )
    23337 header ""
    23338 )
    23339 elements [
    23340 ]
    23341 )
    23342 portVis (PortSigDisplay
    23343 )
    23344 entityPath ""
    23345 archName ""
    23346 archPath ""
    23347 )
    23348 defaultVerilogComponent (VerilogComponent
    23349 shape (Rectangle
    23350 va (VaSet
    23351 vasetType 1
    23352 fg "0,65535,0"
    23353 lineColor "0,32896,0"
    23354 lineWidth 2
    23355 )
    23356 xt "-450,0,8450,10000"
    2335723463)
    2335823464ttg (MlTextGroup
     
    2336423470font "Arial,8,1"
    2336523471)
    23366 xt "50,3500,2950,4500"
     23472xt "550,3500,3450,4500"
    2336723473st "Library"
    23368 blo "50,4300"
     23474blo "550,4300"
    2336923475)
    2337023476*783 (Text
     
    2337223478font "Arial,8,1"
    2337323479)
    23374 xt "50,4500,7950,5500"
    23375 st "VerilogComponent"
    23376 blo "50,5300"
     23480xt "550,4500,7450,5500"
     23481st "MWComponent"
     23482blo "550,5300"
    2337723483)
    2337823484*784 (Text
     
    2338023486font "Arial,8,1"
    2338123487)
    23382 xt "50,5500,1850,6500"
     23488xt "550,5500,2350,6500"
    2338323489st "U_0"
    23384 blo "50,6300"
     23490blo "550,6300"
    2338523491tm "InstanceNameMgr"
    2338623492)
     
    2339423500font "Courier New,8,0"
    2339523501)
    23396 xt "-6950,1500,-6950,1500"
     23502xt "-6450,1500,-6450,1500"
    2339723503)
    2339823504header ""
     
    2340123507]
    2340223508)
    23403 entityPath ""
    23404 )
    23405 defaultHdlText (HdlText
     23509portVis (PortSigDisplay
     23510)
     23511prms (Property
     23512pclass "params"
     23513pname "params"
     23514ptn "String"
     23515)
     23516visOptions (mwParamsVisibilityOptions
     23517)
     23518)
     23519defaultSaComponent (SaComponent
    2340623520shape (Rectangle
    2340723521va (VaSet
    2340823522vasetType 1
    23409 fg "65535,65535,37120"
    23410 lineColor "0,0,32768"
     23523fg "0,65535,0"
     23524lineColor "0,32896,0"
    2341123525lineWidth 2
    2341223526)
     
    2342123535font "Arial,8,1"
    2342223536)
     23537xt "900,3500,3800,4500"
     23538st "Library"
     23539blo "900,4300"
     23540tm "BdLibraryNameMgr"
     23541)
     23542*786 (Text
     23543va (VaSet
     23544font "Arial,8,1"
     23545)
     23546xt "900,4500,7100,5500"
     23547st "SaComponent"
     23548blo "900,5300"
     23549tm "CptNameMgr"
     23550)
     23551*787 (Text
     23552va (VaSet
     23553font "Arial,8,1"
     23554)
     23555xt "900,5500,2700,6500"
     23556st "U_0"
     23557blo "900,6300"
     23558tm "InstanceNameMgr"
     23559)
     23560]
     23561)
     23562ga (GenericAssociation
     23563ps "EdgeToEdgeStrategy"
     23564matrix (Matrix
     23565text (MLText
     23566va (VaSet
     23567font "Courier New,8,0"
     23568)
     23569xt "-6100,1500,-6100,1500"
     23570)
     23571header ""
     23572)
     23573elements [
     23574]
     23575)
     23576viewicon (ZoomableIcon
     23577sl 0
     23578va (VaSet
     23579vasetType 1
     23580fg "49152,49152,49152"
     23581)
     23582xt "0,0,1500,1500"
     23583iconName "UnknownFile.png"
     23584iconMaskName "UnknownFile.msk"
     23585)
     23586viewiconposition 0
     23587portVis (PortSigDisplay
     23588)
     23589archFileType "UNKNOWN"
     23590)
     23591defaultVhdlComponent (VhdlComponent
     23592shape (Rectangle
     23593va (VaSet
     23594vasetType 1
     23595fg "0,65535,0"
     23596lineColor "0,32896,0"
     23597lineWidth 2
     23598)
     23599xt "0,0,8000,10000"
     23600)
     23601ttg (MlTextGroup
     23602ps "CenterOffsetStrategy"
     23603stg "VerticalLayoutStrategy"
     23604textVec [
     23605*788 (Text
     23606va (VaSet
     23607font "Arial,8,1"
     23608)
     23609xt "500,3500,3400,4500"
     23610st "Library"
     23611blo "500,4300"
     23612)
     23613*789 (Text
     23614va (VaSet
     23615font "Arial,8,1"
     23616)
     23617xt "500,4500,7500,5500"
     23618st "VhdlComponent"
     23619blo "500,5300"
     23620)
     23621*790 (Text
     23622va (VaSet
     23623font "Arial,8,1"
     23624)
     23625xt "500,5500,2300,6500"
     23626st "U_0"
     23627blo "500,6300"
     23628tm "InstanceNameMgr"
     23629)
     23630]
     23631)
     23632ga (GenericAssociation
     23633ps "EdgeToEdgeStrategy"
     23634matrix (Matrix
     23635text (MLText
     23636va (VaSet
     23637font "Courier New,8,0"
     23638)
     23639xt "-6500,1500,-6500,1500"
     23640)
     23641header ""
     23642)
     23643elements [
     23644]
     23645)
     23646portVis (PortSigDisplay
     23647)
     23648entityPath ""
     23649archName ""
     23650archPath ""
     23651)
     23652defaultVerilogComponent (VerilogComponent
     23653shape (Rectangle
     23654va (VaSet
     23655vasetType 1
     23656fg "0,65535,0"
     23657lineColor "0,32896,0"
     23658lineWidth 2
     23659)
     23660xt "-450,0,8450,10000"
     23661)
     23662ttg (MlTextGroup
     23663ps "CenterOffsetStrategy"
     23664stg "VerticalLayoutStrategy"
     23665textVec [
     23666*791 (Text
     23667va (VaSet
     23668font "Arial,8,1"
     23669)
     23670xt "50,3500,2950,4500"
     23671st "Library"
     23672blo "50,4300"
     23673)
     23674*792 (Text
     23675va (VaSet
     23676font "Arial,8,1"
     23677)
     23678xt "50,4500,7950,5500"
     23679st "VerilogComponent"
     23680blo "50,5300"
     23681)
     23682*793 (Text
     23683va (VaSet
     23684font "Arial,8,1"
     23685)
     23686xt "50,5500,1850,6500"
     23687st "U_0"
     23688blo "50,6300"
     23689tm "InstanceNameMgr"
     23690)
     23691]
     23692)
     23693ga (GenericAssociation
     23694ps "EdgeToEdgeStrategy"
     23695matrix (Matrix
     23696text (MLText
     23697va (VaSet
     23698font "Courier New,8,0"
     23699)
     23700xt "-6950,1500,-6950,1500"
     23701)
     23702header ""
     23703)
     23704elements [
     23705]
     23706)
     23707entityPath ""
     23708)
     23709defaultHdlText (HdlText
     23710shape (Rectangle
     23711va (VaSet
     23712vasetType 1
     23713fg "65535,65535,37120"
     23714lineColor "0,0,32768"
     23715lineWidth 2
     23716)
     23717xt "0,0,8000,10000"
     23718)
     23719ttg (MlTextGroup
     23720ps "CenterOffsetStrategy"
     23721stg "VerticalLayoutStrategy"
     23722textVec [
     23723*794 (Text
     23724va (VaSet
     23725font "Arial,8,1"
     23726)
    2342323727xt "3150,4000,4850,5000"
    2342423728st "eb1"
     
    2342623730tm "HdlTextNameMgr"
    2342723731)
    23428 *786 (Text
     23732*795 (Text
    2342923733va (VaSet
    2343023734font "Arial,8,1"
     
    2382924133stg "VerticalLayoutStrategy"
    2383024134textVec [
    23831 *787 (Text
     24135*796 (Text
    2383224136va (VaSet
    2383324137font "Arial,8,1"
     
    2383724141blo "14100,20800"
    2383824142)
    23839 *788 (MLText
     24143*797 (MLText
    2384024144va (VaSet
    2384124145)
     
    2388924193stg "VerticalLayoutStrategy"
    2389024194textVec [
    23891 *789 (Text
     24195*798 (Text
    2389224196va (VaSet
    2389324197font "Arial,8,1"
     
    2389724201blo "14100,20800"
    2389824202)
    23899 *790 (MLText
     24203*799 (MLText
    2390024204va (VaSet
    2390124205)
     
    2403724341commonDM (CommonDM
    2403824342ldm (LogicalDM
    24039 suid 301,0
     24343suid 302,0
    2404024344usingSuid 1
    24041 emptyRow *791 (LEmptyRow
     24345emptyRow *800 (LEmptyRow
    2404224346)
    2404324347uid 54,0
    2404424348optionalChildren [
    24045 *792 (RefLabelRowHdr
    24046 )
    24047 *793 (TitleRowHdr
    24048 )
    24049 *794 (FilterRowHdr
    24050 )
    24051 *795 (RefLabelColHdr
     24349*801 (RefLabelRowHdr
     24350)
     24351*802 (TitleRowHdr
     24352)
     24353*803 (FilterRowHdr
     24354)
     24355*804 (RefLabelColHdr
    2405224356tm "RefLabelColHdrMgr"
    2405324357)
    24054 *796 (RowExpandColHdr
     24358*805 (RowExpandColHdr
    2405524359tm "RowExpandColHdrMgr"
    2405624360)
    24057 *797 (GroupColHdr
     24361*806 (GroupColHdr
    2405824362tm "GroupColHdrMgr"
    2405924363)
    24060 *798 (NameColHdr
     24364*807 (NameColHdr
    2406124365tm "BlockDiagramNameColHdrMgr"
    2406224366)
    24063 *799 (ModeColHdr
     24367*808 (ModeColHdr
    2406424368tm "BlockDiagramModeColHdrMgr"
    2406524369)
    24066 *800 (TypeColHdr
     24370*809 (TypeColHdr
    2406724371tm "BlockDiagramTypeColHdrMgr"
    2406824372)
    24069 *801 (BoundsColHdr
     24373*810 (BoundsColHdr
    2407024374tm "BlockDiagramBoundsColHdrMgr"
    2407124375)
    24072 *802 (InitColHdr
     24376*811 (InitColHdr
    2407324377tm "BlockDiagramInitColHdrMgr"
    2407424378)
    24075 *803 (EolColHdr
     24379*812 (EolColHdr
    2407624380tm "BlockDiagramEolColHdrMgr"
    2407724381)
    24078 *804 (LeafLogPort
     24382*813 (LeafLogPort
    2407924383port (LogicalPort
    2408024384m 4
     
    2409024394uid 516,0
    2409124395)
    24092 *805 (LeafLogPort
     24396*814 (LeafLogPort
    2409324397port (LogicalPort
    2409424398m 4
     
    2410324407uid 518,0
    2410424408)
    24105 *806 (LeafLogPort
     24409*815 (LeafLogPort
    2410624410port (LogicalPort
    2410724411m 4
     
    2411624420uid 520,0
    2411724421)
    24118 *807 (LeafLogPort
     24422*816 (LeafLogPort
    2411924423port (LogicalPort
    2412024424m 4
     
    2412924433uid 530,0
    2413024434)
    24131 *808 (LeafLogPort
     24435*817 (LeafLogPort
    2413224436port (LogicalPort
    2413324437m 4
     
    2414224446uid 532,0
    2414324447)
    24144 *809 (LeafLogPort
     24448*818 (LeafLogPort
    2414524449port (LogicalPort
    2414624450m 1
     
    2415524459uid 534,0
    2415624460)
    24157 *810 (LeafLogPort
     24461*819 (LeafLogPort
    2415824462port (LogicalPort
    2415924463m 1
     
    2416824472uid 536,0
    2416924473)
    24170 *811 (LeafLogPort
     24474*820 (LeafLogPort
    2417124475port (LogicalPort
    2417224476m 2
     
    2418124485uid 538,0
    2418224486)
    24183 *812 (LeafLogPort
     24487*821 (LeafLogPort
    2418424488port (LogicalPort
    2418524489m 1
     
    2419424498uid 540,0
    2419524499)
    24196 *813 (LeafLogPort
     24500*822 (LeafLogPort
    2419724501port (LogicalPort
    2419824502m 1
     
    2420724511uid 542,0
    2420824512)
    24209 *814 (LeafLogPort
     24513*823 (LeafLogPort
    2421024514port (LogicalPort
    2421124515m 1
     
    2422024524uid 546,0
    2422124525)
    24222 *815 (LeafLogPort
     24526*824 (LeafLogPort
    2422324527port (LogicalPort
    2422424528decl (Decl
     
    2423124535uid 548,0
    2423224536)
    24233 *816 (LeafLogPort
     24537*825 (LeafLogPort
    2423424538port (LogicalPort
    2423524539decl (Decl
     
    2424324547uid 1455,0
    2424424548)
    24245 *817 (LeafLogPort
     24549*826 (LeafLogPort
    2424624550port (LogicalPort
    2424724551decl (Decl
     
    2425624560uid 1457,0
    2425724561)
    24258 *818 (LeafLogPort
     24562*827 (LeafLogPort
    2425924563port (LogicalPort
    2426024564decl (Decl
     
    2426824572uid 1694,0
    2426924573)
    24270 *819 (LeafLogPort
    24271 port (LogicalPort
    24272 lang 2
    24273 m 4
    24274 decl (Decl
    24275 n "trigger_id"
    24276 t "std_logic_vector"
    24277 b "(47 downto 0)"
    24278 preAdd 0
    24279 posAdd 0
    24280 o 119
    24281 suid 34,0
    24282 )
    24283 )
    24284 uid 1993,0
    24285 )
    24286 *820 (LeafLogPort
     24574*828 (LeafLogPort
    2428724575port (LogicalPort
    2428824576m 4
     
    2429924587uid 2305,0
    2430024588)
    24301 *821 (LeafLogPort
     24589*829 (LeafLogPort
    2430224590port (LogicalPort
    2430324591lang 2
     
    2431224600uid 2510,0
    2431324601)
    24314 *822 (LeafLogPort
     24602*830 (LeafLogPort
    2431524603port (LogicalPort
    2431624604lang 2
     
    2432624614uid 2512,0
    2432724615)
    24328 *823 (LeafLogPort
     24616*831 (LeafLogPort
    2432924617port (LogicalPort
    2433024618lang 2
     
    2434124629uid 2514,0
    2434224630)
    24343 *824 (LeafLogPort
     24631*832 (LeafLogPort
    2434424632port (LogicalPort
    2434524633lang 2
     
    2435724645uid 2516,0
    2435824646)
    24359 *825 (LeafLogPort
     24647*833 (LeafLogPort
    2436024648port (LogicalPort
    2436124649lang 2
     
    2437224660uid 2518,0
    2437324661)
    24374 *826 (LeafLogPort
     24662*834 (LeafLogPort
    2437524663port (LogicalPort
    2437624664lang 2
     
    2438624674uid 2520,0
    2438724675)
    24388 *827 (LeafLogPort
     24676*835 (LeafLogPort
    2438924677port (LogicalPort
    2439024678lang 2
     
    2440024688uid 2522,0
    2440124689)
    24402 *828 (LeafLogPort
     24690*836 (LeafLogPort
    2440324691port (LogicalPort
    2440424692m 4
     
    2441224700uid 2604,0
    2441324701)
    24414 *829 (LeafLogPort
     24702*837 (LeafLogPort
    2441524703port (LogicalPort
    2441624704m 4
     
    2442524713uid 2606,0
    2442624714)
    24427 *830 (LeafLogPort
     24715*838 (LeafLogPort
    2442824716port (LogicalPort
    2442924717m 4
     
    2443824726uid 2608,0
    2443924727)
    24440 *831 (LeafLogPort
     24728*839 (LeafLogPort
    2444124729port (LogicalPort
    2444224730m 4
     
    2445024738uid 2610,0
    2445124739)
    24452 *832 (LeafLogPort
     24740*840 (LeafLogPort
    2445324741port (LogicalPort
    2445424742m 4
     
    2446224750uid 2612,0
    2446324751)
    24464 *833 (LeafLogPort
     24752*841 (LeafLogPort
    2446524753port (LogicalPort
    2446624754m 4
     
    2447524763uid 2646,0
    2447624764)
    24477 *834 (LeafLogPort
     24765*842 (LeafLogPort
    2447824766port (LogicalPort
    2447924767m 1
     
    2448824776uid 2812,0
    2448924777)
    24490 *835 (LeafLogPort
     24778*843 (LeafLogPort
    2449124779port (LogicalPort
    2449224780m 4
     
    2450024788uid 2962,0
    2450124789)
    24502 *836 (LeafLogPort
     24790*844 (LeafLogPort
    2450324791port (LogicalPort
    2450424792m 1
     
    2451224800uid 3902,0
    2451324801)
    24514 *837 (LeafLogPort
     24802*845 (LeafLogPort
    2451524803port (LogicalPort
    2451624804m 1
     
    2452424812uid 4070,0
    2452524813)
    24526 *838 (LeafLogPort
     24814*846 (LeafLogPort
    2452724815port (LogicalPort
    2452824816m 4
     
    2453624824uid 4212,0
    2453724825)
    24538 *839 (LeafLogPort
     24826*847 (LeafLogPort
    2453924827port (LogicalPort
    2454024828decl (Decl
     
    2454724835uid 4234,0
    2454824836)
    24549 *840 (LeafLogPort
     24837*848 (LeafLogPort
    2455024838port (LogicalPort
    2455124839decl (Decl
     
    2455924847uid 4262,0
    2456024848)
    24561 *841 (LeafLogPort
     24849*849 (LeafLogPort
    2456224850port (LogicalPort
    2456324851decl (Decl
     
    2457024858uid 4276,0
    2457124859)
    24572 *842 (LeafLogPort
     24860*850 (LeafLogPort
    2457324861port (LogicalPort
    2457424862m 4
     
    2458324871uid 4563,0
    2458424872)
    24585 *843 (LeafLogPort
     24873*851 (LeafLogPort
    2458624874port (LogicalPort
    2458724875m 4
     
    2459524883uid 4565,0
    2459624884)
    24597 *844 (LeafLogPort
     24885*852 (LeafLogPort
    2459824886port (LogicalPort
    2459924887m 4
     
    2460824896uid 4569,0
    2460924897)
    24610 *845 (LeafLogPort
     24898*853 (LeafLogPort
    2461124899port (LogicalPort
    2461224900m 1
     
    2462224910uid 4585,0
    2462324911)
    24624 *846 (LeafLogPort
     24912*854 (LeafLogPort
    2462524913port (LogicalPort
    2462624914m 1
     
    2463524923uid 4587,0
    2463624924)
    24637 *847 (LeafLogPort
     24925*855 (LeafLogPort
    2463824926port (LogicalPort
    2463924927decl (Decl
     
    2464624934uid 4733,0
    2464724935)
    24648 *848 (LeafLogPort
     24936*856 (LeafLogPort
    2464924937port (LogicalPort
    2465024938decl (Decl
     
    2465724945uid 4735,0
    2465824946)
    24659 *849 (LeafLogPort
     24947*857 (LeafLogPort
    2466024948port (LogicalPort
    2466124949decl (Decl
     
    2466824956uid 4737,0
    2466924957)
    24670 *850 (LeafLogPort
     24958*858 (LeafLogPort
    2467124959port (LogicalPort
    2467224960decl (Decl
     
    2467924967uid 4739,0
    2468024968)
    24681 *851 (LeafLogPort
     24969*859 (LeafLogPort
    2468224970port (LogicalPort
    2468324971m 4
     
    2469124979uid 4749,0
    2469224980)
    24693 *852 (LeafLogPort
     24981*860 (LeafLogPort
    2469424982port (LogicalPort
    2469524983m 1
     
    2470424992uid 4974,0
    2470524993)
    24706 *853 (LeafLogPort
     24994*861 (LeafLogPort
    2470724995port (LogicalPort
    2470824996m 1
     
    2471725005uid 4976,0
    2471825006)
    24719 *854 (LeafLogPort
     25007*862 (LeafLogPort
    2472025008port (LogicalPort
    2472125009m 4
     
    2473025018uid 5198,0
    2473125019)
    24732 *855 (LeafLogPort
     25020*863 (LeafLogPort
    2473325021port (LogicalPort
    2473425022m 4
     
    2474225030uid 5200,0
    2474325031)
    24744 *856 (LeafLogPort
     25032*864 (LeafLogPort
    2474525033port (LogicalPort
    2474625034m 4
     
    2475425042uid 5202,0
    2475525043)
    24756 *857 (LeafLogPort
     25044*865 (LeafLogPort
    2475725045port (LogicalPort
    2475825046m 4
     
    2476725055uid 5204,0
    2476825056)
    24769 *858 (LeafLogPort
     25057*866 (LeafLogPort
    2477025058port (LogicalPort
    2477125059m 4
     
    2477925067uid 5206,0
    2478025068)
    24781 *859 (LeafLogPort
     25069*867 (LeafLogPort
    2478225070port (LogicalPort
    2478325071m 4
     
    2479125079uid 5208,0
    2479225080)
    24793 *860 (LeafLogPort
     25081*868 (LeafLogPort
    2479425082port (LogicalPort
    2479525083m 4
     
    2480325091uid 5210,0
    2480425092)
    24805 *861 (LeafLogPort
     25093*869 (LeafLogPort
    2480625094port (LogicalPort
    2480725095m 4
     
    2481525103uid 5212,0
    2481625104)
    24817 *862 (LeafLogPort
     25105*870 (LeafLogPort
    2481825106port (LogicalPort
    2481925107m 4
     
    2482725115uid 5214,0
    2482825116)
    24829 *863 (LeafLogPort
     25117*871 (LeafLogPort
    2483025118port (LogicalPort
    2483125119m 1
     
    2484225130uid 5226,0
    2484325131)
    24844 *864 (LeafLogPort
     25132*872 (LeafLogPort
    2484525133port (LogicalPort
    2484625134m 4
     
    2485425142uid 5502,0
    2485525143)
    24856 *865 (LeafLogPort
     25144*873 (LeafLogPort
    2485725145port (LogicalPort
    2485825146m 4
     
    2486625154uid 5504,0
    2486725155)
    24868 *866 (LeafLogPort
     25156*874 (LeafLogPort
    2486925157port (LogicalPort
    2487025158m 4
     
    2487825166uid 5600,0
    2487925167)
    24880 *867 (LeafLogPort
     25168*875 (LeafLogPort
    2488125169port (LogicalPort
    2488225170lang 10
     
    2489225180uid 5642,0
    2489325181)
    24894 *868 (LeafLogPort
     25182*876 (LeafLogPort
    2489525183port (LogicalPort
    2489625184m 4
     
    2490425192uid 5644,0
    2490525193)
    24906 *869 (LeafLogPort
     25194*877 (LeafLogPort
    2490725195port (LogicalPort
    2490825196m 4
     
    2491725205uid 5751,0
    2491825206)
    24919 *870 (LeafLogPort
     25207*878 (LeafLogPort
    2492025208port (LogicalPort
    2492125209m 1
     
    2492925217uid 5867,0
    2493025218)
    24931 *871 (LeafLogPort
     25219*879 (LeafLogPort
    2493225220port (LogicalPort
    2493325221m 2
     
    2494325231uid 5869,0
    2494425232)
    24945 *872 (LeafLogPort
     25233*880 (LeafLogPort
    2494625234port (LogicalPort
    2494725235m 1
     
    2495525243uid 5871,0
    2495625244)
    24957 *873 (LeafLogPort
     25245*881 (LeafLogPort
    2495825246port (LogicalPort
    2495925247m 1
     
    2496825256uid 5873,0
    2496925257)
    24970 *874 (LeafLogPort
     25258*882 (LeafLogPort
    2497125259port (LogicalPort
    2497225260m 4
     
    2498125269uid 5966,0
    2498225270)
    24983 *875 (LeafLogPort
     25271*883 (LeafLogPort
    2498425272port (LogicalPort
    2498525273m 4
     
    2499325281uid 5968,0
    2499425282)
    24995 *876 (LeafLogPort
     25283*884 (LeafLogPort
    2499625284port (LogicalPort
    2499725285m 4
     
    2500625294uid 6022,0
    2500725295)
    25008 *877 (LeafLogPort
     25296*885 (LeafLogPort
    2500925297port (LogicalPort
    2501025298m 4
     
    2501925307uid 6024,0
    2502025308)
    25021 *878 (LeafLogPort
     25309*886 (LeafLogPort
    2502225310port (LogicalPort
    2502325311m 4
     
    2503125319uid 6026,0
    2503225320)
    25033 *879 (LeafLogPort
     25321*887 (LeafLogPort
    2503425322port (LogicalPort
    2503525323m 1
     
    2504425332uid 6172,0
    2504525333)
    25046 *880 (LeafLogPort
     25334*888 (LeafLogPort
    2504725335port (LogicalPort
    2504825336m 1
     
    2505925347uid 6374,0
    2506025348)
    25061 *881 (LeafLogPort
     25349*889 (LeafLogPort
    2506225350port (LogicalPort
    2506325351m 4
     
    2507225360uid 6464,0
    2507325361)
    25074 *882 (LeafLogPort
     25362*890 (LeafLogPort
    2507525363port (LogicalPort
    2507625364m 4
     
    2508525373uid 6554,0
    2508625374)
    25087 *883 (LeafLogPort
     25375*891 (LeafLogPort
    2508825376port (LogicalPort
    2508925377lang 2
     
    2509825386uid 8420,0
    2509925387)
    25100 *884 (LeafLogPort
     25388*892 (LeafLogPort
    2510125389port (LogicalPort
    2510225390m 4
     
    2511025398uid 8758,0
    2511125399)
    25112 *885 (LeafLogPort
     25400*893 (LeafLogPort
    2511325401port (LogicalPort
    2511425402m 4
     
    2512225410uid 8760,0
    2512325411)
    25124 *886 (LeafLogPort
     25412*894 (LeafLogPort
    2512525413port (LogicalPort
    2512625414m 1
     
    2513525423uid 9018,0
    2513625424)
    25137 *887 (LeafLogPort
     25425*895 (LeafLogPort
    2513825426port (LogicalPort
    2513925427m 4
     
    2515025438uid 9247,0
    2515125439)
    25152 *888 (LeafLogPort
     25440*896 (LeafLogPort
    2515325441port (LogicalPort
    2515425442m 4
     
    2516625454uid 9249,0
    2516725455)
    25168 *889 (LeafLogPort
     25456*897 (LeafLogPort
    2516925457port (LogicalPort
    2517025458m 4
     
    2518125469uid 10024,0
    2518225470)
    25183 *890 (LeafLogPort
     25471*898 (LeafLogPort
    2518425472port (LogicalPort
    2518525473m 4
     
    2519425482uid 10026,0
    2519525483)
    25196 *891 (LeafLogPort
     25484*899 (LeafLogPort
    2519725485port (LogicalPort
    2519825486m 4
     
    2520725495uid 10028,0
    2520825496)
    25209 *892 (LeafLogPort
     25497*900 (LeafLogPort
    2521025498port (LogicalPort
    2521125499m 4
     
    2522325511uid 10208,0
    2522425512)
    25225 *893 (LeafLogPort
     25513*901 (LeafLogPort
    2522625514port (LogicalPort
    2522725515m 4
     
    2523925527uid 10210,0
    2524025528)
    25241 *894 (LeafLogPort
     25529*902 (LeafLogPort
    2524225530port (LogicalPort
    2524325531m 4
     
    2525125539uid 10294,0
    2525225540)
    25253 *895 (LeafLogPort
     25541*903 (LeafLogPort
    2525425542port (LogicalPort
    2525525543m 4
     
    2526325551uid 10334,0
    2526425552)
    25265 *896 (LeafLogPort
     25553*904 (LeafLogPort
    2526625554port (LogicalPort
    2526725555m 4
     
    2527625564uid 10336,0
    2527725565)
    25278 *897 (LeafLogPort
     25566*905 (LeafLogPort
    2527925567port (LogicalPort
    2528025568m 4
     
    2528925577uid 10338,0
    2529025578)
    25291 *898 (LeafLogPort
     25579*906 (LeafLogPort
    2529225580port (LogicalPort
    2529325581m 4
     
    2530325591uid 10340,0
    2530425592)
    25305 *899 (LeafLogPort
     25593*907 (LeafLogPort
    2530625594port (LogicalPort
    2530725595m 1
     
    2531625604uid 10342,0
    2531725605)
    25318 *900 (LeafLogPort
     25606*908 (LeafLogPort
    2531925607port (LogicalPort
    2532025608lang 2
     
    2533325621uid 10475,0
    2533425622)
    25335 *901 (LeafLogPort
     25623*909 (LeafLogPort
    2533625624port (LogicalPort
    2533725625m 4
     
    2534525633uid 10763,0
    2534625634)
    25347 *902 (LeafLogPort
     25635*910 (LeafLogPort
    2534825636port (LogicalPort
    2534925637m 4
     
    2535725645uid 10765,0
    2535825646)
    25359 *903 (LeafLogPort
     25647*911 (LeafLogPort
    2536025648port (LogicalPort
    2536125649m 1
     
    2536925657uid 10767,0
    2537025658)
    25371 *904 (LeafLogPort
     25659*912 (LeafLogPort
    2537225660port (LogicalPort
    2537325661m 1
     
    2538125669uid 10769,0
    2538225670)
    25383 *905 (LeafLogPort
     25671*913 (LeafLogPort
    2538425672port (LogicalPort
    2538525673m 1
     
    2539325681uid 10771,0
    2539425682)
    25395 *906 (LeafLogPort
     25683*914 (LeafLogPort
    2539625684port (LogicalPort
    2539725685m 4
     
    2540525693uid 11411,0
    2540625694)
    25407 *907 (LeafLogPort
     25695*915 (LeafLogPort
    2540825696port (LogicalPort
    2540925697m 4
     
    2541725705uid 11966,0
    2541825706)
    25419 *908 (LeafLogPort
     25707*916 (LeafLogPort
    2542025708port (LogicalPort
    2542125709m 4
     
    2543125719uid 12310,0
    2543225720)
    25433 *909 (LeafLogPort
     25721*917 (LeafLogPort
    2543425722port (LogicalPort
    2543525723m 4
     
    2544525733uid 12659,0
    2544625734)
    25447 *910 (LeafLogPort
     25735*918 (LeafLogPort
    2544825736port (LogicalPort
    2544925737m 4
     
    2546225750uid 12661,0
    2546325751)
    25464 *911 (LeafLogPort
     25752*919 (LeafLogPort
    2546525753port (LogicalPort
    2546625754m 4
     
    2547425762uid 12663,0
    2547525763)
    25476 *912 (LeafLogPort
     25764*920 (LeafLogPort
    2547725765port (LogicalPort
    2547825766m 1
     
    2548625774uid 12719,0
    2548725775)
    25488 *913 (LeafLogPort
     25776*921 (LeafLogPort
    2548925777port (LogicalPort
    2549025778m 4
     
    2549925787uid 13275,0
    2550025788)
    25501 *914 (LeafLogPort
     25789*922 (LeafLogPort
    2550225790port (LogicalPort
    2550325791m 4
     
    2551525803uid 13277,0
    2551625804)
    25517 *915 (LeafLogPort
     25805*923 (LeafLogPort
    2551825806port (LogicalPort
    2551925807m 4
     
    2552725815uid 13279,0
    2552825816)
    25529 *916 (LeafLogPort
     25817*924 (LeafLogPort
    2553025818port (LogicalPort
    2553125819m 4
     
    2553925827uid 13281,0
    2554025828)
    25541 *917 (LeafLogPort
     25829*925 (LeafLogPort
    2554225830port (LogicalPort
    2554325831decl (Decl
     
    2555225840scheme 0
    2555325841)
    25554 *918 (LeafLogPort
     25842*926 (LeafLogPort
    2555525843port (LogicalPort
    2555625844decl (Decl
     
    2556525853scheme 0
    2556625854)
    25567 *919 (LeafLogPort
     25855*927 (LeafLogPort
    2556825856port (LogicalPort
    2556925857decl (Decl
     
    2557925867scheme 0
    2558025868)
    25581 *920 (LeafLogPort
     25869*928 (LeafLogPort
    2558225870port (LogicalPort
    2558325871m 1
     
    2559425882uid 14507,0
    2559525883)
    25596 *921 (LeafLogPort
     25884*929 (LeafLogPort
    2559725885port (LogicalPort
    2559825886m 1
     
    2560925897uid 14509,0
    2561025898)
    25611 *922 (LeafLogPort
     25899*930 (LeafLogPort
    2561225900port (LogicalPort
    2561325901m 1
     
    2562325911uid 14634,0
    2562425912)
    25625 *923 (LeafLogPort
     25913*931 (LeafLogPort
    2562625914port (LogicalPort
    2562725915m 4
     
    2563825926uid 15144,0
    2563925927)
    25640 *924 (LeafLogPort
     25928*932 (LeafLogPort
    2564125929port (LogicalPort
    2564225930m 4
     
    2565325941uid 15146,0
    2565425942)
    25655 *925 (LeafLogPort
     25943*933 (LeafLogPort
    2565625944port (LogicalPort
    2565725945m 4
     
    2566825956uid 15148,0
    2566925957)
    25670 *926 (LeafLogPort
     25958*934 (LeafLogPort
    2567125959port (LogicalPort
    2567225960m 4
     
    2568025968)
    2568125969uid 15504,0
     25970)
     25971*935 (LeafLogPort
     25972port (LogicalPort
     25973lang 2
     25974m 4
     25975decl (Decl
     25976n "trigger_id"
     25977t "std_logic_vector"
     25978b "(31 downto 0)"
     25979preAdd 0
     25980posAdd 0
     25981o 123
     25982suid 302,0
     25983)
     25984)
     25985uid 15754,0
    2568225986)
    2568325987]
     
    2568825992uid 67,0
    2568925993optionalChildren [
    25690 *927 (Sheet
     25994*936 (Sheet
    2569125995sheetRow (SheetRow
    2569225996headerVa (MVa
     
    2570526009font "Tahoma,10,0"
    2570626010)
    25707 emptyMRCItem *928 (MRCItem
    25708 litem &791
     26011emptyMRCItem *937 (MRCItem
     26012litem &800
    2570926013pos 123
    2571026014dimension 20
     
    2571226016uid 69,0
    2571326017optionalChildren [
    25714 *929 (MRCItem
    25715 litem &792
     26018*938 (MRCItem
     26019litem &801
    2571626020pos 0
    2571726021dimension 20
    2571826022uid 70,0
    2571926023)
    25720 *930 (MRCItem
    25721 litem &793
     26024*939 (MRCItem
     26025litem &802
    2572226026pos 1
    2572326027dimension 23
    2572426028uid 71,0
    2572526029)
    25726 *931 (MRCItem
    25727 litem &794
     26030*940 (MRCItem
     26031litem &803
    2572826032pos 2
    2572926033hidden 1
     
    2573126035uid 72,0
    2573226036)
    25733 *932 (MRCItem
    25734 litem &804
     26037*941 (MRCItem
     26038litem &813
    2573526039pos 37
    2573626040dimension 20
    2573726041uid 517,0
    2573826042)
    25739 *933 (MRCItem
    25740 litem &805
     26043*942 (MRCItem
     26044litem &814
    2574126045pos 38
    2574226046dimension 20
    2574326047uid 519,0
    2574426048)
    25745 *934 (MRCItem
    25746 litem &806
     26049*943 (MRCItem
     26050litem &815
    2574726051pos 39
    2574826052dimension 20
    2574926053uid 521,0
    2575026054)
    25751 *935 (MRCItem
    25752 litem &807
     26055*944 (MRCItem
     26056litem &816
    2575326057pos 40
    2575426058dimension 20
    2575526059uid 531,0
    2575626060)
    25757 *936 (MRCItem
    25758 litem &808
     26061*945 (MRCItem
     26062litem &817
    2575926063pos 41
    2576026064dimension 20
    2576126065uid 533,0
    2576226066)
    25763 *937 (MRCItem
    25764 litem &809
     26067*946 (MRCItem
     26068litem &818
    2576526069pos 0
    2576626070dimension 20
    2576726071uid 535,0
    2576826072)
    25769 *938 (MRCItem
    25770 litem &810
     26073*947 (MRCItem
     26074litem &819
    2577126075pos 1
    2577226076dimension 20
    2577326077uid 537,0
    2577426078)
    25775 *939 (MRCItem
    25776 litem &811
     26079*948 (MRCItem
     26080litem &820
    2577726081pos 2
    2577826082dimension 20
    2577926083uid 539,0
    2578026084)
    25781 *940 (MRCItem
    25782 litem &812
     26085*949 (MRCItem
     26086litem &821
    2578326087pos 3
    2578426088dimension 20
    2578526089uid 541,0
    2578626090)
    25787 *941 (MRCItem
    25788 litem &813
     26091*950 (MRCItem
     26092litem &822
    2578926093pos 4
    2579026094dimension 20
    2579126095uid 543,0
    2579226096)
    25793 *942 (MRCItem
    25794 litem &814
     26097*951 (MRCItem
     26098litem &823
    2579526099pos 5
    2579626100dimension 20
    2579726101uid 547,0
    2579826102)
    25799 *943 (MRCItem
    25800 litem &815
     26103*952 (MRCItem
     26104litem &824
    2580126105pos 6
    2580226106dimension 20
    2580326107uid 549,0
    2580426108)
    25805 *944 (MRCItem
    25806 litem &816
     26109*953 (MRCItem
     26110litem &825
    2580726111pos 8
    2580826112dimension 20
    2580926113uid 1456,0
    2581026114)
    25811 *945 (MRCItem
    25812 litem &817
     26115*954 (MRCItem
     26116litem &826
    2581326117pos 7
    2581426118dimension 20
    2581526119uid 1458,0
    2581626120)
    25817 *946 (MRCItem
    25818 litem &818
     26121*955 (MRCItem
     26122litem &827
    2581926123pos 9
    2582026124dimension 20
    2582126125uid 1695,0
    2582226126)
    25823 *947 (MRCItem
    25824 litem &819
     26127*956 (MRCItem
     26128litem &828
    2582526129pos 42
    2582626130dimension 20
    25827 uid 1994,0
    25828 )
    25829 *948 (MRCItem
    25830 litem &820
     26131uid 2306,0
     26132)
     26133*957 (MRCItem
     26134litem &829
    2583126135pos 43
    2583226136dimension 20
    25833 uid 2306,0
    25834 )
    25835 *949 (MRCItem
    25836 litem &821
     26137uid 2511,0
     26138)
     26139*958 (MRCItem
     26140litem &830
    2583726141pos 44
    2583826142dimension 20
    25839 uid 2511,0
    25840 )
    25841 *950 (MRCItem
    25842 litem &822
     26143uid 2513,0
     26144)
     26145*959 (MRCItem
     26146litem &831
    2584326147pos 45
    2584426148dimension 20
    25845 uid 2513,0
    25846 )
    25847 *951 (MRCItem
    25848 litem &823
     26149uid 2515,0
     26150)
     26151*960 (MRCItem
     26152litem &832
    2584926153pos 46
    2585026154dimension 20
    25851 uid 2515,0
    25852 )
    25853 *952 (MRCItem
    25854 litem &824
     26155uid 2517,0
     26156)
     26157*961 (MRCItem
     26158litem &833
    2585526159pos 47
    2585626160dimension 20
    25857 uid 2517,0
    25858 )
    25859 *953 (MRCItem
    25860 litem &825
     26161uid 2519,0
     26162)
     26163*962 (MRCItem
     26164litem &834
    2586126165pos 48
    2586226166dimension 20
    25863 uid 2519,0
    25864 )
    25865 *954 (MRCItem
    25866 litem &826
     26167uid 2521,0
     26168)
     26169*963 (MRCItem
     26170litem &835
    2586726171pos 49
    2586826172dimension 20
    25869 uid 2521,0
    25870 )
    25871 *955 (MRCItem
    25872 litem &827
     26173uid 2523,0
     26174)
     26175*964 (MRCItem
     26176litem &836
    2587326177pos 50
    2587426178dimension 20
    25875 uid 2523,0
    25876 )
    25877 *956 (MRCItem
    25878 litem &828
     26179uid 2605,0
     26180)
     26181*965 (MRCItem
     26182litem &837
    2587926183pos 51
    2588026184dimension 20
    25881 uid 2605,0
    25882 )
    25883 *957 (MRCItem
    25884 litem &829
     26185uid 2607,0
     26186)
     26187*966 (MRCItem
     26188litem &838
    2588526189pos 52
    2588626190dimension 20
    25887 uid 2607,0
    25888 )
    25889 *958 (MRCItem
    25890 litem &830
     26191uid 2609,0
     26192)
     26193*967 (MRCItem
     26194litem &839
    2589126195pos 53
    2589226196dimension 20
    25893 uid 2609,0
    25894 )
    25895 *959 (MRCItem
    25896 litem &831
     26197uid 2611,0
     26198)
     26199*968 (MRCItem
     26200litem &840
    2589726201pos 54
    2589826202dimension 20
    25899 uid 2611,0
    25900 )
    25901 *960 (MRCItem
    25902 litem &832
     26203uid 2613,0
     26204)
     26205*969 (MRCItem
     26206litem &841
    2590326207pos 55
    2590426208dimension 20
    25905 uid 2613,0
    25906 )
    25907 *961 (MRCItem
    25908 litem &833
    25909 pos 56
    25910 dimension 20
    2591126209uid 2647,0
    2591226210)
    25913 *962 (MRCItem
    25914 litem &834
     26211*970 (MRCItem
     26212litem &842
    2591526213pos 10
    2591626214dimension 20
    2591726215uid 2813,0
    2591826216)
    25919 *963 (MRCItem
    25920 litem &835
    25921 pos 57
     26217*971 (MRCItem
     26218litem &843
     26219pos 56
    2592226220dimension 20
    2592326221uid 2963,0
    2592426222)
    25925 *964 (MRCItem
    25926 litem &836
     26223*972 (MRCItem
     26224litem &844
    2592726225pos 11
    2592826226dimension 20
    2592926227uid 3903,0
    2593026228)
    25931 *965 (MRCItem
    25932 litem &837
     26229*973 (MRCItem
     26230litem &845
    2593326231pos 12
    2593426232dimension 20
    2593526233uid 4071,0
    2593626234)
    25937 *966 (MRCItem
    25938 litem &838
    25939 pos 58
     26235*974 (MRCItem
     26236litem &846
     26237pos 57
    2594026238dimension 20
    2594126239uid 4213,0
    2594226240)
    25943 *967 (MRCItem
    25944 litem &839
     26241*975 (MRCItem
     26242litem &847
    2594526243pos 13
    2594626244dimension 20
    2594726245uid 4235,0
    2594826246)
    25949 *968 (MRCItem
    25950 litem &840
     26247*976 (MRCItem
     26248litem &848
    2595126249pos 14
    2595226250dimension 20
    2595326251uid 4263,0
    2595426252)
    25955 *969 (MRCItem
    25956 litem &841
     26253*977 (MRCItem
     26254litem &849
    2595726255pos 15
    2595826256dimension 20
    2595926257uid 4277,0
    2596026258)
    25961 *970 (MRCItem
    25962 litem &842
     26259*978 (MRCItem
     26260litem &850
     26261pos 58
     26262dimension 20
     26263uid 4564,0
     26264)
     26265*979 (MRCItem
     26266litem &851
    2596326267pos 59
    2596426268dimension 20
    25965 uid 4564,0
    25966 )
    25967 *971 (MRCItem
    25968 litem &843
     26269uid 4566,0
     26270)
     26271*980 (MRCItem
     26272litem &852
    2596926273pos 60
    2597026274dimension 20
    25971 uid 4566,0
    25972 )
    25973 *972 (MRCItem
    25974 litem &844
    25975 pos 61
    25976 dimension 20
    2597726275uid 4570,0
    2597826276)
    25979 *973 (MRCItem
    25980 litem &845
     26277*981 (MRCItem
     26278litem &853
    2598126279pos 16
    2598226280dimension 20
    2598326281uid 4586,0
    2598426282)
    25985 *974 (MRCItem
    25986 litem &846
     26283*982 (MRCItem
     26284litem &854
    2598726285pos 17
    2598826286dimension 20
    2598926287uid 4588,0
    2599026288)
    25991 *975 (MRCItem
    25992 litem &847
     26289*983 (MRCItem
     26290litem &855
    2599326291pos 18
    2599426292dimension 20
    2599526293uid 4734,0
    2599626294)
    25997 *976 (MRCItem
    25998 litem &848
     26295*984 (MRCItem
     26296litem &856
    2599926297pos 19
    2600026298dimension 20
    2600126299uid 4736,0
    2600226300)
    26003 *977 (MRCItem
    26004 litem &849
     26301*985 (MRCItem
     26302litem &857
    2600526303pos 20
    2600626304dimension 20
    2600726305uid 4738,0
    2600826306)
    26009 *978 (MRCItem
    26010 litem &850
     26307*986 (MRCItem
     26308litem &858
    2601126309pos 21
    2601226310dimension 20
    2601326311uid 4740,0
    2601426312)
    26015 *979 (MRCItem
    26016 litem &851
    26017 pos 62
     26313*987 (MRCItem
     26314litem &859
     26315pos 61
    2601826316dimension 20
    2601926317uid 4750,0
    2602026318)
    26021 *980 (MRCItem
    26022 litem &852
     26319*988 (MRCItem
     26320litem &860
    2602326321pos 22
    2602426322dimension 20
    2602526323uid 4975,0
    2602626324)
    26027 *981 (MRCItem
    26028 litem &853
     26325*989 (MRCItem
     26326litem &861
    2602926327pos 23
    2603026328dimension 20
    2603126329uid 4977,0
    2603226330)
    26033 *982 (MRCItem
    26034 litem &854
     26331*990 (MRCItem
     26332litem &862
     26333pos 62
     26334dimension 20
     26335uid 5199,0
     26336)
     26337*991 (MRCItem
     26338litem &863
    2603526339pos 63
    2603626340dimension 20
    26037 uid 5199,0
    26038 )
    26039 *983 (MRCItem
    26040 litem &855
     26341uid 5201,0
     26342)
     26343*992 (MRCItem
     26344litem &864
    2604126345pos 64
    2604226346dimension 20
    26043 uid 5201,0
    26044 )
    26045 *984 (MRCItem
    26046 litem &856
     26347uid 5203,0
     26348)
     26349*993 (MRCItem
     26350litem &865
    2604726351pos 65
    2604826352dimension 20
    26049 uid 5203,0
    26050 )
    26051 *985 (MRCItem
    26052 litem &857
     26353uid 5205,0
     26354)
     26355*994 (MRCItem
     26356litem &866
    2605326357pos 66
    2605426358dimension 20
    26055 uid 5205,0
    26056 )
    26057 *986 (MRCItem
    26058 litem &858
     26359uid 5207,0
     26360)
     26361*995 (MRCItem
     26362litem &867
    2605926363pos 67
    2606026364dimension 20
    26061 uid 5207,0
    26062 )
    26063 *987 (MRCItem
    26064 litem &859
     26365uid 5209,0
     26366)
     26367*996 (MRCItem
     26368litem &868
    2606526369pos 68
    2606626370dimension 20
    26067 uid 5209,0
    26068 )
    26069 *988 (MRCItem
    26070 litem &860
     26371uid 5211,0
     26372)
     26373*997 (MRCItem
     26374litem &869
    2607126375pos 69
    2607226376dimension 20
    26073 uid 5211,0
    26074 )
    26075 *989 (MRCItem
    26076 litem &861
     26377uid 5213,0
     26378)
     26379*998 (MRCItem
     26380litem &870
    2607726381pos 70
    2607826382dimension 20
    26079 uid 5213,0
    26080 )
    26081 *990 (MRCItem
    26082 litem &862
    26083 pos 71
    26084 dimension 20
    2608526383uid 5215,0
    2608626384)
    26087 *991 (MRCItem
    26088 litem &863
     26385*999 (MRCItem
     26386litem &871
    2608926387pos 24
    2609026388dimension 20
    2609126389uid 5227,0
    2609226390)
    26093 *992 (MRCItem
    26094 litem &864
     26391*1000 (MRCItem
     26392litem &872
     26393pos 71
     26394dimension 20
     26395uid 5503,0
     26396)
     26397*1001 (MRCItem
     26398litem &873
    2609526399pos 72
    2609626400dimension 20
    26097 uid 5503,0
    26098 )
    26099 *993 (MRCItem
    26100 litem &865
     26401uid 5505,0
     26402)
     26403*1002 (MRCItem
     26404litem &874
    2610126405pos 73
    2610226406dimension 20
    26103 uid 5505,0
    26104 )
    26105 *994 (MRCItem
    26106 litem &866
     26407uid 5601,0
     26408)
     26409*1003 (MRCItem
     26410litem &875
    2610726411pos 74
    2610826412dimension 20
    26109 uid 5601,0
    26110 )
    26111 *995 (MRCItem
    26112 litem &867
     26413uid 5643,0
     26414)
     26415*1004 (MRCItem
     26416litem &876
    2611326417pos 75
    2611426418dimension 20
    26115 uid 5643,0
    26116 )
    26117 *996 (MRCItem
    26118 litem &868
     26419uid 5645,0
     26420)
     26421*1005 (MRCItem
     26422litem &877
    2611926423pos 76
    2612026424dimension 20
    26121 uid 5645,0
    26122 )
    26123 *997 (MRCItem
    26124 litem &869
    26125 pos 77
    26126 dimension 20
    2612726425uid 5752,0
    2612826426)
    26129 *998 (MRCItem
    26130 litem &870
     26427*1006 (MRCItem
     26428litem &878
    2613126429pos 25
    2613226430dimension 20
    2613326431uid 5868,0
    2613426432)
    26135 *999 (MRCItem
    26136 litem &871
     26433*1007 (MRCItem
     26434litem &879
    2613726435pos 26
    2613826436dimension 20
    2613926437uid 5870,0
    2614026438)
    26141 *1000 (MRCItem
    26142 litem &872
     26439*1008 (MRCItem
     26440litem &880
    2614326441pos 27
    2614426442dimension 20
    2614526443uid 5872,0
    2614626444)
    26147 *1001 (MRCItem
    26148 litem &873
     26445*1009 (MRCItem
     26446litem &881
    2614926447pos 28
    2615026448dimension 20
    2615126449uid 5874,0
    2615226450)
    26153 *1002 (MRCItem
    26154 litem &874
     26451*1010 (MRCItem
     26452litem &882
     26453pos 77
     26454dimension 20
     26455uid 5967,0
     26456)
     26457*1011 (MRCItem
     26458litem &883
    2615526459pos 78
    2615626460dimension 20
    26157 uid 5967,0
    26158 )
    26159 *1003 (MRCItem
    26160 litem &875
     26461uid 5969,0
     26462)
     26463*1012 (MRCItem
     26464litem &884
    2616126465pos 79
    2616226466dimension 20
    26163 uid 5969,0
    26164 )
    26165 *1004 (MRCItem
    26166 litem &876
     26467uid 6023,0
     26468)
     26469*1013 (MRCItem
     26470litem &885
    2616726471pos 80
    2616826472dimension 20
    26169 uid 6023,0
    26170 )
    26171 *1005 (MRCItem
    26172 litem &877
     26473uid 6025,0
     26474)
     26475*1014 (MRCItem
     26476litem &886
    2617326477pos 81
    2617426478dimension 20
    26175 uid 6025,0
    26176 )
    26177 *1006 (MRCItem
    26178 litem &878
    26179 pos 82
    26180 dimension 20
    2618126479uid 6027,0
    2618226480)
    26183 *1007 (MRCItem
    26184 litem &879
     26481*1015 (MRCItem
     26482litem &887
    2618526483pos 29
    2618626484dimension 20
    2618726485uid 6173,0
    2618826486)
    26189 *1008 (MRCItem
    26190 litem &880
     26487*1016 (MRCItem
     26488litem &888
    2619126489pos 30
    2619226490dimension 20
    2619326491uid 6375,0
    2619426492)
    26195 *1009 (MRCItem
    26196 litem &881
     26493*1017 (MRCItem
     26494litem &889
     26495pos 82
     26496dimension 20
     26497uid 6465,0
     26498)
     26499*1018 (MRCItem
     26500litem &890
    2619726501pos 83
    2619826502dimension 20
    26199 uid 6465,0
    26200 )
    26201 *1010 (MRCItem
    26202 litem &882
     26503uid 6555,0
     26504)
     26505*1019 (MRCItem
     26506litem &891
    2620326507pos 84
    2620426508dimension 20
    26205 uid 6555,0
    26206 )
    26207 *1011 (MRCItem
    26208 litem &883
     26509uid 8421,0
     26510)
     26511*1020 (MRCItem
     26512litem &892
    2620926513pos 85
    2621026514dimension 20
    26211 uid 8421,0
    26212 )
    26213 *1012 (MRCItem
    26214 litem &884
     26515uid 8759,0
     26516)
     26517*1021 (MRCItem
     26518litem &893
    2621526519pos 86
    2621626520dimension 20
    26217 uid 8759,0
    26218 )
    26219 *1013 (MRCItem
    26220 litem &885
    26221 pos 87
    26222 dimension 20
    2622326521uid 8761,0
    2622426522)
    26225 *1014 (MRCItem
    26226 litem &886
     26523*1022 (MRCItem
     26524litem &894
    2622726525pos 31
    2622826526dimension 20
    2622926527uid 9019,0
    2623026528)
    26231 *1015 (MRCItem
    26232 litem &887
     26529*1023 (MRCItem
     26530litem &895
     26531pos 87
     26532dimension 20
     26533uid 9248,0
     26534)
     26535*1024 (MRCItem
     26536litem &896
    2623326537pos 88
    2623426538dimension 20
    26235 uid 9248,0
    26236 )
    26237 *1016 (MRCItem
    26238 litem &888
     26539uid 9250,0
     26540)
     26541*1025 (MRCItem
     26542litem &897
    2623926543pos 89
    2624026544dimension 20
    26241 uid 9250,0
    26242 )
    26243 *1017 (MRCItem
    26244 litem &889
     26545uid 10025,0
     26546)
     26547*1026 (MRCItem
     26548litem &898
    2624526549pos 90
    2624626550dimension 20
    26247 uid 10025,0
    26248 )
    26249 *1018 (MRCItem
    26250 litem &890
     26551uid 10027,0
     26552)
     26553*1027 (MRCItem
     26554litem &899
    2625126555pos 91
    2625226556dimension 20
    26253 uid 10027,0
    26254 )
    26255 *1019 (MRCItem
    26256 litem &891
     26557uid 10029,0
     26558)
     26559*1028 (MRCItem
     26560litem &900
    2625726561pos 92
    2625826562dimension 20
    26259 uid 10029,0
    26260 )
    26261 *1020 (MRCItem
    26262 litem &892
     26563uid 10209,0
     26564)
     26565*1029 (MRCItem
     26566litem &901
    2626326567pos 93
    2626426568dimension 20
    26265 uid 10209,0
    26266 )
    26267 *1021 (MRCItem
    26268 litem &893
     26569uid 10211,0
     26570)
     26571*1030 (MRCItem
     26572litem &902
    2626926573pos 94
    2627026574dimension 20
    26271 uid 10211,0
    26272 )
    26273 *1022 (MRCItem
    26274 litem &894
     26575uid 10295,0
     26576)
     26577*1031 (MRCItem
     26578litem &903
    2627526579pos 95
    2627626580dimension 20
    26277 uid 10295,0
    26278 )
    26279 *1023 (MRCItem
    26280 litem &895
     26581uid 10335,0
     26582)
     26583*1032 (MRCItem
     26584litem &904
    2628126585pos 96
    2628226586dimension 20
    26283 uid 10335,0
    26284 )
    26285 *1024 (MRCItem
    26286 litem &896
     26587uid 10337,0
     26588)
     26589*1033 (MRCItem
     26590litem &905
    2628726591pos 97
    2628826592dimension 20
    26289 uid 10337,0
    26290 )
    26291 *1025 (MRCItem
    26292 litem &897
     26593uid 10339,0
     26594)
     26595*1034 (MRCItem
     26596litem &906
    2629326597pos 98
    2629426598dimension 20
    26295 uid 10339,0
    26296 )
    26297 *1026 (MRCItem
    26298 litem &898
    26299 pos 99
    26300 dimension 20
    2630126599uid 10341,0
    2630226600)
    26303 *1027 (MRCItem
    26304 litem &899
     26601*1035 (MRCItem
     26602litem &907
    2630526603pos 32
    2630626604dimension 20
    2630726605uid 10343,0
    2630826606)
    26309 *1028 (MRCItem
    26310 litem &900
     26607*1036 (MRCItem
     26608litem &908
     26609pos 99
     26610dimension 20
     26611uid 10476,0
     26612)
     26613*1037 (MRCItem
     26614litem &909
    2631126615pos 100
    2631226616dimension 20
    26313 uid 10476,0
    26314 )
    26315 *1029 (MRCItem
    26316 litem &901
     26617uid 10764,0
     26618)
     26619*1038 (MRCItem
     26620litem &910
    2631726621pos 101
    2631826622dimension 20
    26319 uid 10764,0
    26320 )
    26321 *1030 (MRCItem
    26322 litem &902
    26323 pos 102
    26324 dimension 20
    2632526623uid 10766,0
    2632626624)
    26327 *1031 (MRCItem
    26328 litem &903
     26625*1039 (MRCItem
     26626litem &911
    2632926627pos 33
    2633026628dimension 20
    2633126629uid 10768,0
    2633226630)
    26333 *1032 (MRCItem
    26334 litem &904
     26631*1040 (MRCItem
     26632litem &912
    2633526633pos 34
    2633626634dimension 20
    2633726635uid 10770,0
    2633826636)
    26339 *1033 (MRCItem
    26340 litem &905
     26637*1041 (MRCItem
     26638litem &913
    2634126639pos 35
    2634226640dimension 20
    2634326641uid 10772,0
    2634426642)
    26345 *1034 (MRCItem
    26346 litem &906
     26643*1042 (MRCItem
     26644litem &914
     26645pos 102
     26646dimension 20
     26647uid 11412,0
     26648)
     26649*1043 (MRCItem
     26650litem &915
    2634726651pos 103
    2634826652dimension 20
    26349 uid 11412,0
    26350 )
    26351 *1035 (MRCItem
    26352 litem &907
     26653uid 11967,0
     26654)
     26655*1044 (MRCItem
     26656litem &916
    2635326657pos 104
    2635426658dimension 20
    26355 uid 11967,0
    26356 )
    26357 *1036 (MRCItem
    26358 litem &908
     26659uid 12311,0
     26660)
     26661*1045 (MRCItem
     26662litem &917
    2635926663pos 105
    2636026664dimension 20
    26361 uid 12311,0
    26362 )
    26363 *1037 (MRCItem
    26364 litem &909
     26665uid 12660,0
     26666)
     26667*1046 (MRCItem
     26668litem &918
    2636526669pos 106
    2636626670dimension 20
    26367 uid 12660,0
    26368 )
    26369 *1038 (MRCItem
    26370 litem &910
     26671uid 12662,0
     26672)
     26673*1047 (MRCItem
     26674litem &919
    2637126675pos 107
    2637226676dimension 20
    26373 uid 12662,0
    26374 )
    26375 *1039 (MRCItem
    26376 litem &911
    26377 pos 108
    26378 dimension 20
    2637926677uid 12664,0
    2638026678)
    26381 *1040 (MRCItem
    26382 litem &912
     26679*1048 (MRCItem
     26680litem &920
    2638326681pos 36
    2638426682dimension 20
    2638526683uid 12720,0
    2638626684)
    26387 *1041 (MRCItem
    26388 litem &913
     26685*1049 (MRCItem
     26686litem &921
     26687pos 108
     26688dimension 20
     26689uid 13276,0
     26690)
     26691*1050 (MRCItem
     26692litem &922
    2638926693pos 109
    2639026694dimension 20
    26391 uid 13276,0
    26392 )
    26393 *1042 (MRCItem
    26394 litem &914
     26695uid 13278,0
     26696)
     26697*1051 (MRCItem
     26698litem &923
    2639526699pos 110
    2639626700dimension 20
    26397 uid 13278,0
    26398 )
    26399 *1043 (MRCItem
    26400 litem &915
     26701uid 13280,0
     26702)
     26703*1052 (MRCItem
     26704litem &924
    2640126705pos 111
    2640226706dimension 20
    26403 uid 13280,0
    26404 )
    26405 *1044 (MRCItem
    26406 litem &916
     26707uid 13282,0
     26708)
     26709*1053 (MRCItem
     26710litem &925
    2640726711pos 112
    2640826712dimension 20
    26409 uid 13282,0
    26410 )
    26411 *1045 (MRCItem
    26412 litem &917
     26713uid 13688,0
     26714)
     26715*1054 (MRCItem
     26716litem &926
    2641326717pos 113
    2641426718dimension 20
    26415 uid 13688,0
    26416 )
    26417 *1046 (MRCItem
    26418 litem &918
     26719uid 14041,0
     26720)
     26721*1055 (MRCItem
     26722litem &927
    2641926723pos 114
    2642026724dimension 20
    26421 uid 14041,0
    26422 )
    26423 *1047 (MRCItem
    26424 litem &919
     26725uid 14164,0
     26726)
     26727*1056 (MRCItem
     26728litem &928
    2642526729pos 115
    2642626730dimension 20
    26427 uid 14164,0
    26428 )
    26429 *1048 (MRCItem
    26430 litem &920
     26731uid 14508,0
     26732)
     26733*1057 (MRCItem
     26734litem &929
    2643126735pos 116
    2643226736dimension 20
    26433 uid 14508,0
    26434 )
    26435 *1049 (MRCItem
    26436 litem &921
     26737uid 14510,0
     26738)
     26739*1058 (MRCItem
     26740litem &930
    2643726741pos 117
    2643826742dimension 20
    26439 uid 14510,0
    26440 )
    26441 *1050 (MRCItem
    26442 litem &922
     26743uid 14635,0
     26744)
     26745*1059 (MRCItem
     26746litem &931
    2644326747pos 118
    2644426748dimension 20
    26445 uid 14635,0
    26446 )
    26447 *1051 (MRCItem
    26448 litem &923
     26749uid 15145,0
     26750)
     26751*1060 (MRCItem
     26752litem &932
    2644926753pos 119
    2645026754dimension 20
    26451 uid 15145,0
    26452 )
    26453 *1052 (MRCItem
    26454 litem &924
     26755uid 15147,0
     26756)
     26757*1061 (MRCItem
     26758litem &933
    2645526759pos 120
    2645626760dimension 20
    26457 uid 15147,0
    26458 )
    26459 *1053 (MRCItem
    26460 litem &925
     26761uid 15149,0
     26762)
     26763*1062 (MRCItem
     26764litem &934
    2646126765pos 121
    2646226766dimension 20
    26463 uid 15149,0
    26464 )
    26465 *1054 (MRCItem
    26466 litem &926
     26767uid 15505,0
     26768)
     26769*1063 (MRCItem
     26770litem &935
    2646726771pos 122
    2646826772dimension 20
    26469 uid 15505,0
     26773uid 15755,0
    2647026774)
    2647126775]
     
    2648026784uid 73,0
    2648126785optionalChildren [
    26482 *1055 (MRCItem
    26483 litem &795
     26786*1064 (MRCItem
     26787litem &804
    2648426788pos 0
    2648526789dimension 20
    2648626790uid 74,0
    2648726791)
    26488 *1056 (MRCItem
    26489 litem &797
     26792*1065 (MRCItem
     26793litem &806
    2649026794pos 1
    2649126795dimension 50
    2649226796uid 75,0
    2649326797)
    26494 *1057 (MRCItem
    26495 litem &798
     26798*1066 (MRCItem
     26799litem &807
    2649626800pos 2
    2649726801dimension 100
    2649826802uid 76,0
    2649926803)
    26500 *1058 (MRCItem
    26501 litem &799
     26804*1067 (MRCItem
     26805litem &808
    2650226806pos 3
    2650326807dimension 50
    2650426808uid 77,0
    2650526809)
    26506 *1059 (MRCItem
    26507 litem &800
     26810*1068 (MRCItem
     26811litem &809
    2650826812pos 4
    2650926813dimension 100
    2651026814uid 78,0
    2651126815)
    26512 *1060 (MRCItem
    26513 litem &801
     26816*1069 (MRCItem
     26817litem &810
    2651426818pos 5
    2651526819dimension 100
    2651626820uid 79,0
    2651726821)
    26518 *1061 (MRCItem
    26519 litem &802
     26822*1070 (MRCItem
     26823litem &811
    2652026824pos 6
    2652126825dimension 50
    2652226826uid 80,0
    2652326827)
    26524 *1062 (MRCItem
    26525 litem &803
     26828*1071 (MRCItem
     26829litem &812
    2652626830pos 7
    2652726831dimension 290
     
    2654326847genericsCommonDM (CommonDM
    2654426848ldm (LogicalDM
    26545 emptyRow *1063 (LEmptyRow
     26849emptyRow *1072 (LEmptyRow
    2654626850)
    2654726851uid 83,0
    2654826852optionalChildren [
    26549 *1064 (RefLabelRowHdr
    26550 )
    26551 *1065 (TitleRowHdr
    26552 )
    26553 *1066 (FilterRowHdr
    26554 )
    26555 *1067 (RefLabelColHdr
     26853*1073 (RefLabelRowHdr
     26854)
     26855*1074 (TitleRowHdr
     26856)
     26857*1075 (FilterRowHdr
     26858)
     26859*1076 (RefLabelColHdr
    2655626860tm "RefLabelColHdrMgr"
    2655726861)
    26558 *1068 (RowExpandColHdr
     26862*1077 (RowExpandColHdr
    2655926863tm "RowExpandColHdrMgr"
    2656026864)
    26561 *1069 (GroupColHdr
     26865*1078 (GroupColHdr
    2656226866tm "GroupColHdrMgr"
    2656326867)
    26564 *1070 (NameColHdr
     26868*1079 (NameColHdr
    2656526869tm "GenericNameColHdrMgr"
    2656626870)
    26567 *1071 (TypeColHdr
     26871*1080 (TypeColHdr
    2656826872tm "GenericTypeColHdrMgr"
    2656926873)
    26570 *1072 (InitColHdr
     26874*1081 (InitColHdr
    2657126875tm "GenericValueColHdrMgr"
    2657226876)
    26573 *1073 (PragmaColHdr
     26877*1082 (PragmaColHdr
    2657426878tm "GenericPragmaColHdrMgr"
    2657526879)
    26576 *1074 (EolColHdr
     26880*1083 (EolColHdr
    2657726881tm "GenericEolColHdrMgr"
    2657826882)
    26579 *1075 (LogGeneric
     26883*1084 (LogGeneric
    2658026884generic (GiElement
    2658126885name "RAMADDRWIDTH64b"
     
    2659226896uid 95,0
    2659326897optionalChildren [
    26594 *1076 (Sheet
     26898*1085 (Sheet
    2659526899sheetRow (SheetRow
    2659626900headerVa (MVa
     
    2660926913font "Tahoma,10,0"
    2661026914)
    26611 emptyMRCItem *1077 (MRCItem
    26612 litem &1063
     26915emptyMRCItem *1086 (MRCItem
     26916litem &1072
    2661326917pos 1
    2661426918dimension 20
     
    2661626920uid 97,0
    2661726921optionalChildren [
    26618 *1078 (MRCItem
    26619 litem &1064
     26922*1087 (MRCItem
     26923litem &1073
    2662026924pos 0
    2662126925dimension 20
    2662226926uid 98,0
    2662326927)
    26624 *1079 (MRCItem
    26625 litem &1065
     26928*1088 (MRCItem
     26929litem &1074
    2662626930pos 1
    2662726931dimension 23
    2662826932uid 99,0
    2662926933)
    26630 *1080 (MRCItem
    26631 litem &1066
     26934*1089 (MRCItem
     26935litem &1075
    2663226936pos 2
    2663326937hidden 1
     
    2663526939uid 100,0
    2663626940)
    26637 *1081 (MRCItem
    26638 litem &1075
     26941*1090 (MRCItem
     26942litem &1084
    2663926943pos 0
    2664026944dimension 20
     
    2665226956uid 101,0
    2665326957optionalChildren [
    26654 *1082 (MRCItem
    26655 litem &1067
     26958*1091 (MRCItem
     26959litem &1076
    2665626960pos 0
    2665726961dimension 20
    2665826962uid 102,0
    2665926963)
    26660 *1083 (MRCItem
    26661 litem &1069
     26964*1092 (MRCItem
     26965litem &1078
    2666226966pos 1
    2666326967dimension 50
    2666426968uid 103,0
    2666526969)
    26666 *1084 (MRCItem
    26667 litem &1070
     26970*1093 (MRCItem
     26971litem &1079
    2666826972pos 2
    2666926973dimension 186
    2667026974uid 104,0
    2667126975)
    26672 *1085 (MRCItem
    26673 litem &1071
     26976*1094 (MRCItem
     26977litem &1080
    2667426978pos 3
    2667526979dimension 96
    2667626980uid 105,0
    2667726981)
    26678 *1086 (MRCItem
    26679 litem &1072
     26982*1095 (MRCItem
     26983litem &1081
    2668026984pos 4
    2668126985dimension 50
    2668226986uid 106,0
    2668326987)
    26684 *1087 (MRCItem
    26685 litem &1073
     26988*1096 (MRCItem
     26989litem &1082
    2668626990pos 5
    2668726991dimension 50
    2668826992uid 107,0
    2668926993)
    26690 *1088 (MRCItem
    26691 litem &1074
     26994*1097 (MRCItem
     26995litem &1083
    2669226996pos 6
    2669326997dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak

    r10138 r10155  
    333333(vvPair
    334334variable "date"
    335 value "08.02.2011"
     335value "14.02.2011"
    336336)
    337337(vvPair
    338338variable "day"
    339 value "Di"
     339value "Mo"
    340340)
    341341(vvPair
    342342variable "day_long"
    343 value "Dienstag"
     343value "Montag"
    344344)
    345345(vvPair
    346346variable "dd"
    347 value "08"
     347value "14"
    348348)
    349349(vvPair
     
    485485(vvPair
    486486variable "time"
    487 value "11:05:26"
     487value "17:05:04"
    488488)
    489489(vvPair
     
    576576font "Courier New,8,0"
    577577)
    578 xt "-172000,106000,-128500,106800"
    579 st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
    580 "
     578xt "-172000,106800,-128500,107600"
     579st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\""
    581580)
    582581)
     
    596595)
    597596xt "-172000,42800,-132000,43600"
    598 st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    599 "
     597st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    600598)
    601599)
     
    615613)
    616614xt "-172000,62000,-139500,62800"
    617 st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)
    618 "
     615st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)"
    619616)
    620617)
     
    634631)
    635632xt "-172000,80400,-132000,81200"
    636 st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
    637 "
     633st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
    638634)
    639635)
     
    653649)
    654650xt "-172000,81200,-139500,82000"
    655 st "SIGNAL ram_data               : std_logic_vector(15 downto 0)
    656 "
     651st "SIGNAL ram_data               : std_logic_vector(15 downto 0)"
    657652)
    658653)
     
    672667)
    673668xt "-172000,34400,-132000,35200"
    674 st "wiz_reset              : std_logic                                    := '1'
    675 "
     669st "wiz_reset              : std_logic                                    := '1'"
    676670)
    677671)
     
    691685)
    692686xt "-172000,32000,-143500,32800"
    693 st "wiz_addr               : std_logic_vector(9 DOWNTO 0)
    694 "
     687st "wiz_addr               : std_logic_vector(9 DOWNTO 0)"
    695688)
    696689)
     
    710703)
    711704xt "-172000,36800,-143000,37600"
    712 st "wiz_data               : std_logic_vector(15 DOWNTO 0)
    713 "
     705st "wiz_data               : std_logic_vector(15 DOWNTO 0)"
    714706)
    715707)
     
    729721)
    730722xt "-172000,32800,-132000,33600"
    731 st "wiz_cs                 : std_logic                                    := '1'
    732 "
     723st "wiz_cs                 : std_logic                                    := '1'"
    733724)
    734725)
     
    748739)
    749740xt "-172000,35200,-132000,36000"
    750 st "wiz_wr                 : std_logic                                    := '1'
    751 "
     741st "wiz_wr                 : std_logic                                    := '1'"
    752742)
    753743)
     
    767757)
    768758xt "-172000,33600,-132000,34400"
    769 st "wiz_rd                 : std_logic                                    := '1'
    770 "
     759st "wiz_rd                 : std_logic                                    := '1'"
    771760)
    772761)
     
    785774)
    786775xt "-172000,13600,-153500,14400"
    787 st "wiz_int                : std_logic
    788 "
     776st "wiz_int                : std_logic"
    789777)
    790778)
     
    28822870)
    28832871xt "-172000,9600,-143500,10400"
    2884 st "board_id               : std_logic_vector(3 DOWNTO 0)
    2885 "
     2872st "board_id               : std_logic_vector(3 DOWNTO 0)"
    28862873)
    28872874)
     
    29022889)
    29032890xt "-172000,12800,-153500,13600"
    2904 st "trigger                : std_logic
    2905 "
     2891st "trigger                : std_logic"
    29062892)
    29072893)
     
    47014687)
    47024688xt "-172000,10400,-143500,11200"
    4703 st "crate_id               : std_logic_vector(1 DOWNTO 0)
    4704 "
     4689st "crate_id               : std_logic_vector(1 DOWNTO 0)"
    47054690)
    47064691)
     
    49264911)
    49274912xt "-172000,98800,-139500,99600"
    4928 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
    4929 "
     4913st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)"
    49304914)
    49314915)
     
    49474931)
    49484932xt "-172000,82000,-132000,82800"
    4949 st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    4950 "
     4933st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    49514934)
    49524935)
     
    57995782font "Courier New,8,0"
    58005783)
    5801 xt "-172000,100400,-149500,101200"
    5802 st "SIGNAL wiz_busy               : std_logic
    5803 "
     5784xt "-172000,101200,-149500,102000"
     5785st "SIGNAL wiz_busy               : std_logic"
    58045786)
    58055787)
     
    58195801font "Courier New,8,0"
    58205802)
    5821 xt "-172000,102800,-128500,103600"
    5822 st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
    5823 "
     5803xt "-172000,103600,-128500,104400"
     5804st "SIGNAL wiz_write_ea           : std_logic                                    := '0'"
    58245805)
    58255806)
     
    58405821font "Courier New,8,0"
    58415822)
    5842 xt "-172000,105200,-122500,106000"
    5843 st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
    5844 "
     5823xt "-172000,106000,-122500,106800"
     5824st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')"
    58455825)
    58465826)
     
    58625842font "Courier New,8,0"
    58635843)
    5864 xt "-172000,102000,-122500,102800"
    5865 st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
    5866 "
     5844xt "-172000,102800,-122500,103600"
     5845st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
    58675846)
    58685847)
     
    58835862font "Courier New,8,0"
    58845863)
    5885 xt "-172000,101200,-122500,102000"
    5886 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
    5887 "
     5864xt "-172000,102000,-122500,102800"
     5865st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')"
    58885866)
    58895867)
     
    59035881font "Courier New,8,0"
    59045882)
    5905 xt "-172000,103600,-128500,104400"
    5906 st "SIGNAL wiz_write_end          : std_logic                                    := '0'
    5907 "
     5883xt "-172000,104400,-128500,105200"
     5884st "SIGNAL wiz_write_end          : std_logic                                    := '0'"
    59085885)
    59095886)
     
    59235900font "Courier New,8,0"
    59245901)
    5925 xt "-172000,104400,-128500,105200"
    5926 st "SIGNAL wiz_write_header       : std_logic                                    := '0'
    5927 "
     5902xt "-172000,105200,-128500,106000"
     5903st "SIGNAL wiz_write_header       : std_logic                                    := '0'"
    59285904)
    59295905)
     
    59425918)
    59435919xt "-172000,82800,-149500,83600"
    5944 st "SIGNAL ram_write_ea           : std_logic
    5945 "
     5920st "SIGNAL ram_write_ea           : std_logic"
    59465921)
    59475922)
     
    59615936)
    59625937xt "-172000,83600,-128500,84400"
    5963 st "SIGNAL ram_write_ready        : std_logic                                    := '0'
    5964 "
     5938st "SIGNAL ram_write_ready        : std_logic                                    := '0'"
    59655939)
    59665940)
     
    59805954)
    59815955xt "-172000,54800,-128500,55600"
    5982 st "SIGNAL config_start           : std_logic                                    := '0'
    5983 "
     5956st "SIGNAL config_start           : std_logic                                    := '0'"
    59845957)
    59855958)
     
    59985971)
    59995972xt "-172000,49200,-149500,50000"
    6000 st "SIGNAL config_ready           : std_logic
    6001 "
     5973st "SIGNAL config_ready           : std_logic"
    60025974)
    60035975)
     
    60165988)
    60175989xt "-172000,86800,-148000,87600"
    6018 st "SIGNAL roi_max                : roi_max_type
    6019 "
     5990st "SIGNAL roi_max                : roi_max_type"
    60205991)
    60215992)
     
    60356006)
    60366007xt "-172000,77200,-139500,78000"
    6037 st "SIGNAL package_length         : std_logic_vector(15 downto 0)
    6038 "
     6008st "SIGNAL package_length         : std_logic_vector(15 downto 0)"
    60396009)
    60406010)
     
    60546024)
    60556025xt "-172000,19200,-132000,20000"
    6056 st "adc_oeb                : std_logic                                    := '1'
    6057 "
     6026st "adc_oeb                : std_logic                                    := '1'"
    60586027)
    60596028)
     
    61626131)
    61636132xt "-172000,86000,-147000,86800"
    6164 st "SIGNAL roi_array              : roi_array_type
    6165 "
     6133st "SIGNAL roi_array              : roi_array_type"
    61666134)
    61676135)
     
    65966564)
    65976565xt "-172000,14400,-153500,15200"
    6598 st "CLK_25_PS              : std_logic
    6599 "
     6566st "CLK_25_PS              : std_logic"
    66006567)
    66016568)
     
    66596626)
    66606627xt "-172000,15200,-153500,16000"
    6661 st "CLK_50                 : std_logic
    6662 "
     6628st "CLK_50                 : std_logic"
    66636629)
    66646630)
     
    66776643)
    66786644xt "-172000,39600,-149500,40400"
    6679 st "SIGNAL CLK_25                 : std_logic
    6680 "
     6645st "SIGNAL CLK_25                 : std_logic"
    66816646)
    66826647)
     
    67406705)
    67416706xt "-172000,3200,-153500,4000"
    6742 st "CLK                    : std_logic
    6743 "
     6707st "CLK                    : std_logic"
    67446708)
    67456709)
     
    67596723)
    67606724xt "-172000,8800,-143500,9600"
    6761 st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)
    6762 "
     6725st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)"
    67636726)
    67646727)
     
    67776740)
    67786741xt "-172000,8000,-148000,8800"
    6779 st "adc_data_array         : adc_data_array_type
    6780 "
     6742st "adc_data_array         : adc_data_array_type"
    67816743)
    67826744)
     
    68416803)
    68426804xt "-172000,66800,-128500,67600"
    6843 st "SIGNAL drs_clk_en             : std_logic                                    := '0'
    6844 "
     6805st "SIGNAL drs_clk_en             : std_logic                                    := '0'"
    68456806)
    68466807)
     
    68596820)
    68606821xt "-172000,73200,-143500,74000"
    6861 st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type
    6862 "
     6822st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type"
    68636823)
    68646824)
     
    68786838)
    68796839xt "-172000,67600,-128500,68400"
    6880 st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'
    6881 "
     6840st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'"
    68826841)
    68836842)
     
    68986857)
    68996858xt "-172000,25600,-126000,26400"
    6900 st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')
    6901 "
     6859st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')"
    69026860)
    69036861)
     
    69176875)
    69186876xt "-172000,26400,-132000,27200"
    6919 st "drs_dwrite             : std_logic                                    := '1'
    6920 "
     6877st "drs_dwrite             : std_logic                                    := '1'"
    69216878)
    69226879)
     
    70256982)
    70266983xt "-172000,4800,-153500,5600"
    7027 st "SROUT_in_0             : std_logic
    7028 "
     6984st "SROUT_in_0             : std_logic"
    70296985)
    70306986)
     
    70436999)
    70447000xt "-172000,5600,-153500,6400"
    7045 st "SROUT_in_1             : std_logic
    7046 "
     7001st "SROUT_in_1             : std_logic"
    70477002)
    70487003)
     
    70617016)
    70627017xt "-172000,6400,-153500,7200"
    7063 st "SROUT_in_2             : std_logic
    7064 "
     7018st "SROUT_in_2             : std_logic"
    70657019)
    70667020)
     
    70797033)
    70807034xt "-172000,7200,-153500,8000"
    7081 st "SROUT_in_3             : std_logic
    7082 "
     7035st "SROUT_in_3             : std_logic"
    70837036)
    70847037)
     
    72777230)
    72787231xt "-172000,68400,-149500,69200"
    7279 st "SIGNAL drs_read_s_cell_ready  : std_logic
    7280 "
     7232st "SIGNAL drs_read_s_cell_ready  : std_logic"
    72817233)
    72827234)
     
    79337885)
    79347886xt "-172000,16000,-132000,16800"
    7935 st "RSRLOAD                : std_logic                                    := '0'
    7936 "
     7887st "RSRLOAD                : std_logic                                    := '0'"
    79377888)
    79387889)
     
    79977948)
    79987949xt "-172000,16800,-132000,17600"
    7999 st "SRCLK                  : std_logic                                    := '0'
    8000 "
     7950st "SRCLK                  : std_logic                                    := '0'"
    80017951)
    80027952)
     
    86518601)
    86528602xt "-172000,45200,-140000,46000"
    8653 st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)
    8654 "
     8603st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)"
    86558604)
    86568605)
     
    86698618)
    86708619xt "-172000,47600,-149500,48400"
    8671 st "SIGNAL config_data_valid      : std_logic
    8672 "
     8620st "SIGNAL config_data_valid      : std_logic"
    86738621)
    86748622)
     
    86878635)
    86888636xt "-172000,46000,-149500,46800"
    8689 st "SIGNAL config_busy            : std_logic
    8690 "
     8637st "SIGNAL config_busy            : std_logic"
    86918638)
    86928639)
     
    87068653)
    87078654xt "-172000,46800,-139500,47600"
    8708 st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)
    8709 "
     8655st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)"
    87108656)
    87118657)
     
    87248670)
    87258671xt "-172000,60400,-149500,61200"
    8726 st "SIGNAL config_wr_en           : std_logic
    8727 "
     8672st "SIGNAL config_wr_en           : std_logic"
    87288673)
    87298674)
     
    87428687)
    87438688xt "-172000,48400,-149500,49200"
    8744 st "SIGNAL config_rd_en           : std_logic
    8745 "
     8689st "SIGNAL config_rd_en           : std_logic"
    87468690)
    87478691)
     
    87608704)
    87618705xt "-172000,61200,-147000,62000"
    8762 st "SIGNAL dac_array              : dac_array_type
    8763 "
     8706st "SIGNAL dac_array              : dac_array_type"
    87648707)
    87658708)
     
    87788721)
    87798722xt "-172000,55600,-149500,56400"
    8780 st "SIGNAL config_start_cm        : std_logic
    8781 "
     8723st "SIGNAL config_start_cm        : std_logic"
    87828724)
    87838725)
     
    87968738)
    87978739xt "-172000,50000,-149500,50800"
    8798 st "SIGNAL config_ready_cm        : std_logic
    8799 "
     8740st "SIGNAL config_ready_cm        : std_logic"
    88008741)
    88018742)
     
    88178758)
    88188759xt "-172000,28000,-126000,28800"
    8819 st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
    8820 "
     8760st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    88218761)
    88228762)
     
    88358775)
    88368776xt "-172000,91600,-149500,92400"
    8837 st "SIGNAL sensor_ready           : std_logic
    8838 "
     8777st "SIGNAL sensor_ready           : std_logic"
    88398778)
    88408779)
     
    88538792)
    88548793xt "-172000,90800,-145500,91600"
    8855 st "SIGNAL sensor_array           : sensor_array_type
    8856 "
     8794st "SIGNAL sensor_array           : sensor_array_type"
    88578795)
    88588796)
     
    88718809)
    88728810xt "-172000,50800,-149500,51600"
    8873 st "SIGNAL config_ready_spi       : std_logic
    8874 "
     8811st "SIGNAL config_ready_spi       : std_logic"
    88758812)
    88768813)
     
    88918828)
    88928829xt "-172000,42000,-140000,42800"
    8893 st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)
    8894 "
     8830st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)"
    88958831)
    88968832)
     
    89098845)
    89108846xt "-172000,41200,-144500,42000"
    8911 st "SIGNAL adc_data_array_int     : adc_data_array_type
    8912 "
     8847st "SIGNAL adc_data_array_int     : adc_data_array_type"
    89138848)
    89148849)
     
    92009135)
    92019136xt "-172000,56400,-128500,57200"
    9202 st "SIGNAL config_start_spi       : std_logic                                    := '0'
    9203 "
     9137st "SIGNAL config_start_spi       : std_logic                                    := '0'"
    92049138)
    92059139)
     
    97349668)
    97359669xt "-172000,30400,-153500,31200"
    9736 st "sclk                   : std_logic
    9737 "
     9670st "sclk                   : std_logic"
    97389671)
    97399672)
     
    97549687)
    97559688xt "-172000,36000,-153500,36800"
    9756 st "sio                    : std_logic
    9757 "
     9689st "sio                    : std_logic"
    97589690)
    97599691)
     
    97729704)
    97739705xt "-172000,24000,-153500,24800"
    9774 st "dac_cs                 : std_logic
    9775 "
     9706st "dac_cs                 : std_logic"
    97769707)
    97779708)
     
    97919722)
    97929723xt "-172000,31200,-143500,32000"
    9793 st "sensor_cs              : std_logic_vector(3 DOWNTO 0)
    9794 "
     9724st "sensor_cs              : std_logic_vector(3 DOWNTO 0)"
    97959725)
    97969726)
     
    99909920)
    99919921xt "-172000,76400,-128500,77200"
    9992 st "SIGNAL new_config             : std_logic                                    := '0'
    9993 "
     9922st "SIGNAL new_config             : std_logic                                    := '0'"
    99949923)
    99959924)
     
    100089937)
    100099938xt "-172000,57200,-149500,58000"
    10010 st "SIGNAL config_started         : std_logic
    10011 "
     9939st "SIGNAL config_started         : std_logic"
    100129940)
    100139941)
     
    100279955)
    100289956xt "-172000,59600,-128500,60400"
    10029 st "SIGNAL config_started_spi     : std_logic                                    := '0'
    10030 "
     9957st "SIGNAL config_started_spi     : std_logic                                    := '0'"
    100319958)
    100329959)
     
    100469973)
    100479974xt "-172000,58000,-128500,58800"
    10048 st "SIGNAL config_started_cu      : std_logic                                    := '0'
    10049 "
     9975st "SIGNAL config_started_cu      : std_logic                                    := '0'"
    100509976)
    100519977)
     
    100649990)
    100659991xt "-172000,58800,-149500,59600"
    10066 st "SIGNAL config_started_mm      : std_logic
    10067 "
     9992st "SIGNAL config_started_mm      : std_logic"
    100689993)
    100699994)
     
    1008310008)
    1008410009xt "-172000,28800,-132000,29600"
    10085 st "mosi                   : std_logic                                    := '0'
    10086 "
     10010st "mosi                   : std_logic                                    := '0'"
    1008710011)
    1008810012)
     
    1014910073)
    1015010074xt "-172000,24800,-118500,25600"
    10151 st "denable                : std_logic                                    := '0' -- default domino wave off
    10152 "
     10075st "denable                : std_logic                                    := '0' -- default domino wave off"
    1015310076)
    1015410077)
     
    1021210135)
    1021310136xt "-172000,75600,-128500,76400"
    10214 st "SIGNAL dwrite_enable          : std_logic                                    := '1'
    10215 "
     10137st "SIGNAL dwrite_enable          : std_logic                                    := '1'"
    1021610138)
    1021710139)
     
    1060010522)
    1060110523xt "-172000,74800,-128500,75600"
    10602 st "SIGNAL dwrite                 : std_logic                                    := '1'
    10603 "
     10524st "SIGNAL dwrite                 : std_logic                                    := '1'"
    1060410525)
    1060510526)
     
    1097410895font "Courier New,8,0"
    1097510896)
    10976 xt "-172000,99600,-149500,100400"
    10977 st "SIGNAL wiz_ack                : std_logic
    10978 "
     10897xt "-172000,100400,-149500,101200"
     10898st "SIGNAL wiz_ack                : std_logic"
    1097910899)
    1098010900)
     
    1135911279)
    1136011280xt "-172000,89200,-149500,90000"
    11361 st "SIGNAL sclk1                  : std_logic
    11362 "
     11281st "SIGNAL sclk1                  : std_logic"
    1136311282)
    1136411283)
     
    1137711296)
    1137811297xt "-172000,90000,-149500,90800"
    11379 st "SIGNAL sclk_enable            : std_logic
    11380 "
     11298st "SIGNAL sclk_enable            : std_logic"
    1138111299)
    1138211300)
     
    1139611314)
    1139711315xt "-172000,18400,-132000,19200"
    11398 st "adc_clk_en             : std_logic                                    := '0'
    11399 "
     11316st "adc_clk_en             : std_logic                                    := '0'"
    1140011317)
    1140111318)
     
    1185111768)
    1185211769xt "-172000,78000,-113000,78800"
    11853 st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards
    11854 "
     11770st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards"
    1185511771)
    1185611772)
     
    1187311789)
    1187411790xt "-172000,78800,-112000,79600"
    11875 st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once
    11876 "
     11791st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once"
    1187711792)
    1187811793)
     
    1189411809)
    1189511810xt "-172000,79600,-104500,80400"
    11896 st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift
    11897 "
     11811st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
    1189811812)
    1189911813)
     
    1191311827)
    1191411828xt "-172000,94000,-128500,94800"
    11915 st "SIGNAL srclk_enable           : std_logic                                    := '0'
    11916 "
     11829st "SIGNAL srclk_enable           : std_logic                                    := '0'"
    1191711830)
    1191811831)
     
    1229812211)
    1229912212xt "-172000,40400,-128500,41200"
    12300 st "SIGNAL SRCLK1                 : std_logic                                    := '0'
    12301 "
     12213st "SIGNAL SRCLK1                 : std_logic                                    := '0'"
    1230212214)
    1230312215)
     
    1232112233xt "-172000,51600,-128500,53200"
    1232212234st "-- --
    12323 SIGNAL config_rw_ack          : std_logic                                    := '0'
    12324 "
     12235SIGNAL config_rw_ack          : std_logic                                    := '0'"
    1232512236)
    1232612237)
     
    1234412255xt "-172000,53200,-128500,54800"
    1234512256st "-- --
    12346 SIGNAL config_rw_ready        : std_logic                                    := '0'
    12347 "
     12257SIGNAL config_rw_ready        : std_logic                                    := '0'"
    1234812258)
    1234912259)
     
    1236212272)
    1236312273xt "-172000,87600,-149500,88400"
    12364 st "SIGNAL s_trigger              : std_logic
    12365 "
     12274st "SIGNAL s_trigger              : std_logic"
    1236612275)
    1236712276)
     
    1238012289)
    1238112290xt "-172000,96400,-149500,97200"
    12382 st "SIGNAL start_srin_write_8b    : std_logic
    12383 "
     12291st "SIGNAL start_srin_write_8b    : std_logic"
    1238412292)
    1238512293)
     
    1239912307)
    1240012308xt "-172000,94800,-128500,95600"
    12401 st "SIGNAL srin_write_ack         : std_logic                                    := '0'
    12402 "
     12309st "SIGNAL srin_write_ack         : std_logic                                    := '0'"
    1240312310)
    1240412311)
     
    1241812325)
    1241912326xt "-172000,95600,-128500,96400"
    12420 st "SIGNAL srin_write_ready       : std_logic                                    := '0'
    12421 "
     12327st "SIGNAL srin_write_ready       : std_logic                                    := '0'"
    1242212328)
    1242312329)
     
    1243812344)
    1243912345xt "-172000,74000,-122500,74800"
    12440 st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')
    12441 "
     12346st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')"
    1244212347)
    1244312348)
     
    1245712362)
    1245812363xt "-172000,17600,-132000,18400"
    12459 st "SRIN_out               : std_logic                                    := '0'
    12460 "
     12364st "SRIN_out               : std_logic                                    := '0'"
    1246112365)
    1246212366)
     
    1298012884xt "-172000,84400,-128500,86000"
    1298112885st "-- --
    12982 SIGNAL ram_write_ready_ack    : std_logic                                    := '0'
    12983 "
     12886SIGNAL ram_write_ready_ack    : std_logic                                    := '0'"
    1298412887)
    1298512888)
     
    1299812901)
    1299912902xt "-172000,92400,-149500,93200"
    13000 st "SIGNAL socks_connected        : std_logic
    13001 "
     12903st "SIGNAL socks_connected        : std_logic"
    1300212904)
    1300312905)
     
    1301612918)
    1301712919xt "-172000,93200,-149500,94000"
    13018 st "SIGNAL socks_waiting          : std_logic
    13019 "
     12920st "SIGNAL socks_waiting          : std_logic"
    1302012921)
    1302112922)
     
    1303412935)
    1303512936xt "-172000,27200,-153500,28000"
    13036 st "green                  : std_logic
    13037 "
     12937st "green                  : std_logic"
    1303812938)
    1303912939)
     
    1309612996)
    1309712997xt "-172000,22400,-153500,23200"
    13098 st "amber                  : std_logic
    13099 "
     12998st "amber                  : std_logic"
    1310012999)
    1310113000)
     
    1315813057)
    1315913058xt "-172000,29600,-153500,30400"
    13160 st "red                    : std_logic
    13161 "
     13059st "red                    : std_logic"
    1316213060)
    1316313061)
     
    1359913497)
    1360013498xt "-172000,72400,-149500,73200"
    13601 st "SIGNAL drs_readout_started    : std_logic
    13602 "
     13499st "SIGNAL drs_readout_started    : std_logic"
    1360313500)
    1360413501)
     
    1361713514)
    1361813515xt "-172000,98000,-149500,98800"
    13619 st "SIGNAL trigger_enable         : std_logic
    13620 "
     13516st "SIGNAL trigger_enable         : std_logic"
    1362113517)
    1362213518)
     
    1400613902)
    1400713903xt "-172000,65200,-149500,66000"
    14008 st "SIGNAL dout                   : std_logic
    14009 "
     13904st "SIGNAL dout                   : std_logic"
    1401013905)
    1401113906)
     
    1432014215)
    1432114216xt "-172000,66000,-149500,66800"
    14322 st "SIGNAL dout1                  : std_logic
    14323 "
     14217st "SIGNAL dout1                  : std_logic"
    1432414218)
    1432514219)
     
    1434514239st "-- --
    1434614240--      drs_dwrite : out std_logic := '1';
    14347 SIGNAL drs_readout_ready      : std_logic                                    := '0'
    14348 "
     14241SIGNAL drs_readout_ready      : std_logic                                    := '0'"
    1434914242)
    1435014243)
     
    1436314256)
    1436414257xt "-172000,71600,-149500,72400"
    14365 st "SIGNAL drs_readout_ready_ack  : std_logic
    14366 "
     14258st "SIGNAL drs_readout_ready_ack  : std_logic"
    1436714259)
    1436814260)
     
    1438114273)
    1438214274xt "-172000,20000,-153500,20800"
    14383 st "additional_flasher_out : std_logic
    14384 "
     14275st "additional_flasher_out : std_logic"
    1438514276)
    1438614277)
     
    1467414565)
    1467514566xt "-172000,43600,-128500,44400"
    14676 st "SIGNAL c_trigger_enable       : std_logic                                    := '0'
    14677 "
     14567st "SIGNAL c_trigger_enable       : std_logic                                    := '0'"
    1467814568)
    1467914569)
     
    1469614586)
    1469714587xt "-172000,44400,-112000,45200"
    14698 st "SIGNAL c_trigger_mult         : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '1') --subject to changes
    14699 "
     14588st "SIGNAL c_trigger_mult         : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '1') --subject to changes"
    1470014589)
    1470114590)
     
    1471414603)
    1471514604xt "-172000,88400,-149500,89200"
    14716 st "SIGNAL s_trigger_0            : std_logic
    14717 "
     14605st "SIGNAL s_trigger_0            : std_logic"
    1471814606)
    1471914607)
     
    1473214620)
    1473314621xt "-172000,97200,-149500,98000"
    14734 st "SIGNAL trigger1               : std_logic
    14735 "
     14622st "SIGNAL trigger1               : std_logic"
    1473614623)
    1473714624)
     
    1524715134)
    1524815135xt "-172000,4000,-143500,4800"
    15249 st "D_T_in                 : std_logic_vector(1 DOWNTO 0)
    15250 "
     15136st "D_T_in                 : std_logic_vector(1 DOWNTO 0)"
    1525115137)
    1525215138)
     
    1531115197)
    1531215198xt "-172000,11200,-121500,12000"
    15313 st "drs_refclk_in          : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit
    15314 "
     15199st "drs_refclk_in          : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
    1531515200)
    1531615201)
     
    1537615261)
    1537715262xt "-172000,12000,-114000,12800"
    15378 st "plllock_in             : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked
    15379 "
     15263st "plllock_in             : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked"
    1538015264)
    1538115265)
     
    1566015544)
    1566115545xt "-172000,20800,-118500,21600"
    15662 st "alarm_refclk_too_high  : std_logic                                    := '0' -- default domino wave off
    15663 "
     15546st "alarm_refclk_too_high  : std_logic                                    := '0' -- default domino wave off"
    1566415547)
    1566515548)
     
    1572515608)
    1572615609xt "-172000,21600,-118500,22400"
    15727 st "alarm_refclk_too_low   : std_logic                                    := '0' -- default domino wave off
    15728 "
     15610st "alarm_refclk_too_low   : std_logic                                    := '0' -- default domino wave off"
    1572915611)
    1573015612)
     
    1578915671)
    1579015672xt "-172000,23200,-126000,24000"
    15791 st "counter_result         : std_logic_vector(11 downto 0)                := (others => '0')
    15792 "
     15673st "counter_result         : std_logic_vector(11 downto 0)                := (others => '0')"
    1579315674)
    1579415675)
     
    1694616827)
    1694716828xt "-172000,63600,-115000,64400"
    16948 st "SIGNAL denable_prim           : std_logic                                    := '0' -- default domino wave off
    16949 "
     16829st "SIGNAL denable_prim           : std_logic                                    := '0' -- default domino wave off"
    1695016830)
    1695116831)
     
    1696716847)
    1696816848xt "-172000,64400,-115000,65200"
    16969 st "SIGNAL din1                   : std_logic                                    := '0' -- default domino wave off
    16970 "
     16849st "SIGNAL din1                   : std_logic                                    := '0' -- default domino wave off"
    1697116850)
    1697216851)
     
    1698816867)
    1698916868xt "-172000,62800,-115000,63600"
    16990 st "SIGNAL denable_inhibit        : std_logic                                    := '0' -- default domino wave off
    16991 "
    16992 )
    16993 )
    16994 *598 (Wire
     16869st "SIGNAL denable_inhibit        : std_logic                                    := '0' -- default domino wave off"
     16870)
     16871)
     16872*598 (Net
     16873uid 15492,0
     16874decl (Decl
     16875n "trigger_out"
     16876t "std_logic"
     16877o 123
     16878suid 301,0
     16879i "'0'"
     16880)
     16881declText (MLText
     16882uid 15493,0
     16883va (VaSet
     16884font "Courier New,8,0"
     16885)
     16886xt "-172000,99600,-128500,100400"
     16887st "SIGNAL trigger_out            : std_logic                                    := '0'"
     16888)
     16889)
     16890*599 (Wire
    1699516891uid 322,0
    1699616892shape (OrthoPolyLine
     
    1703116927on &2
    1703216928)
    17033 *599 (Wire
     16929*600 (Wire
    1703416930uid 328,0
    1703516931shape (OrthoPolyLine
     
    1707016966on &3
    1707116967)
    17072 *600 (Wire
     16968*601 (Wire
    1707316969uid 334,0
    1707416970shape (OrthoPolyLine
     
    1710917005on &4
    1711017006)
    17111 *601 (Wire
     17007*602 (Wire
    1711217008uid 364,0
    1711317009shape (OrthoPolyLine
     
    1714917045on &5
    1715017046)
    17151 *602 (Wire
     17047*603 (Wire
    1715217048uid 370,0
    1715317049shape (OrthoPolyLine
     
    1718917085on &6
    1719017086)
    17191 *603 (Wire
     17087*604 (Wire
    1719217088uid 376,0
    1719317089shape (OrthoPolyLine
     
    1722717123on &7
    1722817124)
    17229 *604 (Wire
     17125*605 (Wire
    1723017126uid 384,0
    1723117127shape (OrthoPolyLine
     
    1726717163on &8
    1726817164)
    17269 *605 (Wire
     17165*606 (Wire
    1727017166uid 392,0
    1727117167shape (OrthoPolyLine
     
    1730717203on &9
    1730817204)
    17309 *606 (Wire
     17205*607 (Wire
    1731017206uid 400,0
    1731117207shape (OrthoPolyLine
     
    1734517241on &10
    1734617242)
    17347 *607 (Wire
     17243*608 (Wire
    1734817244uid 408,0
    1734917245shape (OrthoPolyLine
     
    1738317279on &11
    1738417280)
    17385 *608 (Wire
     17281*609 (Wire
    1738617282uid 424,0
    1738717283shape (OrthoPolyLine
     
    1742117317on &12
    1742217318)
    17423 *609 (Wire
     17319*610 (Wire
    1742417320uid 432,0
    1742517321shape (OrthoPolyLine
     
    1745917355on &13
    1746017356)
    17461 *610 (Wire
     17357*611 (Wire
    1746217358uid 1411,0
    1746317359shape (OrthoPolyLine
     
    1749817394on &71
    1749917395)
    17500 *611 (Wire
     17396*612 (Wire
    1750117397uid 1425,0
    1750217398shape (OrthoPolyLine
     
    1753717433on &72
    1753817434)
    17539 *612 (Wire
     17435*613 (Wire
    1754017436uid 1682,0
    1754117437shape (OrthoPolyLine
     
    1757617472on &122
    1757717473)
    17578 *613 (Wire
     17474*614 (Wire
    1757917475uid 1983,0
    1758017476shape (OrthoPolyLine
     
    1761517511on &130
    1761617512)
    17617 *614 (Wire
     17513*615 (Wire
    1761817514uid 2299,0
    1761917515shape (OrthoPolyLine
     
    1765317549on &131
    1765417550)
    17655 *615 (Wire
     17551*616 (Wire
    1765617552uid 2470,0
    1765717553shape (OrthoPolyLine
     
    1768917585on &155
    1769017586)
    17691 *616 (Wire
     17587*617 (Wire
    1769217588uid 2476,0
    1769317589shape (OrthoPolyLine
     
    1772517621on &156
    1772617622)
    17727 *617 (Wire
     17623*618 (Wire
    1772817624uid 2482,0
    1772917625shape (OrthoPolyLine
     
    1776317659on &157
    1776417660)
    17765 *618 (Wire
     17661*619 (Wire
    1776617662uid 2488,0
    1776717663shape (OrthoPolyLine
     
    1780117697on &158
    1780217698)
    17803 *619 (Wire
     17699*620 (Wire
    1780417700uid 2494,0
    1780517701shape (OrthoPolyLine
     
    1783917735on &159
    1784017736)
    17841 *620 (Wire
     17737*621 (Wire
    1784217738uid 2500,0
    1784317739shape (OrthoPolyLine
     
    1787517771on &160
    1787617772)
    17877 *621 (Wire
     17773*622 (Wire
    1787817774uid 2506,0
    1787917775shape (OrthoPolyLine
     
    1791117807on &161
    1791217808)
    17913 *622 (Wire
     17809*623 (Wire
    1791417810uid 2576,0
    1791517811shape (OrthoPolyLine
     
    1794717843on &162
    1794817844)
    17949 *623 (Wire
     17845*624 (Wire
    1795017846uid 2582,0
    1795117847shape (OrthoPolyLine
     
    1798317879on &163
    1798417880)
    17985 *624 (Wire
     17881*625 (Wire
    1798617882uid 2588,0
    1798717883shape (OrthoPolyLine
     
    1802017916on &164
    1802117917)
    18022 *625 (Wire
     17918*626 (Wire
    1802317919uid 2594,0
    1802417920shape (OrthoPolyLine
     
    1805617952on &165
    1805717953)
    18058 *626 (Wire
     17954*627 (Wire
    1805917955uid 2600,0
    1806017956shape (OrthoPolyLine
     
    1809217988on &166
    1809317989)
    18094 *627 (Wire
     17990*628 (Wire
    1809517991uid 2642,0
    1809617992shape (OrthoPolyLine
     
    1813018026on &167
    1813118027)
    18132 *628 (Wire
     18028*629 (Wire
    1813318029uid 2778,0
    1813418030shape (OrthoPolyLine
     
    1816818064on &168
    1816918065)
    18170 *629 (Wire
     18066*630 (Wire
    1817118067uid 2786,0
    1817218068shape (OrthoPolyLine
     
    1820818104on &191
    1820918105)
    18210 *630 (Wire
     18106*631 (Wire
    1821118107uid 3888,0
    1821218108optionalChildren [
    18213 *631 (BdJunction
     18109*632 (BdJunction
    1821418110uid 4230,0
    1821518111ps "OnConnectorStrategy"
     
    1822318119)
    1822418120)
    18225 *632 (BdJunction
     18121*633 (BdJunction
    1822618122uid 4244,0
    1822718123ps "OnConnectorStrategy"
     
    1827418170on &187
    1827518171)
    18276 *633 (Wire
     18172*634 (Wire
    1827718173uid 3984,0
    1827818174shape (OrthoPolyLine
     
    1831518211on &185
    1831618212)
    18317 *634 (Wire
     18213*635 (Wire
    1831818214uid 4042,0
    1831918215shape (OrthoPolyLine
     
    1835318249on &190
    1835418250)
    18355 *635 (Wire
     18251*636 (Wire
    1835618252uid 4226,0
    1835718253shape (OrthoPolyLine
     
    1836918265)
    1837018266start &189
    18371 end &631
     18267end &632
    1837218268sat 32
    1837318269eat 32
     
    1839318289on &187
    1839418290)
    18395 *636 (Wire
     18291*637 (Wire
    1839618292uid 4240,0
    1839718293shape (OrthoPolyLine
     
    1840918305)
    1841018306start &335
    18411 end &632
     18307end &633
    1841218308sat 32
    1841318309eat 32
     
    1843218328on &187
    1843318329)
    18434 *637 (Wire
     18330*638 (Wire
    1843518331uid 4272,0
    1843618332shape (OrthoPolyLine
     
    1847018366on &192
    1847118367)
    18472 *638 (Wire
     18368*639 (Wire
    1847318369uid 4401,0
    1847418370shape (OrthoPolyLine
     
    1850818404on &194
    1850918405)
    18510 *639 (Wire
     18406*640 (Wire
    1851118407uid 4407,0
    1851218408shape (OrthoPolyLine
     
    1854618442on &195
    1854718443)
    18548 *640 (Wire
     18444*641 (Wire
    1854918445uid 4419,0
    1855018446shape (OrthoPolyLine
     
    1858418480on &196
    1858518481)
    18586 *641 (Wire
     18482*642 (Wire
    1858718483uid 4537,0
    1858818484shape (OrthoPolyLine
     
    1862418520on &197
    1862518521)
    18626 *642 (Wire
     18522*643 (Wire
    1862718523uid 4545,0
    1862818524shape (OrthoPolyLine
     
    1866118557on &198
    1866218558)
    18663 *643 (Wire
     18559*644 (Wire
    1866418560uid 4671,0
    1866518561shape (OrthoPolyLine
     
    1869918595on &201
    1870018596)
    18701 *644 (Wire
     18597*645 (Wire
    1870218598uid 4679,0
    1870318599shape (OrthoPolyLine
     
    1873718633on &202
    1873818634)
    18739 *645 (Wire
     18635*646 (Wire
    1874018636uid 4687,0
    1874118637shape (OrthoPolyLine
     
    1877518671on &203
    1877618672)
    18777 *646 (Wire
     18673*647 (Wire
    1877818674uid 4695,0
    1877918675shape (OrthoPolyLine
     
    1881318709on &204
    1881418710)
    18815 *647 (Wire
     18711*648 (Wire
    1881618712uid 4743,0
    1881718713shape (OrthoPolyLine
     
    1885118747on &209
    1885218748)
    18853 *648 (Wire
     18749*649 (Wire
    1885418750uid 4757,0
    1885518751optionalChildren [
    18856 *649 (BdJunction
     18752*650 (BdJunction
    1885718753uid 6076,0
    1885818754ps "OnConnectorStrategy"
     
    1886218758vasetType 1
    1886318759)
    18864 xt "-25400,40600,-24600,41400"
     18760xt "-26400,40600,-25600,41400"
    1886518761radius 400
    1886618762)
     
    1887318769lineColor "0,32896,0"
    1887418770)
    18875 xt "-62000,41000,-25000,48000"
     18771xt "-64000,41000,-26000,48000"
    1887618772pts [
    1887718773"-58750,48000"
    18878 "-62000,48000"
    18879 "-62000,41000"
    18880 "-25000,41000"
     18774"-64000,48000"
     18775"-64000,41000"
     18776"-26000,41000"
    1888118777]
    1888218778)
    1888318779start &211
    18884 end *650 (BdJunction
     18780end *651 (BdJunction
    1888518781uid 6080,0
    1888618782ps "OnConnectorStrategy"
     
    1889018786vasetType 1
    1889118787)
    18892 xt "-25400,40600,-24600,41400"
     18788xt "-26400,40600,-25600,41400"
    1889318789radius 400
    1889418790)
     
    1891618812on &188
    1891718813)
    18918 *651 (Wire
     18814*652 (Wire
    1891918815uid 4948,0
    1892018816shape (OrthoPolyLine
     
    1895418850on &230
    1895518851)
    18956 *652 (Wire
     18852*653 (Wire
    1895718853uid 4962,0
    1895818854shape (OrthoPolyLine
     
    1899218888on &232
    1899318889)
    18994 *653 (Wire
     18890*654 (Wire
    1899518891uid 5090,0
    1899618892shape (OrthoPolyLine
     
    1903118927on &252
    1903218928)
    19033 *654 (Wire
     18929*655 (Wire
    1903418930uid 5098,0
    1903518931shape (OrthoPolyLine
     
    1906518961on &253
    1906618962)
    19067 *655 (Wire
     18963*656 (Wire
    1906818964uid 5106,0
    1906918965shape (OrthoPolyLine
     
    1910218998on &254
    1910318999)
    19104 *656 (Wire
     19000*657 (Wire
    1910519001uid 5114,0
    1910619002shape (OrthoPolyLine
     
    1914119037on &255
    1914219038)
    19143 *657 (Wire
     19039*658 (Wire
    1914419040uid 5122,0
    1914519041shape (OrthoPolyLine
     
    1917819074on &256
    1917919075)
    19180 *658 (Wire
     19076*659 (Wire
    1918119077uid 5130,0
    1918219078shape (OrthoPolyLine
     
    1921519111on &257
    1921619112)
    19217 *659 (Wire
     19113*660 (Wire
    1921819114uid 5138,0
    1921919115optionalChildren [
    19220 *660 (BdJunction
     19116*661 (BdJunction
    1922119117uid 5400,0
    1922219118ps "OnConnectorStrategy"
     
    1926819164on &171
    1926919165)
    19270 *661 (Wire
     19166*662 (Wire
    1927119167uid 5146,0
    1927219168shape (OrthoPolyLine
     
    1930419200on &258
    1930519201)
    19306 *662 (Wire
     19202*663 (Wire
    1930719203uid 5168,0
    1930819204shape (OrthoPolyLine
     
    1931919215]
    1932019216)
    19321 start &660
     19217start &661
    1932219218end &147
    1932319219sat 32
     
    1934219238on &171
    1934319239)
    19344 *663 (Wire
     19240*664 (Wire
    1934519241uid 5184,0
    1934619242shape (OrthoPolyLine
     
    1937919275on &259
    1938019276)
    19381 *664 (Wire
     19277*665 (Wire
    1938219278uid 5190,0
    1938319279shape (OrthoPolyLine
     
    1941619312on &260
    1941719313)
    19418 *665 (Wire
     19314*666 (Wire
    1941919315uid 5222,0
    1942019316shape (OrthoPolyLine
     
    1945619352on &261
    1945719353)
    19458 *666 (Wire
     19354*667 (Wire
    1945919355uid 5404,0
    1946019356shape (OrthoPolyLine
     
    1949319389on &264
    1949419390)
    19495 *667 (Wire
     19391*668 (Wire
    1949619392uid 5474,0
    1949719393shape (OrthoPolyLine
     
    1953019426on &262
    1953119427)
    19532 *668 (Wire
     19428*669 (Wire
    1953319429uid 5480,0
    1953419430shape (OrthoPolyLine
     
    1956719463on &263
    1956819464)
    19569 *669 (Wire
     19465*670 (Wire
    1957019466uid 5582,0
    1957119467shape (OrthoPolyLine
     
    1960219498on &187
    1960319499)
    19604 *670 (Wire
     19500*671 (Wire
    1960519501uid 5602,0
    1960619502optionalChildren [
    19607 &650
     19503&651
    1960819504]
    1960919505shape (OrthoPolyLine
     
    1961319509lineColor "0,32896,0"
    1961419510)
    19615 xt "-25000,41000,36250,51000"
     19511xt "-26000,41000,36250,51000"
    1961619512pts [
    1961719513"-21750,51000"
    19618 "-25000,51000"
    19619 "-25000,41000"
     19514"-26000,51000"
     19515"-26000,41000"
    1962019516"28000,41000"
    1962119517"28000,47000"
     
    1964719543on &188
    1964819544)
    19649 *671 (Wire
     19545*672 (Wire
    1965019546uid 5626,0
    1965119547shape (OrthoPolyLine
     
    1968319579on &266
    1968419580)
    19685 *672 (Wire
     19581*673 (Wire
    1968619582uid 5634,0
    1968719583shape (OrthoPolyLine
     
    1972119617on &265
    1972219618)
    19723 *673 (Wire
     19619*674 (Wire
    1972419620uid 5646,0
    1972519621shape (OrthoPolyLine
     
    1975719653on &185
    1975819654)
    19759 *674 (Wire
     19655*675 (Wire
    1976019656uid 5745,0
    1976119657shape (OrthoPolyLine
     
    1979519691on &276
    1979619692)
    19797 *675 (Wire
     19693*676 (Wire
    1979819694uid 5805,0
    1979919695shape (OrthoPolyLine
     
    1982919725on &187
    1983019726)
    19831 *676 (Wire
     19727*677 (Wire
    1983219728uid 5813,0
    1983319729shape (OrthoPolyLine
     
    1986719763on &293
    1986819764)
    19869 *677 (Wire
     19765*678 (Wire
    1987019766uid 5821,0
    1987119767shape (OrthoPolyLine
     
    1990519801on &294
    1990619802)
    19907 *678 (Wire
     19803*679 (Wire
    1990819804uid 5829,0
    1990919805shape (OrthoPolyLine
     
    1994319839on &295
    1994419840)
    19945 *679 (Wire
     19841*680 (Wire
    1994619842uid 5837,0
    1994719843shape (OrthoPolyLine
     
    1998319879on &296
    1998419880)
    19985 *680 (Wire
     19881*681 (Wire
    1998619882uid 5950,0
    1998719883shape (OrthoPolyLine
     
    2002119917on &301
    2002219918)
    20023 *681 (Wire
     19919*682 (Wire
    2002419920uid 5962,0
    2002519921shape (OrthoPolyLine
     
    2005919955on &302
    2006019956)
    20061 *682 (Wire
     19957*683 (Wire
    2006219958uid 6002,0
    2006319959shape (OrthoPolyLine
     
    2009719993on &304
    2009819994)
    20099 *683 (Wire
     19995*684 (Wire
    2010019996uid 6008,0
    2010119997shape (OrthoPolyLine
     
    2013520031on &303
    2013620032)
    20137 *684 (Wire
     20033*685 (Wire
    2013820034uid 6018,0
    2013920035shape (OrthoPolyLine
     
    2017120067on &305
    2017220068)
    20173 *685 (Wire
     20069*686 (Wire
    2017420070uid 6064,0
    2017520071shape (OrthoPolyLine
     
    2020620102on &258
    2020720103)
    20208 *686 (Wire
     20104*687 (Wire
    2020920105uid 6072,0
    2021020106shape (OrthoPolyLine
     
    2021420110lineColor "0,32896,0"
    2021520111)
    20216 xt "-41250,23000,-25000,41000"
     20112xt "-41250,23000,-26000,41000"
    2021720113pts [
    2021820114"-41250,23000"
    20219 "-25000,23000"
    20220 "-25000,41000"
     20115"-26000,23000"
     20116"-26000,41000"
    2022120117]
    2022220118)
    2022320119start &366
    20224 end &649
     20120end &650
    2022520121sat 32
    2022620122eat 32
     
    2024520141on &188
    2024620142)
    20247 *687 (Wire
     20143*688 (Wire
    2024820144uid 6160,0
    2024920145shape (OrthoPolyLine
     
    2028320179on &306
    2028420180)
    20285 *688 (Wire
     20181*689 (Wire
    2028620182uid 6276,0
    2028720183shape (OrthoPolyLine
     
    2031720213on &185
    2031820214)
    20319 *689 (Wire
     20215*690 (Wire
    2032020216uid 6362,0
    2032120217shape (OrthoPolyLine
     
    2035620252on &308
    2035720253)
    20358 *690 (Wire
     20254*691 (Wire
    2035920255uid 6452,0
    2036020256shape (OrthoPolyLine
     
    2039220288on &310
    2039320289)
    20394 *691 (Wire
     20290*692 (Wire
    2039520291uid 6540,0
    2039620292shape (OrthoPolyLine
     
    2042920325on &329
    2043020326)
    20431 *692 (Wire
     20327*693 (Wire
    2043220328uid 6548,0
    2043320329shape (OrthoPolyLine
     
    2046620362on &310
    2046720363)
    20468 *693 (Wire
     20364*694 (Wire
    2046920365uid 8416,0
    2047020366shape (OrthoPolyLine
     
    2050220398on &341
    2050320399)
    20504 *694 (Wire
     20400*695 (Wire
    2050520401uid 8732,0
    2050620402shape (OrthoPolyLine
     
    2054020436on &360
    2054120437)
    20542 *695 (Wire
     20438*696 (Wire
    2054320439uid 8738,0
    2054420440shape (OrthoPolyLine
     
    2057620472on &361
    2057720473)
    20578 *696 (Wire
     20474*697 (Wire
    2057920475uid 8752,0
    2058020476shape (OrthoPolyLine
     
    2061120507on &361
    2061220508)
    20613 *697 (Wire
     20509*698 (Wire
    2061420510uid 9006,0
    2061520511shape (OrthoPolyLine
     
    2064920545on &362
    2065020546)
    20651 *698 (Wire
     20547*699 (Wire
    2065220548uid 9233,0
    2065320549shape (OrthoPolyLine
     
    2068420580on &376
    2068520581)
    20686 *699 (Wire
     20582*700 (Wire
    2068720583uid 9241,0
    2068820584shape (OrthoPolyLine
     
    2071920615on &377
    2072020616)
    20721 *700 (Wire
     20617*701 (Wire
    2072220618uid 9253,0
    2072320619shape (OrthoPolyLine
     
    2075320649on &376
    2075420650)
    20755 *701 (Wire
     20651*702 (Wire
    2075620652uid 9261,0
    2075720653shape (OrthoPolyLine
     
    2078720683on &377
    2078820684)
    20789 *702 (Wire
     20685*703 (Wire
    2079020686uid 9943,0
    2079120687shape (OrthoPolyLine
     
    2082220718on &378
    2082320719)
    20824 *703 (Wire
     20720*704 (Wire
    2082520721uid 9951,0
    2082620722shape (OrthoPolyLine
     
    2085720753on &379
    2085820754)
    20859 *704 (Wire
     20755*705 (Wire
    2086020756uid 10010,0
    2086120757shape (OrthoPolyLine
     
    2089520791on &398
    2089620792)
    20897 *705 (Wire
     20793*706 (Wire
    2089820794uid 10018,0
    2089920795shape (OrthoPolyLine
     
    2093120827on &379
    2093220828)
    20933 *706 (Wire
     20829*707 (Wire
    2093420830uid 10036,0
    2093520831shape (OrthoPolyLine
     
    2096520861on &378
    2096620862)
    20967 *707 (Wire
     20863*708 (Wire
    2096820864uid 10194,0
    2096920865shape (OrthoPolyLine
     
    2100520901on &399
    2100620902)
    21007 *708 (Wire
     20903*709 (Wire
    2100820904uid 10202,0
    2100920905shape (OrthoPolyLine
     
    2104320939on &400
    2104420940)
    21045 *709 (Wire
     20941*710 (Wire
    2104620942uid 10266,0
    2104720943shape (OrthoPolyLine
     
    2107820974on &498
    2107920975)
    21080 *710 (Wire
     20976*711 (Wire
    2108120977uid 10298,0
    2108220978shape (OrthoPolyLine
     
    2111421010on &402
    2111521011)
    21116 *711 (Wire
     21012*712 (Wire
    2111721013uid 10304,0
    2111821014shape (OrthoPolyLine
     
    2115021046on &403
    2115121047)
    21152 *712 (Wire
     21048*713 (Wire
    2115321049uid 10310,0
    2115421050shape (OrthoPolyLine
     
    2118621082on &404
    2118721083)
    21188 *713 (Wire
     21084*714 (Wire
    2118921085uid 10316,0
    2119021086shape (OrthoPolyLine
     
    2122421120on &405
    2122521121)
    21226 *714 (Wire
     21122*715 (Wire
    2122721123uid 10322,0
    2122821124shape (OrthoPolyLine
     
    2126221158on &406
    2126321159)
    21264 *715 (Wire
     21160*716 (Wire
    2126521161uid 10431,0
    2126621162shape (OrthoPolyLine
     
    2129921195on &401
    2130021196)
    21301 *716 (Wire
     21197*717 (Wire
    2130221198uid 10467,0
    2130321199shape (OrthoPolyLine
     
    2133621232on &431
    2133721233)
    21338 *717 (Wire
     21234*718 (Wire
    2133921235uid 10629,0
    2134021236shape (OrthoPolyLine
     
    2137121267on &432
    2137221268)
    21373 *718 (Wire
     21269*719 (Wire
    2137421270uid 10637,0
    2137521271shape (OrthoPolyLine
     
    2140621302on &433
    2140721303)
    21408 *719 (Wire
     21304*720 (Wire
    2140921305uid 10685,0
    2141021306shape (OrthoPolyLine
     
    2144121337on &433
    2144221338)
    21443 *720 (Wire
     21339*721 (Wire
    2144421340uid 10691,0
    2144521341shape (OrthoPolyLine
     
    2147621372on &432
    2147721373)
    21478 *721 (Wire
     21374*722 (Wire
    2147921375uid 10699,0
    2148021376shape (OrthoPolyLine
     
    2151221408on &187
    2151321409)
    21514 *722 (Wire
     21410*723 (Wire
    2151521411uid 10707,0
    2151621412shape (OrthoPolyLine
     
    2154721443on &452
    2154821444)
    21549 *723 (Wire
     21445*724 (Wire
    2155021446uid 10723,0
    2155121447shape (OrthoPolyLine
     
    2158521481on &434
    2158621482)
    21587 *724 (Wire
     21483*725 (Wire
    2158821484uid 10737,0
    2158921485shape (OrthoPolyLine
     
    2162321519on &436
    2162421520)
    21625 *725 (Wire
     21521*726 (Wire
    2162621522uid 10751,0
    2162721523shape (OrthoPolyLine
     
    2166121557on &438
    2166221558)
    21663 *726 (Wire
     21559*727 (Wire
    2166421560uid 11405,0
    2166521561shape (OrthoPolyLine
     
    2169721593on &452
    2169821594)
    21699 *727 (Wire
     21595*728 (Wire
    2170021596uid 11858,0
    2170121597shape (OrthoPolyLine
     
    2173221628on &453
    2173321629)
    21734 *728 (Wire
     21630*729 (Wire
    2173521631uid 11952,0
    2173621632shape (OrthoPolyLine
     
    2176821664on &453
    2176921665)
    21770 *729 (Wire
     21666*730 (Wire
    2177121667uid 12306,0
    2177221668shape (OrthoPolyLine
     
    2180621702on &472
    2180721703)
    21808 *730 (Wire
     21704*731 (Wire
    2180921705uid 12643,0
    2181021706shape (OrthoPolyLine
     
    2184621742on &483
    2184721743)
    21848 *731 (Wire
     21744*732 (Wire
    2184921745uid 12649,0
    2185021746shape (OrthoPolyLine
     
    2188221778on &484
    2188321779)
    21884 *732 (Wire
     21780*733 (Wire
    2188521781uid 12655,0
    2188621782shape (OrthoPolyLine
     
    2191921815on &485
    2192021816)
    21921 *733 (Wire
     21817*734 (Wire
    2192221818uid 12687,0
    2192321819shape (OrthoPolyLine
     
    2195721853on &188
    2195821854)
    21959 *734 (Wire
     21855*735 (Wire
    2196021856uid 12707,0
    2196121857shape (OrthoPolyLine
     
    2199521891on &486
    2199621892)
    21997 *735 (Wire
     21893*736 (Wire
    2199821894uid 13143,0
    2199921895shape (OrthoPolyLine
     
    2203021926on &188
    2203121927)
    22032 *736 (Wire
     21928*737 (Wire
    2203321929uid 13159,0
    2203421930shape (OrthoPolyLine
     
    2206821964on &496
    2206921965)
    22070 *737 (Wire
     21966*738 (Wire
    2207121967uid 13165,0
    2207221968shape (OrthoPolyLine
     
    2210822004on &497
    2210922005)
    22110 *738 (Wire
     22006*739 (Wire
    2211122007uid 13210,0
    2211222008shape (OrthoPolyLine
     
    2214422040on &499
    2214522041)
    22146 *739 (Wire
     22042*740 (Wire
    2214722043uid 13216,0
    2214822044shape (OrthoPolyLine
     
    2218022076on &498
    2218122077)
    22182 *740 (Wire
     22078*741 (Wire
    2218322079uid 13224,0
    2218422080shape (OrthoPolyLine
     
    2221622112on &401
    2221722113)
    22218 *741 (Wire
     22114*742 (Wire
    2221922115uid 13695,0
    2222022116shape (OrthoPolyLine
     
    2225522151on &524
    2225622152)
    22257 *742 (Wire
     22153*743 (Wire
    2225822154uid 13921,0
    2225922155shape (OrthoPolyLine
     
    2229222188on &71
    2229322189)
    22294 *743 (Wire
     22190*744 (Wire
    2229522191uid 13929,0
    2229622192shape (OrthoPolyLine
     
    2232922225on &122
    2233022226)
    22331 *744 (Wire
     22227*745 (Wire
    2233222228uid 14048,0
    2233322229shape (OrthoPolyLine
     
    2236622262on &526
    2236722263)
    22368 *745 (Wire
     22264*746 (Wire
    2236922265uid 14171,0
    2237022266shape (OrthoPolyLine
     
    2240422300on &528
    2240522301)
    22406 *746 (Wire
     22302*747 (Wire
    2240722303uid 14427,0
    2240822304shape (OrthoPolyLine
     
    2243922335on &187
    2244022336)
    22441 *747 (Wire
     22337*748 (Wire
    2244222338uid 14479,0
    2244322339shape (OrthoPolyLine
     
    2247722373on &538
    2247822374)
    22479 *748 (Wire
     22375*749 (Wire
    2248022376uid 14493,0
    2248122377shape (OrthoPolyLine
     
    2251522411on &540
    2251622412)
    22517 *749 (Wire
     22413*750 (Wire
    2251822414uid 14622,0
    2251922415shape (OrthoPolyLine
     
    2255522451on &542
    2255622452)
    22557 *750 (Wire
     22453*751 (Wire
    2255822454uid 15071,0
    2255922455shape (OrthoPolyLine
     
    2259122487on &595
    2259222488)
    22593 *751 (Wire
     22489*752 (Wire
    2259422490uid 15081,0
    2259522491shape (OrthoPolyLine
     
    2262922525on &596
    2263022526)
    22631 *752 (Wire
     22527*753 (Wire
    2263222528uid 15122,0
    2263322529shape (OrthoPolyLine
     
    2266722563on &597
    2266822564)
    22669 *753 (Wire
     22565*754 (Wire
    2267022566uid 15130,0
    2267122567shape (OrthoPolyLine
     
    2270522601on &540
    2270622602)
    22707 *754 (Wire
     22603*755 (Wire
    2270822604uid 15138,0
    2270922605shape (OrthoPolyLine
     
    2274322639on &538
    2274422640)
    22745 *755 (Wire
     22641*756 (Wire
    2274622642uid 15379,0
    2274722643shape (OrthoPolyLine
     
    2277822674on &188
    2277922675)
     22676*757 (Wire
     22677uid 15494,0
     22678optionalChildren [
     22679*758 (BdJunction
     22680uid 15502,0
     22681ps "OnConnectorStrategy"
     22682shape (Circle
     22683uid 15503,0
     22684va (VaSet
     22685vasetType 1
     22686)
     22687xt "-54400,71600,-53600,72400"
     22688radius 400
     22689)
     22690)
     22691]
     22692shape (OrthoPolyLine
     22693uid 15495,0
     22694va (VaSet
     22695vasetType 3
     22696)
     22697xt "-55250,72000,-21750,72000"
     22698pts [
     22699"-55250,72000"
     22700"-21750,72000"
     22701]
     22702)
     22703start &475
     22704end &30
     22705sat 32
     22706eat 32
     22707st 0
     22708sf 1
     22709si 0
     22710tg (WTG
     22711uid 15496,0
     22712ps "ConnStartEndStrategy"
     22713stg "STSignalDisplayStrategy"
     22714f (Text
     22715uid 15497,0
     22716va (VaSet
     22717)
     22718xt "-53250,71000,-48650,72000"
     22719st "trigger_out"
     22720blo "-53250,71800"
     22721tm "WireNameMgr"
     22722)
     22723)
     22724on &598
     22725)
     22726*759 (Wire
     22727uid 15498,0
     22728shape (OrthoPolyLine
     22729uid 15499,0
     22730va (VaSet
     22731vasetType 3
     22732)
     22733xt "-54000,69000,-52750,72000"
     22734pts [
     22735"-52750,69000"
     22736"-54000,69000"
     22737"-54000,72000"
     22738]
     22739)
     22740start &125
     22741end &758
     22742sat 32
     22743eat 32
     22744stc 0
     22745st 0
     22746sf 1
     22747si 0
     22748tg (WTG
     22749uid 15500,0
     22750ps "ConnStartEndStrategy"
     22751stg "STSignalDisplayStrategy"
     22752f (Text
     22753uid 15501,0
     22754va (VaSet
     22755)
     22756xt "-58000,69000,-53400,70000"
     22757st "trigger_out"
     22758blo "-58000,69800"
     22759tm "WireNameMgr"
     22760)
     22761)
     22762on &598
     22763)
    2278022764]
    2278122765bg "65535,65535,65535"
     
    2279022774color "26368,26368,26368"
    2279122775)
    22792 packageList *756 (PackageList
     22776packageList *760 (PackageList
    2279322777uid 41,0
    2279422778stg "VerticalLayoutStrategy"
    2279522779textVec [
    22796 *757 (Text
     22780*761 (Text
    2279722781uid 42,0
    2279822782va (VaSet
     
    2280322787blo "-163000,-15200"
    2280422788)
    22805 *758 (MLText
     22789*762 (MLText
    2280622790uid 43,0
    2280722791va (VaSet
     
    2282822812stg "VerticalLayoutStrategy"
    2282922813textVec [
    22830 *759 (Text
     22814*763 (Text
    2283122815uid 45,0
    2283222816va (VaSet
     
    2283822822blo "20000,800"
    2283922823)
    22840 *760 (Text
     22824*764 (Text
    2284122825uid 46,0
    2284222826va (VaSet
     
    2284822832blo "20000,1800"
    2284922833)
    22850 *761 (MLText
     22834*765 (MLText
    2285122835uid 47,0
    2285222836va (VaSet
     
    2285822842tm "BdCompilerDirectivesTextMgr"
    2285922843)
    22860 *762 (Text
     22844*766 (Text
    2286122845uid 48,0
    2286222846va (VaSet
     
    2286822852blo "20000,4800"
    2286922853)
    22870 *763 (MLText
     22854*767 (MLText
    2287122855uid 49,0
    2287222856va (VaSet
     
    2287622860tm "BdCompilerDirectivesTextMgr"
    2287722861)
    22878 *764 (Text
     22862*768 (Text
    2287922863uid 50,0
    2288022864va (VaSet
     
    2288622870blo "20000,5800"
    2288722871)
    22888 *765 (MLText
     22872*769 (MLText
    2288922873uid 51,0
    2289022874va (VaSet
     
    2289822882)
    2289922883windowSize "0,0,1281,1024"
    22900 viewArea "-65668,47481,1175,102606"
     22884viewArea "-115714,18689,-11272,104822"
    2290122885cachedDiagramExtent "-174000,-25425,428157,346294"
    2290222886pageSetupInfo (PageSetupInfo
     
    2292422908hasePageBreakOrigin 1
    2292522909pageBreakOrigin "-73000,0"
    22926 lastUid 15388,0
     22910lastUid 15608,0
    2292722911defaultCommentText (CommentText
    2292822912shape (Rectangle
     
    2298622970stg "VerticalLayoutStrategy"
    2298722971textVec [
    22988 *766 (Text
     22972*770 (Text
    2298922973va (VaSet
    2299022974font "Arial,8,1"
     
    2299522979tm "BdLibraryNameMgr"
    2299622980)
    22997 *767 (Text
     22981*771 (Text
    2299822982va (VaSet
    2299922983font "Arial,8,1"
     
    2300422988tm "BlkNameMgr"
    2300522989)
    23006 *768 (Text
     22990*772 (Text
    2300722991va (VaSet
    2300822992font "Arial,8,1"
     
    2305523039stg "VerticalLayoutStrategy"
    2305623040textVec [
    23057 *769 (Text
     23041*773 (Text
    2305823042va (VaSet
    2305923043font "Arial,8,1"
     
    2306323047blo "550,4300"
    2306423048)
    23065 *770 (Text
     23049*774 (Text
    2306623050va (VaSet
    2306723051font "Arial,8,1"
     
    2307123055blo "550,5300"
    2307223056)
    23073 *771 (Text
     23057*775 (Text
    2307423058va (VaSet
    2307523059font "Arial,8,1"
     
    2312023104stg "VerticalLayoutStrategy"
    2312123105textVec [
    23122 *772 (Text
     23106*776 (Text
    2312323107va (VaSet
    2312423108font "Arial,8,1"
     
    2312923113tm "BdLibraryNameMgr"
    2313023114)
    23131 *773 (Text
     23115*777 (Text
    2313223116va (VaSet
    2313323117font "Arial,8,1"
     
    2313823122tm "CptNameMgr"
    2313923123)
    23140 *774 (Text
     23124*778 (Text
    2314123125va (VaSet
    2314223126font "Arial,8,1"
     
    2319223176stg "VerticalLayoutStrategy"
    2319323177textVec [
    23194 *775 (Text
     23178*779 (Text
    2319523179va (VaSet
    2319623180font "Arial,8,1"
     
    2320023184blo "500,4300"
    2320123185)
    23202 *776 (Text
     23186*780 (Text
    2320323187va (VaSet
    2320423188font "Arial,8,1"
     
    2320823192blo "500,5300"
    2320923193)
    23210 *777 (Text
     23194*781 (Text
    2321123195va (VaSet
    2321223196font "Arial,8,1"
     
    2325323237stg "VerticalLayoutStrategy"
    2325423238textVec [
    23255 *778 (Text
     23239*782 (Text
    2325623240va (VaSet
    2325723241font "Arial,8,1"
     
    2326123245blo "50,4300"
    2326223246)
    23263 *779 (Text
     23247*783 (Text
    2326423248va (VaSet
    2326523249font "Arial,8,1"
     
    2326923253blo "50,5300"
    2327023254)
    23271 *780 (Text
     23255*784 (Text
    2327223256va (VaSet
    2327323257font "Arial,8,1"
     
    2331023294stg "VerticalLayoutStrategy"
    2331123295textVec [
    23312 *781 (Text
     23296*785 (Text
    2331323297va (VaSet
    2331423298font "Arial,8,1"
     
    2331923303tm "HdlTextNameMgr"
    2332023304)
    23321 *782 (Text
     23305*786 (Text
    2332223306va (VaSet
    2332323307font "Arial,8,1"
     
    2372223706stg "VerticalLayoutStrategy"
    2372323707textVec [
    23724 *783 (Text
     23708*787 (Text
    2372523709va (VaSet
    2372623710font "Arial,8,1"
     
    2373023714blo "14100,20800"
    2373123715)
    23732 *784 (MLText
     23716*788 (MLText
    2373323717va (VaSet
    2373423718)
     
    2378223766stg "VerticalLayoutStrategy"
    2378323767textVec [
    23784 *785 (Text
     23768*789 (Text
    2378523769va (VaSet
    2378623770font "Arial,8,1"
     
    2379023774blo "14100,20800"
    2379123775)
    23792 *786 (MLText
     23776*790 (MLText
    2379323777va (VaSet
    2379423778)
     
    2391523899font "Arial,8,1"
    2391623900)
    23917 xt "-174000,106800,-169300,107800"
     23901xt "-174000,107600,-169300,108600"
    2391823902st "Post User:"
    23919 blo "-174000,107600"
     23903blo "-174000,108400"
    2392023904)
    2392123905postUserText (MLText
     
    2393023914commonDM (CommonDM
    2393123915ldm (LogicalDM
    23932 suid 300,0
     23916suid 301,0
    2393323917usingSuid 1
    23934 emptyRow *787 (LEmptyRow
     23918emptyRow *791 (LEmptyRow
    2393523919)
    2393623920uid 54,0
    2393723921optionalChildren [
    23938 *788 (RefLabelRowHdr
    23939 )
    23940 *789 (TitleRowHdr
    23941 )
    23942 *790 (FilterRowHdr
    23943 )
    23944 *791 (RefLabelColHdr
     23922*792 (RefLabelRowHdr
     23923)
     23924*793 (TitleRowHdr
     23925)
     23926*794 (FilterRowHdr
     23927)
     23928*795 (RefLabelColHdr
    2394523929tm "RefLabelColHdrMgr"
    2394623930)
    23947 *792 (RowExpandColHdr
     23931*796 (RowExpandColHdr
    2394823932tm "RowExpandColHdrMgr"
    2394923933)
    23950 *793 (GroupColHdr
     23934*797 (GroupColHdr
    2395123935tm "GroupColHdrMgr"
    2395223936)
    23953 *794 (NameColHdr
     23937*798 (NameColHdr
    2395423938tm "BlockDiagramNameColHdrMgr"
    2395523939)
    23956 *795 (ModeColHdr
     23940*799 (ModeColHdr
    2395723941tm "BlockDiagramModeColHdrMgr"
    2395823942)
    23959 *796 (TypeColHdr
     23943*800 (TypeColHdr
    2396023944tm "BlockDiagramTypeColHdrMgr"
    2396123945)
    23962 *797 (BoundsColHdr
     23946*801 (BoundsColHdr
    2396323947tm "BlockDiagramBoundsColHdrMgr"
    2396423948)
    23965 *798 (InitColHdr
     23949*802 (InitColHdr
    2396623950tm "BlockDiagramInitColHdrMgr"
    2396723951)
    23968 *799 (EolColHdr
     23952*803 (EolColHdr
    2396923953tm "BlockDiagramEolColHdrMgr"
    2397023954)
    23971 *800 (LeafLogPort
     23955*804 (LeafLogPort
    2397223956port (LogicalPort
    2397323957m 4
     
    2398323967uid 516,0
    2398423968)
    23985 *801 (LeafLogPort
     23969*805 (LeafLogPort
    2398623970port (LogicalPort
    2398723971m 4
     
    2399623980uid 518,0
    2399723981)
    23998 *802 (LeafLogPort
     23982*806 (LeafLogPort
    2399923983port (LogicalPort
    2400023984m 4
     
    2400923993uid 520,0
    2401023994)
    24011 *803 (LeafLogPort
     23995*807 (LeafLogPort
    2401223996port (LogicalPort
    2401323997m 4
     
    2402224006uid 530,0
    2402324007)
    24024 *804 (LeafLogPort
     24008*808 (LeafLogPort
    2402524009port (LogicalPort
    2402624010m 4
     
    2403524019uid 532,0
    2403624020)
    24037 *805 (LeafLogPort
     24021*809 (LeafLogPort
    2403824022port (LogicalPort
    2403924023m 1
     
    2404824032uid 534,0
    2404924033)
    24050 *806 (LeafLogPort
     24034*810 (LeafLogPort
    2405124035port (LogicalPort
    2405224036m 1
     
    2406124045uid 536,0
    2406224046)
    24063 *807 (LeafLogPort
     24047*811 (LeafLogPort
    2406424048port (LogicalPort
    2406524049m 2
     
    2407424058uid 538,0
    2407524059)
    24076 *808 (LeafLogPort
     24060*812 (LeafLogPort
    2407724061port (LogicalPort
    2407824062m 1
     
    2408724071uid 540,0
    2408824072)
    24089 *809 (LeafLogPort
     24073*813 (LeafLogPort
    2409024074port (LogicalPort
    2409124075m 1
     
    2410024084uid 542,0
    2410124085)
    24102 *810 (LeafLogPort
     24086*814 (LeafLogPort
    2410324087port (LogicalPort
    2410424088m 1
     
    2411324097uid 546,0
    2411424098)
    24115 *811 (LeafLogPort
     24099*815 (LeafLogPort
    2411624100port (LogicalPort
    2411724101decl (Decl
     
    2412424108uid 548,0
    2412524109)
    24126 *812 (LeafLogPort
     24110*816 (LeafLogPort
    2412724111port (LogicalPort
    2412824112decl (Decl
     
    2413624120uid 1455,0
    2413724121)
    24138 *813 (LeafLogPort
     24122*817 (LeafLogPort
    2413924123port (LogicalPort
    2414024124decl (Decl
     
    2414924133uid 1457,0
    2415024134)
    24151 *814 (LeafLogPort
     24135*818 (LeafLogPort
    2415224136port (LogicalPort
    2415324137decl (Decl
     
    2416124145uid 1694,0
    2416224146)
    24163 *815 (LeafLogPort
     24147*819 (LeafLogPort
    2416424148port (LogicalPort
    2416524149lang 2
     
    2417724161uid 1993,0
    2417824162)
    24179 *816 (LeafLogPort
     24163*820 (LeafLogPort
    2418024164port (LogicalPort
    2418124165m 4
     
    2419224176uid 2305,0
    2419324177)
    24194 *817 (LeafLogPort
     24178*821 (LeafLogPort
    2419524179port (LogicalPort
    2419624180lang 2
     
    2420524189uid 2510,0
    2420624190)
    24207 *818 (LeafLogPort
     24191*822 (LeafLogPort
    2420824192port (LogicalPort
    2420924193lang 2
     
    2421924203uid 2512,0
    2422024204)
    24221 *819 (LeafLogPort
     24205*823 (LeafLogPort
    2422224206port (LogicalPort
    2422324207lang 2
     
    2423424218uid 2514,0
    2423524219)
    24236 *820 (LeafLogPort
     24220*824 (LeafLogPort
    2423724221port (LogicalPort
    2423824222lang 2
     
    2425024234uid 2516,0
    2425124235)
    24252 *821 (LeafLogPort
     24236*825 (LeafLogPort
    2425324237port (LogicalPort
    2425424238lang 2
     
    2426524249uid 2518,0
    2426624250)
    24267 *822 (LeafLogPort
     24251*826 (LeafLogPort
    2426824252port (LogicalPort
    2426924253lang 2
     
    2427924263uid 2520,0
    2428024264)
    24281 *823 (LeafLogPort
     24265*827 (LeafLogPort
    2428224266port (LogicalPort
    2428324267lang 2
     
    2429324277uid 2522,0
    2429424278)
    24295 *824 (LeafLogPort
     24279*828 (LeafLogPort
    2429624280port (LogicalPort
    2429724281m 4
     
    2430524289uid 2604,0
    2430624290)
    24307 *825 (LeafLogPort
     24291*829 (LeafLogPort
    2430824292port (LogicalPort
    2430924293m 4
     
    2431824302uid 2606,0
    2431924303)
    24320 *826 (LeafLogPort
     24304*830 (LeafLogPort
    2432124305port (LogicalPort
    2432224306m 4
     
    2433124315uid 2608,0
    2433224316)
    24333 *827 (LeafLogPort
     24317*831 (LeafLogPort
    2433424318port (LogicalPort
    2433524319m 4
     
    2434324327uid 2610,0
    2434424328)
    24345 *828 (LeafLogPort
     24329*832 (LeafLogPort
    2434624330port (LogicalPort
    2434724331m 4
     
    2435524339uid 2612,0
    2435624340)
    24357 *829 (LeafLogPort
     24341*833 (LeafLogPort
    2435824342port (LogicalPort
    2435924343m 4
     
    2436824352uid 2646,0
    2436924353)
    24370 *830 (LeafLogPort
     24354*834 (LeafLogPort
    2437124355port (LogicalPort
    2437224356m 1
     
    2438124365uid 2812,0
    2438224366)
    24383 *831 (LeafLogPort
     24367*835 (LeafLogPort
    2438424368port (LogicalPort
    2438524369m 4
     
    2439324377uid 2962,0
    2439424378)
    24395 *832 (LeafLogPort
     24379*836 (LeafLogPort
    2439624380port (LogicalPort
    2439724381m 1
     
    2440524389uid 3902,0
    2440624390)
    24407 *833 (LeafLogPort
     24391*837 (LeafLogPort
    2440824392port (LogicalPort
    2440924393m 1
     
    2441724401uid 4070,0
    2441824402)
    24419 *834 (LeafLogPort
     24403*838 (LeafLogPort
    2442024404port (LogicalPort
    2442124405m 4
     
    2442924413uid 4212,0
    2443024414)
    24431 *835 (LeafLogPort
     24415*839 (LeafLogPort
    2443224416port (LogicalPort
    2443324417decl (Decl
     
    2444024424uid 4234,0
    2444124425)
    24442 *836 (LeafLogPort
     24426*840 (LeafLogPort
    2444324427port (LogicalPort
    2444424428decl (Decl
     
    2445224436uid 4262,0
    2445324437)
    24454 *837 (LeafLogPort
     24438*841 (LeafLogPort
    2445524439port (LogicalPort
    2445624440decl (Decl
     
    2446324447uid 4276,0
    2446424448)
    24465 *838 (LeafLogPort
     24449*842 (LeafLogPort
    2446624450port (LogicalPort
    2446724451m 4
     
    2447624460uid 4563,0
    2447724461)
    24478 *839 (LeafLogPort
     24462*843 (LeafLogPort
    2447924463port (LogicalPort
    2448024464m 4
     
    2448824472uid 4565,0
    2448924473)
    24490 *840 (LeafLogPort
     24474*844 (LeafLogPort
    2449124475port (LogicalPort
    2449224476m 4
     
    2450124485uid 4569,0
    2450224486)
    24503 *841 (LeafLogPort
     24487*845 (LeafLogPort
    2450424488port (LogicalPort
    2450524489m 1
     
    2451524499uid 4585,0
    2451624500)
    24517 *842 (LeafLogPort
     24501*846 (LeafLogPort
    2451824502port (LogicalPort
    2451924503m 1
     
    2452824512uid 4587,0
    2452924513)
    24530 *843 (LeafLogPort
     24514*847 (LeafLogPort
    2453124515port (LogicalPort
    2453224516decl (Decl
     
    2453924523uid 4733,0
    2454024524)
    24541 *844 (LeafLogPort
     24525*848 (LeafLogPort
    2454224526port (LogicalPort
    2454324527decl (Decl
     
    2455024534uid 4735,0
    2455124535)
    24552 *845 (LeafLogPort
     24536*849 (LeafLogPort
    2455324537port (LogicalPort
    2455424538decl (Decl
     
    2456124545uid 4737,0
    2456224546)
    24563 *846 (LeafLogPort
     24547*850 (LeafLogPort
    2456424548port (LogicalPort
    2456524549decl (Decl
     
    2457224556uid 4739,0
    2457324557)
    24574 *847 (LeafLogPort
     24558*851 (LeafLogPort
    2457524559port (LogicalPort
    2457624560m 4
     
    2458424568uid 4749,0
    2458524569)
    24586 *848 (LeafLogPort
     24570*852 (LeafLogPort
    2458724571port (LogicalPort
    2458824572m 1
     
    2459724581uid 4974,0
    2459824582)
    24599 *849 (LeafLogPort
     24583*853 (LeafLogPort
    2460024584port (LogicalPort
    2460124585m 1
     
    2461024594uid 4976,0
    2461124595)
    24612 *850 (LeafLogPort
     24596*854 (LeafLogPort
    2461324597port (LogicalPort
    2461424598m 4
     
    2462324607uid 5198,0
    2462424608)
    24625 *851 (LeafLogPort
     24609*855 (LeafLogPort
    2462624610port (LogicalPort
    2462724611m 4
     
    2463524619uid 5200,0
    2463624620)
    24637 *852 (LeafLogPort
     24621*856 (LeafLogPort
    2463824622port (LogicalPort
    2463924623m 4
     
    2464724631uid 5202,0
    2464824632)
    24649 *853 (LeafLogPort
     24633*857 (LeafLogPort
    2465024634port (LogicalPort
    2465124635m 4
     
    2466024644uid 5204,0
    2466124645)
    24662 *854 (LeafLogPort
     24646*858 (LeafLogPort
    2466324647port (LogicalPort
    2466424648m 4
     
    2467224656uid 5206,0
    2467324657)
    24674 *855 (LeafLogPort
     24658*859 (LeafLogPort
    2467524659port (LogicalPort
    2467624660m 4
     
    2468424668uid 5208,0
    2468524669)
    24686 *856 (LeafLogPort
     24670*860 (LeafLogPort
    2468724671port (LogicalPort
    2468824672m 4
     
    2469624680uid 5210,0
    2469724681)
    24698 *857 (LeafLogPort
     24682*861 (LeafLogPort
    2469924683port (LogicalPort
    2470024684m 4
     
    2470824692uid 5212,0
    2470924693)
    24710 *858 (LeafLogPort
     24694*862 (LeafLogPort
    2471124695port (LogicalPort
    2471224696m 4
     
    2472024704uid 5214,0
    2472124705)
    24722 *859 (LeafLogPort
     24706*863 (LeafLogPort
    2472324707port (LogicalPort
    2472424708m 1
     
    2473524719uid 5226,0
    2473624720)
    24737 *860 (LeafLogPort
     24721*864 (LeafLogPort
    2473824722port (LogicalPort
    2473924723m 4
     
    2474724731uid 5502,0
    2474824732)
    24749 *861 (LeafLogPort
     24733*865 (LeafLogPort
    2475024734port (LogicalPort
    2475124735m 4
     
    2475924743uid 5504,0
    2476024744)
    24761 *862 (LeafLogPort
     24745*866 (LeafLogPort
    2476224746port (LogicalPort
    2476324747m 4
     
    2477124755uid 5600,0
    2477224756)
    24773 *863 (LeafLogPort
     24757*867 (LeafLogPort
    2477424758port (LogicalPort
    2477524759lang 10
     
    2478524769uid 5642,0
    2478624770)
    24787 *864 (LeafLogPort
     24771*868 (LeafLogPort
    2478824772port (LogicalPort
    2478924773m 4
     
    2479724781uid 5644,0
    2479824782)
    24799 *865 (LeafLogPort
     24783*869 (LeafLogPort
    2480024784port (LogicalPort
    2480124785m 4
     
    2481024794uid 5751,0
    2481124795)
    24812 *866 (LeafLogPort
     24796*870 (LeafLogPort
    2481324797port (LogicalPort
    2481424798m 1
     
    2482224806uid 5867,0
    2482324807)
    24824 *867 (LeafLogPort
     24808*871 (LeafLogPort
    2482524809port (LogicalPort
    2482624810m 2
     
    2483624820uid 5869,0
    2483724821)
    24838 *868 (LeafLogPort
     24822*872 (LeafLogPort
    2483924823port (LogicalPort
    2484024824m 1
     
    2484824832uid 5871,0
    2484924833)
    24850 *869 (LeafLogPort
     24834*873 (LeafLogPort
    2485124835port (LogicalPort
    2485224836m 1
     
    2486124845uid 5873,0
    2486224846)
    24863 *870 (LeafLogPort
     24847*874 (LeafLogPort
    2486424848port (LogicalPort
    2486524849m 4
     
    2487424858uid 5966,0
    2487524859)
    24876 *871 (LeafLogPort
     24860*875 (LeafLogPort
    2487724861port (LogicalPort
    2487824862m 4
     
    2488624870uid 5968,0
    2488724871)
    24888 *872 (LeafLogPort
     24872*876 (LeafLogPort
    2488924873port (LogicalPort
    2489024874m 4
     
    2489924883uid 6022,0
    2490024884)
    24901 *873 (LeafLogPort
     24885*877 (LeafLogPort
    2490224886port (LogicalPort
    2490324887m 4
     
    2491224896uid 6024,0
    2491324897)
    24914 *874 (LeafLogPort
     24898*878 (LeafLogPort
    2491524899port (LogicalPort
    2491624900m 4
     
    2492424908uid 6026,0
    2492524909)
    24926 *875 (LeafLogPort
     24910*879 (LeafLogPort
    2492724911port (LogicalPort
    2492824912m 1
     
    2493724921uid 6172,0
    2493824922)
    24939 *876 (LeafLogPort
     24923*880 (LeafLogPort
    2494024924port (LogicalPort
    2494124925m 1
     
    2495224936uid 6374,0
    2495324937)
    24954 *877 (LeafLogPort
     24938*881 (LeafLogPort
    2495524939port (LogicalPort
    2495624940m 4
     
    2496524949uid 6464,0
    2496624950)
    24967 *878 (LeafLogPort
     24951*882 (LeafLogPort
    2496824952port (LogicalPort
    2496924953m 4
     
    2497824962uid 6554,0
    2497924963)
    24980 *879 (LeafLogPort
     24964*883 (LeafLogPort
    2498124965port (LogicalPort
    2498224966lang 2
     
    2499124975uid 8420,0
    2499224976)
    24993 *880 (LeafLogPort
     24977*884 (LeafLogPort
    2499424978port (LogicalPort
    2499524979m 4
     
    2500324987uid 8758,0
    2500424988)
    25005 *881 (LeafLogPort
     24989*885 (LeafLogPort
    2500624990port (LogicalPort
    2500724991m 4
     
    2501524999uid 8760,0
    2501625000)
    25017 *882 (LeafLogPort
     25001*886 (LeafLogPort
    2501825002port (LogicalPort
    2501925003m 1
     
    2502825012uid 9018,0
    2502925013)
    25030 *883 (LeafLogPort
     25014*887 (LeafLogPort
    2503125015port (LogicalPort
    2503225016m 4
     
    2504325027uid 9247,0
    2504425028)
    25045 *884 (LeafLogPort
     25029*888 (LeafLogPort
    2504625030port (LogicalPort
    2504725031m 4
     
    2505925043uid 9249,0
    2506025044)
    25061 *885 (LeafLogPort
     25045*889 (LeafLogPort
    2506225046port (LogicalPort
    2506325047m 4
     
    2507425058uid 10024,0
    2507525059)
    25076 *886 (LeafLogPort
     25060*890 (LeafLogPort
    2507725061port (LogicalPort
    2507825062m 4
     
    2508725071uid 10026,0
    2508825072)
    25089 *887 (LeafLogPort
     25073*891 (LeafLogPort
    2509025074port (LogicalPort
    2509125075m 4
     
    2510025084uid 10028,0
    2510125085)
    25102 *888 (LeafLogPort
     25086*892 (LeafLogPort
    2510325087port (LogicalPort
    2510425088m 4
     
    2511625100uid 10208,0
    2511725101)
    25118 *889 (LeafLogPort
     25102*893 (LeafLogPort
    2511925103port (LogicalPort
    2512025104m 4
     
    2513225116uid 10210,0
    2513325117)
    25134 *890 (LeafLogPort
     25118*894 (LeafLogPort
    2513525119port (LogicalPort
    2513625120m 4
     
    2514425128uid 10294,0
    2514525129)
    25146 *891 (LeafLogPort
     25130*895 (LeafLogPort
    2514725131port (LogicalPort
    2514825132m 4
     
    2515625140uid 10334,0
    2515725141)
    25158 *892 (LeafLogPort
     25142*896 (LeafLogPort
    2515925143port (LogicalPort
    2516025144m 4
     
    2516925153uid 10336,0
    2517025154)
    25171 *893 (LeafLogPort
     25155*897 (LeafLogPort
    2517225156port (LogicalPort
    2517325157m 4
     
    2518225166uid 10338,0
    2518325167)
    25184 *894 (LeafLogPort
     25168*898 (LeafLogPort
    2518525169port (LogicalPort
    2518625170m 4
     
    2519625180uid 10340,0
    2519725181)
    25198 *895 (LeafLogPort
     25182*899 (LeafLogPort
    2519925183port (LogicalPort
    2520025184m 1
     
    2520925193uid 10342,0
    2521025194)
    25211 *896 (LeafLogPort
     25195*900 (LeafLogPort
    2521225196port (LogicalPort
    2521325197lang 2
     
    2522625210uid 10475,0
    2522725211)
    25228 *897 (LeafLogPort
     25212*901 (LeafLogPort
    2522925213port (LogicalPort
    2523025214m 4
     
    2523725221)
    2523825222uid 10763,0
    25239 )
    25240 *898 (LeafLogPort
    25241 port (LogicalPort
    25242 m 4
    25243 decl (Decl
    25244 n "socks_waiting"
    25245 t "std_logic"
    25246 o 112
    25247 suid 244,0
    25248 )
    25249 )
    25250 uid 10765,0
    25251 )
    25252 *899 (LeafLogPort
    25253 port (LogicalPort
    25254 m 1
    25255 decl (Decl
    25256 n "green"
    25257 t "std_logic"
    25258 o 34
    25259 suid 248,0
    25260 )
    25261 )
    25262 uid 10767,0
    25263 )
    25264 *900 (LeafLogPort
    25265 port (LogicalPort
    25266 m 1
    25267 decl (Decl
    25268 n "amber"
    25269 t "std_logic"
    25270 o 29
    25271 suid 249,0
    25272 )
    25273 )
    25274 uid 10769,0
    25275 )
    25276 *901 (LeafLogPort
    25277 port (LogicalPort
    25278 m 1
    25279 decl (Decl
    25280 n "red"
    25281 t "std_logic"
    25282 o 39
    25283 suid 250,0
    25284 )
    25285 )
    25286 uid 10771,0
    2528725223)
    2528825224*902 (LeafLogPort
     
    2529025226m 4
    2529125227decl (Decl
     25228n "socks_waiting"
     25229t "std_logic"
     25230o 112
     25231suid 244,0
     25232)
     25233)
     25234uid 10765,0
     25235)
     25236*903 (LeafLogPort
     25237port (LogicalPort
     25238m 1
     25239decl (Decl
     25240n "green"
     25241t "std_logic"
     25242o 34
     25243suid 248,0
     25244)
     25245)
     25246uid 10767,0
     25247)
     25248*904 (LeafLogPort
     25249port (LogicalPort
     25250m 1
     25251decl (Decl
     25252n "amber"
     25253t "std_logic"
     25254o 29
     25255suid 249,0
     25256)
     25257)
     25258uid 10769,0
     25259)
     25260*905 (LeafLogPort
     25261port (LogicalPort
     25262m 1
     25263decl (Decl
     25264n "red"
     25265t "std_logic"
     25266o 39
     25267suid 250,0
     25268)
     25269)
     25270uid 10771,0
     25271)
     25272*906 (LeafLogPort
     25273port (LogicalPort
     25274m 4
     25275decl (Decl
    2529225276n "drs_readout_started"
    2529325277t "std_logic"
     
    2529825282uid 11411,0
    2529925283)
    25300 *903 (LeafLogPort
     25284*907 (LeafLogPort
    2530125285port (LogicalPort
    2530225286m 4
     
    2531025294uid 11966,0
    2531125295)
    25312 *904 (LeafLogPort
     25296*908 (LeafLogPort
    2531325297port (LogicalPort
    2531425298m 4
     
    2532425308uid 12310,0
    2532525309)
    25326 *905 (LeafLogPort
     25310*909 (LeafLogPort
    2532725311port (LogicalPort
    2532825312m 4
     
    2533825322uid 12659,0
    2533925323)
    25340 *906 (LeafLogPort
     25324*910 (LeafLogPort
    2534125325port (LogicalPort
    2534225326m 4
     
    2535525339uid 12661,0
    2535625340)
    25357 *907 (LeafLogPort
     25341*911 (LeafLogPort
    2535825342port (LogicalPort
    2535925343m 4
     
    2536725351uid 12663,0
    2536825352)
    25369 *908 (LeafLogPort
     25353*912 (LeafLogPort
    2537025354port (LogicalPort
    2537125355m 1
     
    2537925363uid 12719,0
    2538025364)
    25381 *909 (LeafLogPort
     25365*913 (LeafLogPort
    2538225366port (LogicalPort
    2538325367m 4
     
    2539225376uid 13275,0
    2539325377)
    25394 *910 (LeafLogPort
     25378*914 (LeafLogPort
    2539525379port (LogicalPort
    2539625380m 4
     
    2540825392uid 13277,0
    2540925393)
    25410 *911 (LeafLogPort
     25394*915 (LeafLogPort
    2541125395port (LogicalPort
    2541225396m 4
     
    2542025404uid 13279,0
    2542125405)
    25422 *912 (LeafLogPort
     25406*916 (LeafLogPort
    2542325407port (LogicalPort
    2542425408m 4
     
    2543225416uid 13281,0
    2543325417)
    25434 *913 (LeafLogPort
     25418*917 (LeafLogPort
    2543525419port (LogicalPort
    2543625420decl (Decl
     
    2544525429scheme 0
    2544625430)
    25447 *914 (LeafLogPort
     25431*918 (LeafLogPort
    2544825432port (LogicalPort
    2544925433decl (Decl
     
    2545825442scheme 0
    2545925443)
    25460 *915 (LeafLogPort
     25444*919 (LeafLogPort
    2546125445port (LogicalPort
    2546225446decl (Decl
     
    2547225456scheme 0
    2547325457)
    25474 *916 (LeafLogPort
     25458*920 (LeafLogPort
    2547525459port (LogicalPort
    2547625460m 1
     
    2548725471uid 14507,0
    2548825472)
    25489 *917 (LeafLogPort
     25473*921 (LeafLogPort
    2549025474port (LogicalPort
    2549125475m 1
     
    2550225486uid 14509,0
    2550325487)
    25504 *918 (LeafLogPort
     25488*922 (LeafLogPort
    2550525489port (LogicalPort
    2550625490m 1
     
    2551625500uid 14634,0
    2551725501)
    25518 *919 (LeafLogPort
     25502*923 (LeafLogPort
    2551925503port (LogicalPort
    2552025504m 4
     
    2553125515uid 15144,0
    2553225516)
    25533 *920 (LeafLogPort
     25517*924 (LeafLogPort
    2553425518port (LogicalPort
    2553525519m 4
     
    2554625530uid 15146,0
    2554725531)
    25548 *921 (LeafLogPort
     25532*925 (LeafLogPort
    2554925533port (LogicalPort
    2555025534m 4
     
    2556125545uid 15148,0
    2556225546)
     25547*926 (LeafLogPort
     25548port (LogicalPort
     25549m 4
     25550decl (Decl
     25551n "trigger_out"
     25552t "std_logic"
     25553o 123
     25554suid 301,0
     25555i "'0'"
     25556)
     25557)
     25558uid 15504,0
     25559)
    2556325560]
    2556425561)
     
    2556825565uid 67,0
    2556925566optionalChildren [
    25570 *922 (Sheet
     25567*927 (Sheet
    2557125568sheetRow (SheetRow
    2557225569headerVa (MVa
     
    2558525582font "Tahoma,10,0"
    2558625583)
    25587 emptyMRCItem *923 (MRCItem
    25588 litem &787
    25589 pos 122
     25584emptyMRCItem *928 (MRCItem
     25585litem &791
     25586pos 123
    2559025587dimension 20
    2559125588)
    2559225589uid 69,0
    2559325590optionalChildren [
    25594 *924 (MRCItem
    25595 litem &788
     25591*929 (MRCItem
     25592litem &792
    2559625593pos 0
    2559725594dimension 20
    2559825595uid 70,0
    2559925596)
    25600 *925 (MRCItem
    25601 litem &789
     25597*930 (MRCItem
     25598litem &793
    2560225599pos 1
    2560325600dimension 23
    2560425601uid 71,0
    2560525602)
    25606 *926 (MRCItem
    25607 litem &790
     25603*931 (MRCItem
     25604litem &794
    2560825605pos 2
    2560925606hidden 1
     
    2561125608uid 72,0
    2561225609)
    25613 *927 (MRCItem
    25614 litem &800
     25610*932 (MRCItem
     25611litem &804
    2561525612pos 37
    2561625613dimension 20
    2561725614uid 517,0
    2561825615)
    25619 *928 (MRCItem
    25620 litem &801
     25616*933 (MRCItem
     25617litem &805
    2562125618pos 38
    2562225619dimension 20
    2562325620uid 519,0
    2562425621)
    25625 *929 (MRCItem
    25626 litem &802
     25622*934 (MRCItem
     25623litem &806
    2562725624pos 39
    2562825625dimension 20
    2562925626uid 521,0
    2563025627)
    25631 *930 (MRCItem
    25632 litem &803
     25628*935 (MRCItem
     25629litem &807
    2563325630pos 40
    2563425631dimension 20
    2563525632uid 531,0
    2563625633)
    25637 *931 (MRCItem
    25638 litem &804
     25634*936 (MRCItem
     25635litem &808
    2563925636pos 41
    2564025637dimension 20
    2564125638uid 533,0
    2564225639)
    25643 *932 (MRCItem
    25644 litem &805
     25640*937 (MRCItem
     25641litem &809
    2564525642pos 0
    2564625643dimension 20
    2564725644uid 535,0
    2564825645)
    25649 *933 (MRCItem
    25650 litem &806
     25646*938 (MRCItem
     25647litem &810
    2565125648pos 1
    2565225649dimension 20
    2565325650uid 537,0
    2565425651)
    25655 *934 (MRCItem
    25656 litem &807
     25652*939 (MRCItem
     25653litem &811
    2565725654pos 2
    2565825655dimension 20
    2565925656uid 539,0
    2566025657)
    25661 *935 (MRCItem
    25662 litem &808
     25658*940 (MRCItem
     25659litem &812
    2566325660pos 3
    2566425661dimension 20
    2566525662uid 541,0
    2566625663)
    25667 *936 (MRCItem
    25668 litem &809
     25664*941 (MRCItem
     25665litem &813
    2566925666pos 4
    2567025667dimension 20
    2567125668uid 543,0
    2567225669)
    25673 *937 (MRCItem
    25674 litem &810
     25670*942 (MRCItem
     25671litem &814
    2567525672pos 5
    2567625673dimension 20
    2567725674uid 547,0
    2567825675)
    25679 *938 (MRCItem
    25680 litem &811
     25676*943 (MRCItem
     25677litem &815
    2568125678pos 6
    2568225679dimension 20
    2568325680uid 549,0
    2568425681)
    25685 *939 (MRCItem
    25686 litem &812
     25682*944 (MRCItem
     25683litem &816
    2568725684pos 8
    2568825685dimension 20
    2568925686uid 1456,0
    2569025687)
    25691 *940 (MRCItem
    25692 litem &813
     25688*945 (MRCItem
     25689litem &817
    2569325690pos 7
    2569425691dimension 20
    2569525692uid 1458,0
    2569625693)
    25697 *941 (MRCItem
    25698 litem &814
     25694*946 (MRCItem
     25695litem &818
    2569925696pos 9
    2570025697dimension 20
    2570125698uid 1695,0
    2570225699)
    25703 *942 (MRCItem
    25704 litem &815
     25700*947 (MRCItem
     25701litem &819
    2570525702pos 42
    2570625703dimension 20
    2570725704uid 1994,0
    2570825705)
    25709 *943 (MRCItem
    25710 litem &816
     25706*948 (MRCItem
     25707litem &820
    2571125708pos 43
    2571225709dimension 20
    2571325710uid 2306,0
    2571425711)
    25715 *944 (MRCItem
    25716 litem &817
     25712*949 (MRCItem
     25713litem &821
    2571725714pos 44
    2571825715dimension 20
    2571925716uid 2511,0
    2572025717)
    25721 *945 (MRCItem
    25722 litem &818
     25718*950 (MRCItem
     25719litem &822
    2572325720pos 45
    2572425721dimension 20
    2572525722uid 2513,0
    2572625723)
    25727 *946 (MRCItem
    25728 litem &819
     25724*951 (MRCItem
     25725litem &823
    2572925726pos 46
    2573025727dimension 20
    2573125728uid 2515,0
    2573225729)
    25733 *947 (MRCItem
    25734 litem &820
     25730*952 (MRCItem
     25731litem &824
    2573525732pos 47
    2573625733dimension 20
    2573725734uid 2517,0
    2573825735)
    25739 *948 (MRCItem
    25740 litem &821
     25736*953 (MRCItem
     25737litem &825
    2574125738pos 48
    2574225739dimension 20
    2574325740uid 2519,0
    2574425741)
    25745 *949 (MRCItem
    25746 litem &822
     25742*954 (MRCItem
     25743litem &826
    2574725744pos 49
    2574825745dimension 20
    2574925746uid 2521,0
    2575025747)
    25751 *950 (MRCItem
    25752 litem &823
     25748*955 (MRCItem
     25749litem &827
    2575325750pos 50
    2575425751dimension 20
    2575525752uid 2523,0
    2575625753)
    25757 *951 (MRCItem
    25758 litem &824
     25754*956 (MRCItem
     25755litem &828
    2575925756pos 51
    2576025757dimension 20
    2576125758uid 2605,0
    2576225759)
    25763 *952 (MRCItem
    25764 litem &825
     25760*957 (MRCItem
     25761litem &829
    2576525762pos 52
    2576625763dimension 20
    2576725764uid 2607,0
    2576825765)
    25769 *953 (MRCItem
    25770 litem &826
     25766*958 (MRCItem
     25767litem &830
    2577125768pos 53
    2577225769dimension 20
    2577325770uid 2609,0
    2577425771)
    25775 *954 (MRCItem
    25776 litem &827
     25772*959 (MRCItem
     25773litem &831
    2577725774pos 54
    2577825775dimension 20
    2577925776uid 2611,0
    2578025777)
    25781 *955 (MRCItem
    25782 litem &828
     25778*960 (MRCItem
     25779litem &832
    2578325780pos 55
    2578425781dimension 20
    2578525782uid 2613,0
    2578625783)
    25787 *956 (MRCItem
    25788 litem &829
     25784*961 (MRCItem
     25785litem &833
    2578925786pos 56
    2579025787dimension 20
    2579125788uid 2647,0
    2579225789)
    25793 *957 (MRCItem
    25794 litem &830
     25790*962 (MRCItem
     25791litem &834
    2579525792pos 10
    2579625793dimension 20
    2579725794uid 2813,0
    2579825795)
    25799 *958 (MRCItem
    25800 litem &831
     25796*963 (MRCItem
     25797litem &835
    2580125798pos 57
    2580225799dimension 20
    2580325800uid 2963,0
    2580425801)
    25805 *959 (MRCItem
    25806 litem &832
     25802*964 (MRCItem
     25803litem &836
    2580725804pos 11
    2580825805dimension 20
    2580925806uid 3903,0
    2581025807)
    25811 *960 (MRCItem
    25812 litem &833
     25808*965 (MRCItem
     25809litem &837
    2581325810pos 12
    2581425811dimension 20
    2581525812uid 4071,0
    2581625813)
    25817 *961 (MRCItem
    25818 litem &834
     25814*966 (MRCItem
     25815litem &838
    2581925816pos 58
    2582025817dimension 20
    2582125818uid 4213,0
    2582225819)
    25823 *962 (MRCItem
    25824 litem &835
     25820*967 (MRCItem
     25821litem &839
    2582525822pos 13
    2582625823dimension 20
    2582725824uid 4235,0
    2582825825)
    25829 *963 (MRCItem
    25830 litem &836
     25826*968 (MRCItem
     25827litem &840
    2583125828pos 14
    2583225829dimension 20
    2583325830uid 4263,0
    2583425831)
    25835 *964 (MRCItem
    25836 litem &837
     25832*969 (MRCItem
     25833litem &841
    2583725834pos 15
    2583825835dimension 20
    2583925836uid 4277,0
    2584025837)
    25841 *965 (MRCItem
    25842 litem &838
     25838*970 (MRCItem
     25839litem &842
    2584325840pos 59
    2584425841dimension 20
    2584525842uid 4564,0
    2584625843)
    25847 *966 (MRCItem
    25848 litem &839
     25844*971 (MRCItem
     25845litem &843
    2584925846pos 60
    2585025847dimension 20
    2585125848uid 4566,0
    2585225849)
    25853 *967 (MRCItem
    25854 litem &840
     25850*972 (MRCItem
     25851litem &844
    2585525852pos 61
    2585625853dimension 20
    2585725854uid 4570,0
    2585825855)
    25859 *968 (MRCItem
    25860 litem &841
     25856*973 (MRCItem
     25857litem &845
    2586125858pos 16
    2586225859dimension 20
    2586325860uid 4586,0
    2586425861)
    25865 *969 (MRCItem
    25866 litem &842
     25862*974 (MRCItem
     25863litem &846
    2586725864pos 17
    2586825865dimension 20
    2586925866uid 4588,0
    2587025867)
    25871 *970 (MRCItem
    25872 litem &843
     25868*975 (MRCItem
     25869litem &847
    2587325870pos 18
    2587425871dimension 20
    2587525872uid 4734,0
    2587625873)
    25877 *971 (MRCItem
    25878 litem &844
     25874*976 (MRCItem
     25875litem &848
    2587925876pos 19
    2588025877dimension 20
    2588125878uid 4736,0
    2588225879)
    25883 *972 (MRCItem
    25884 litem &845
     25880*977 (MRCItem
     25881litem &849
    2588525882pos 20
    2588625883dimension 20
    2588725884uid 4738,0
    2588825885)
    25889 *973 (MRCItem
    25890 litem &846
     25886*978 (MRCItem
     25887litem &850
    2589125888pos 21
    2589225889dimension 20
    2589325890uid 4740,0
    2589425891)
    25895 *974 (MRCItem
    25896 litem &847
     25892*979 (MRCItem
     25893litem &851
    2589725894pos 62
    2589825895dimension 20
    2589925896uid 4750,0
    2590025897)
    25901 *975 (MRCItem
    25902 litem &848
     25898*980 (MRCItem
     25899litem &852
    2590325900pos 22
    2590425901dimension 20
    2590525902uid 4975,0
    2590625903)
    25907 *976 (MRCItem
    25908 litem &849
     25904*981 (MRCItem
     25905litem &853
    2590925906pos 23
    2591025907dimension 20
    2591125908uid 4977,0
    2591225909)
    25913 *977 (MRCItem
    25914 litem &850
     25910*982 (MRCItem
     25911litem &854
    2591525912pos 63
    2591625913dimension 20
    2591725914uid 5199,0
    2591825915)
    25919 *978 (MRCItem
    25920 litem &851
     25916*983 (MRCItem
     25917litem &855
    2592125918pos 64
    2592225919dimension 20
    2592325920uid 5201,0
    2592425921)
    25925 *979 (MRCItem
    25926 litem &852
     25922*984 (MRCItem
     25923litem &856
    2592725924pos 65
    2592825925dimension 20
    2592925926uid 5203,0
    2593025927)
    25931 *980 (MRCItem
    25932 litem &853
     25928*985 (MRCItem
     25929litem &857
    2593325930pos 66
    2593425931dimension 20
    2593525932uid 5205,0
    2593625933)
    25937 *981 (MRCItem
    25938 litem &854
     25934*986 (MRCItem
     25935litem &858
    2593925936pos 67
    2594025937dimension 20
    2594125938uid 5207,0
    2594225939)
    25943 *982 (MRCItem
    25944 litem &855
     25940*987 (MRCItem
     25941litem &859
    2594525942pos 68
    2594625943dimension 20
    2594725944uid 5209,0
    2594825945)
    25949 *983 (MRCItem
    25950 litem &856
     25946*988 (MRCItem
     25947litem &860
    2595125948pos 69
    2595225949dimension 20
    2595325950uid 5211,0
    2595425951)
    25955 *984 (MRCItem
    25956 litem &857
     25952*989 (MRCItem
     25953litem &861
    2595725954pos 70
    2595825955dimension 20
    2595925956uid 5213,0
    2596025957)
    25961 *985 (MRCItem
    25962 litem &858
     25958*990 (MRCItem
     25959litem &862
    2596325960pos 71
    2596425961dimension 20
    2596525962uid 5215,0
    2596625963)
    25967 *986 (MRCItem
    25968 litem &859
     25964*991 (MRCItem
     25965litem &863
    2596925966pos 24
    2597025967dimension 20
    2597125968uid 5227,0
    2597225969)
    25973 *987 (MRCItem
    25974 litem &860
     25970*992 (MRCItem
     25971litem &864
    2597525972pos 72
    2597625973dimension 20
    2597725974uid 5503,0
    2597825975)
    25979 *988 (MRCItem
    25980 litem &861
     25976*993 (MRCItem
     25977litem &865
    2598125978pos 73
    2598225979dimension 20
    2598325980uid 5505,0
    2598425981)
    25985 *989 (MRCItem
    25986 litem &862
     25982*994 (MRCItem
     25983litem &866
    2598725984pos 74
    2598825985dimension 20
    2598925986uid 5601,0
    2599025987)
    25991 *990 (MRCItem
    25992 litem &863
     25988*995 (MRCItem
     25989litem &867
    2599325990pos 75
    2599425991dimension 20
    2599525992uid 5643,0
    2599625993)
    25997 *991 (MRCItem
    25998 litem &864
     25994*996 (MRCItem
     25995litem &868
    2599925996pos 76
    2600025997dimension 20
    2600125998uid 5645,0
    2600225999)
    26003 *992 (MRCItem
    26004 litem &865
     26000*997 (MRCItem
     26001litem &869
    2600526002pos 77
    2600626003dimension 20
    2600726004uid 5752,0
    2600826005)
    26009 *993 (MRCItem
    26010 litem &866
     26006*998 (MRCItem
     26007litem &870
    2601126008pos 25
    2601226009dimension 20
    2601326010uid 5868,0
    2601426011)
    26015 *994 (MRCItem
    26016 litem &867
     26012*999 (MRCItem
     26013litem &871
    2601726014pos 26
    2601826015dimension 20
    2601926016uid 5870,0
    2602026017)
    26021 *995 (MRCItem
    26022 litem &868
     26018*1000 (MRCItem
     26019litem &872
    2602326020pos 27
    2602426021dimension 20
    2602526022uid 5872,0
    2602626023)
    26027 *996 (MRCItem
    26028 litem &869
     26024*1001 (MRCItem
     26025litem &873
    2602926026pos 28
    2603026027dimension 20
    2603126028uid 5874,0
    2603226029)
    26033 *997 (MRCItem
    26034 litem &870
     26030*1002 (MRCItem
     26031litem &874
    2603526032pos 78
    2603626033dimension 20
    2603726034uid 5967,0
    2603826035)
    26039 *998 (MRCItem
    26040 litem &871
     26036*1003 (MRCItem
     26037litem &875
    2604126038pos 79
    2604226039dimension 20
    2604326040uid 5969,0
    2604426041)
    26045 *999 (MRCItem
    26046 litem &872
     26042*1004 (MRCItem
     26043litem &876
    2604726044pos 80
    2604826045dimension 20
    2604926046uid 6023,0
    2605026047)
    26051 *1000 (MRCItem
    26052 litem &873
     26048*1005 (MRCItem
     26049litem &877
    2605326050pos 81
    2605426051dimension 20
    2605526052uid 6025,0
    2605626053)
    26057 *1001 (MRCItem
    26058 litem &874
     26054*1006 (MRCItem
     26055litem &878
    2605926056pos 82
    2606026057dimension 20
    2606126058uid 6027,0
    2606226059)
    26063 *1002 (MRCItem
    26064 litem &875
     26060*1007 (MRCItem
     26061litem &879
    2606526062pos 29
    2606626063dimension 20
    2606726064uid 6173,0
    2606826065)
    26069 *1003 (MRCItem
    26070 litem &876
     26066*1008 (MRCItem
     26067litem &880
    2607126068pos 30
    2607226069dimension 20
    2607326070uid 6375,0
    2607426071)
    26075 *1004 (MRCItem
    26076 litem &877
     26072*1009 (MRCItem
     26073litem &881
    2607726074pos 83
    2607826075dimension 20
    2607926076uid 6465,0
    2608026077)
    26081 *1005 (MRCItem
    26082 litem &878
     26078*1010 (MRCItem
     26079litem &882
    2608326080pos 84
    2608426081dimension 20
    2608526082uid 6555,0
    2608626083)
    26087 *1006 (MRCItem
    26088 litem &879
     26084*1011 (MRCItem
     26085litem &883
    2608926086pos 85
    2609026087dimension 20
    2609126088uid 8421,0
    2609226089)
    26093 *1007 (MRCItem
    26094 litem &880
     26090*1012 (MRCItem
     26091litem &884
    2609526092pos 86
    2609626093dimension 20
    2609726094uid 8759,0
    2609826095)
    26099 *1008 (MRCItem
    26100 litem &881
     26096*1013 (MRCItem
     26097litem &885
    2610126098pos 87
    2610226099dimension 20
    2610326100uid 8761,0
    2610426101)
    26105 *1009 (MRCItem
    26106 litem &882
     26102*1014 (MRCItem
     26103litem &886
    2610726104pos 31
    2610826105dimension 20
    2610926106uid 9019,0
    2611026107)
    26111 *1010 (MRCItem
    26112 litem &883
     26108*1015 (MRCItem
     26109litem &887
    2611326110pos 88
    2611426111dimension 20
    2611526112uid 9248,0
    2611626113)
    26117 *1011 (MRCItem
    26118 litem &884
     26114*1016 (MRCItem
     26115litem &888
    2611926116pos 89
    2612026117dimension 20
    2612126118uid 9250,0
    2612226119)
    26123 *1012 (MRCItem
    26124 litem &885
     26120*1017 (MRCItem
     26121litem &889
    2612526122pos 90
    2612626123dimension 20
    2612726124uid 10025,0
    2612826125)
    26129 *1013 (MRCItem
    26130 litem &886
     26126*1018 (MRCItem
     26127litem &890
    2613126128pos 91
    2613226129dimension 20
    2613326130uid 10027,0
    2613426131)
    26135 *1014 (MRCItem
    26136 litem &887
     26132*1019 (MRCItem
     26133litem &891
    2613726134pos 92
    2613826135dimension 20
    2613926136uid 10029,0
    2614026137)
    26141 *1015 (MRCItem
    26142 litem &888
     26138*1020 (MRCItem
     26139litem &892
    2614326140pos 93
    2614426141dimension 20
    2614526142uid 10209,0
    2614626143)
    26147 *1016 (MRCItem
    26148 litem &889
     26144*1021 (MRCItem
     26145litem &893
    2614926146pos 94
    2615026147dimension 20
    2615126148uid 10211,0
    2615226149)
    26153 *1017 (MRCItem
    26154 litem &890
     26150*1022 (MRCItem
     26151litem &894
    2615526152pos 95
    2615626153dimension 20
    2615726154uid 10295,0
    2615826155)
    26159 *1018 (MRCItem
    26160 litem &891
     26156*1023 (MRCItem
     26157litem &895
    2616126158pos 96
    2616226159dimension 20
    2616326160uid 10335,0
    2616426161)
    26165 *1019 (MRCItem
    26166 litem &892
     26162*1024 (MRCItem
     26163litem &896
    2616726164pos 97
    2616826165dimension 20
    2616926166uid 10337,0
    2617026167)
    26171 *1020 (MRCItem
    26172 litem &893
     26168*1025 (MRCItem
     26169litem &897
    2617326170pos 98
    2617426171dimension 20
    2617526172uid 10339,0
    2617626173)
    26177 *1021 (MRCItem
    26178 litem &894
     26174*1026 (MRCItem
     26175litem &898
    2617926176pos 99
    2618026177dimension 20
    2618126178uid 10341,0
    2618226179)
    26183 *1022 (MRCItem
    26184 litem &895
     26180*1027 (MRCItem
     26181litem &899
    2618526182pos 32
    2618626183dimension 20
    2618726184uid 10343,0
    2618826185)
    26189 *1023 (MRCItem
    26190 litem &896
     26186*1028 (MRCItem
     26187litem &900
    2619126188pos 100
    2619226189dimension 20
    2619326190uid 10476,0
    2619426191)
    26195 *1024 (MRCItem
    26196 litem &897
     26192*1029 (MRCItem
     26193litem &901
    2619726194pos 101
    2619826195dimension 20
    2619926196uid 10764,0
    2620026197)
    26201 *1025 (MRCItem
    26202 litem &898
     26198*1030 (MRCItem
     26199litem &902
    2620326200pos 102
    2620426201dimension 20
    2620526202uid 10766,0
    2620626203)
    26207 *1026 (MRCItem
    26208 litem &899
     26204*1031 (MRCItem
     26205litem &903
    2620926206pos 33
    2621026207dimension 20
    2621126208uid 10768,0
    2621226209)
    26213 *1027 (MRCItem
    26214 litem &900
     26210*1032 (MRCItem
     26211litem &904
    2621526212pos 34
    2621626213dimension 20
    2621726214uid 10770,0
    2621826215)
    26219 *1028 (MRCItem
    26220 litem &901
     26216*1033 (MRCItem
     26217litem &905
    2622126218pos 35
    2622226219dimension 20
    2622326220uid 10772,0
    2622426221)
    26225 *1029 (MRCItem
    26226 litem &902
     26222*1034 (MRCItem
     26223litem &906
    2622726224pos 103
    2622826225dimension 20
    2622926226uid 11412,0
    2623026227)
    26231 *1030 (MRCItem
    26232 litem &903
     26228*1035 (MRCItem
     26229litem &907
    2623326230pos 104
    2623426231dimension 20
    2623526232uid 11967,0
    2623626233)
    26237 *1031 (MRCItem
    26238 litem &904
     26234*1036 (MRCItem
     26235litem &908
    2623926236pos 105
    2624026237dimension 20
    2624126238uid 12311,0
    2624226239)
    26243 *1032 (MRCItem
    26244 litem &905
     26240*1037 (MRCItem
     26241litem &909
    2624526242pos 106
    2624626243dimension 20
    2624726244uid 12660,0
    2624826245)
    26249 *1033 (MRCItem
    26250 litem &906
     26246*1038 (MRCItem
     26247litem &910
    2625126248pos 107
    2625226249dimension 20
    2625326250uid 12662,0
    2625426251)
    26255 *1034 (MRCItem
    26256 litem &907
     26252*1039 (MRCItem
     26253litem &911
    2625726254pos 108
    2625826255dimension 20
    2625926256uid 12664,0
    2626026257)
    26261 *1035 (MRCItem
    26262 litem &908
     26258*1040 (MRCItem
     26259litem &912
    2626326260pos 36
    2626426261dimension 20
    2626526262uid 12720,0
    2626626263)
    26267 *1036 (MRCItem
    26268 litem &909
     26264*1041 (MRCItem
     26265litem &913
    2626926266pos 109
    2627026267dimension 20
    2627126268uid 13276,0
    2627226269)
    26273 *1037 (MRCItem
    26274 litem &910
     26270*1042 (MRCItem
     26271litem &914
    2627526272pos 110
    2627626273dimension 20
    2627726274uid 13278,0
    2627826275)
    26279 *1038 (MRCItem
    26280 litem &911
     26276*1043 (MRCItem
     26277litem &915
    2628126278pos 111
    2628226279dimension 20
    2628326280uid 13280,0
    2628426281)
    26285 *1039 (MRCItem
    26286 litem &912
     26282*1044 (MRCItem
     26283litem &916
    2628726284pos 112
    2628826285dimension 20
    2628926286uid 13282,0
    2629026287)
    26291 *1040 (MRCItem
    26292 litem &913
     26288*1045 (MRCItem
     26289litem &917
    2629326290pos 113
    2629426291dimension 20
    2629526292uid 13688,0
    2629626293)
    26297 *1041 (MRCItem
    26298 litem &914
     26294*1046 (MRCItem
     26295litem &918
    2629926296pos 114
    2630026297dimension 20
    2630126298uid 14041,0
    2630226299)
    26303 *1042 (MRCItem
    26304 litem &915
     26300*1047 (MRCItem
     26301litem &919
    2630526302pos 115
    2630626303dimension 20
    2630726304uid 14164,0
    2630826305)
    26309 *1043 (MRCItem
    26310 litem &916
     26306*1048 (MRCItem
     26307litem &920
    2631126308pos 116
    2631226309dimension 20
    2631326310uid 14508,0
    2631426311)
    26315 *1044 (MRCItem
    26316 litem &917
     26312*1049 (MRCItem
     26313litem &921
    2631726314pos 117
    2631826315dimension 20
    2631926316uid 14510,0
    2632026317)
    26321 *1045 (MRCItem
    26322 litem &918
     26318*1050 (MRCItem
     26319litem &922
    2632326320pos 118
    2632426321dimension 20
    2632526322uid 14635,0
    2632626323)
    26327 *1046 (MRCItem
    26328 litem &919
     26324*1051 (MRCItem
     26325litem &923
    2632926326pos 119
    2633026327dimension 20
    2633126328uid 15145,0
    2633226329)
    26333 *1047 (MRCItem
    26334 litem &920
     26330*1052 (MRCItem
     26331litem &924
    2633526332pos 120
    2633626333dimension 20
    2633726334uid 15147,0
    2633826335)
    26339 *1048 (MRCItem
    26340 litem &921
     26336*1053 (MRCItem
     26337litem &925
    2634126338pos 121
    2634226339dimension 20
    2634326340uid 15149,0
     26341)
     26342*1054 (MRCItem
     26343litem &926
     26344pos 122
     26345dimension 20
     26346uid 15505,0
    2634426347)
    2634526348]
     
    2635426357uid 73,0
    2635526358optionalChildren [
    26356 *1049 (MRCItem
    26357 litem &791
     26359*1055 (MRCItem
     26360litem &795
    2635826361pos 0
    2635926362dimension 20
    2636026363uid 74,0
    2636126364)
    26362 *1050 (MRCItem
    26363 litem &793
     26365*1056 (MRCItem
     26366litem &797
    2636426367pos 1
    2636526368dimension 50
    2636626369uid 75,0
    2636726370)
    26368 *1051 (MRCItem
    26369 litem &794
     26371*1057 (MRCItem
     26372litem &798
    2637026373pos 2
    2637126374dimension 100
    2637226375uid 76,0
    2637326376)
    26374 *1052 (MRCItem
    26375 litem &795
     26377*1058 (MRCItem
     26378litem &799
    2637626379pos 3
    2637726380dimension 50
    2637826381uid 77,0
    2637926382)
    26380 *1053 (MRCItem
    26381 litem &796
     26383*1059 (MRCItem
     26384litem &800
    2638226385pos 4
    2638326386dimension 100
    2638426387uid 78,0
    2638526388)
    26386 *1054 (MRCItem
    26387 litem &797
     26389*1060 (MRCItem
     26390litem &801
    2638826391pos 5
    2638926392dimension 100
    2639026393uid 79,0
    2639126394)
    26392 *1055 (MRCItem
    26393 litem &798
     26395*1061 (MRCItem
     26396litem &802
    2639426397pos 6
    2639526398dimension 50
    2639626399uid 80,0
    2639726400)
    26398 *1056 (MRCItem
    26399 litem &799
     26401*1062 (MRCItem
     26402litem &803
    2640026403pos 7
    2640126404dimension 290
     
    2641726420genericsCommonDM (CommonDM
    2641826421ldm (LogicalDM
    26419 emptyRow *1057 (LEmptyRow
     26422emptyRow *1063 (LEmptyRow
    2642026423)
    2642126424uid 83,0
    2642226425optionalChildren [
    26423 *1058 (RefLabelRowHdr
    26424 )
    26425 *1059 (TitleRowHdr
    26426 )
    26427 *1060 (FilterRowHdr
    26428 )
    26429 *1061 (RefLabelColHdr
     26426*1064 (RefLabelRowHdr
     26427)
     26428*1065 (TitleRowHdr
     26429)
     26430*1066 (FilterRowHdr
     26431)
     26432*1067 (RefLabelColHdr
    2643026433tm "RefLabelColHdrMgr"
    2643126434)
    26432 *1062 (RowExpandColHdr
     26435*1068 (RowExpandColHdr
    2643326436tm "RowExpandColHdrMgr"
    2643426437)
    26435 *1063 (GroupColHdr
     26438*1069 (GroupColHdr
    2643626439tm "GroupColHdrMgr"
    2643726440)
    26438 *1064 (NameColHdr
     26441*1070 (NameColHdr
    2643926442tm "GenericNameColHdrMgr"
    2644026443)
    26441 *1065 (TypeColHdr
     26444*1071 (TypeColHdr
    2644226445tm "GenericTypeColHdrMgr"
    2644326446)
    26444 *1066 (InitColHdr
     26447*1072 (InitColHdr
    2644526448tm "GenericValueColHdrMgr"
    2644626449)
    26447 *1067 (PragmaColHdr
     26450*1073 (PragmaColHdr
    2644826451tm "GenericPragmaColHdrMgr"
    2644926452)
    26450 *1068 (EolColHdr
     26453*1074 (EolColHdr
    2645126454tm "GenericEolColHdrMgr"
    2645226455)
    26453 *1069 (LogGeneric
     26456*1075 (LogGeneric
    2645426457generic (GiElement
    2645526458name "RAMADDRWIDTH64b"
     
    2646626469uid 95,0
    2646726470optionalChildren [
    26468 *1070 (Sheet
     26471*1076 (Sheet
    2646926472sheetRow (SheetRow
    2647026473headerVa (MVa
     
    2648326486font "Tahoma,10,0"
    2648426487)
    26485 emptyMRCItem *1071 (MRCItem
    26486 litem &1057
     26488emptyMRCItem *1077 (MRCItem
     26489litem &1063
    2648726490pos 1
    2648826491dimension 20
     
    2649026493uid 97,0
    2649126494optionalChildren [
    26492 *1072 (MRCItem
    26493 litem &1058
     26495*1078 (MRCItem
     26496litem &1064
    2649426497pos 0
    2649526498dimension 20
    2649626499uid 98,0
    2649726500)
    26498 *1073 (MRCItem
    26499 litem &1059
     26501*1079 (MRCItem
     26502litem &1065
    2650026503pos 1
    2650126504dimension 23
    2650226505uid 99,0
    2650326506)
    26504 *1074 (MRCItem
    26505 litem &1060
     26507*1080 (MRCItem
     26508litem &1066
    2650626509pos 2
    2650726510hidden 1
     
    2650926512uid 100,0
    2651026513)
    26511 *1075 (MRCItem
    26512 litem &1069
     26514*1081 (MRCItem
     26515litem &1075
    2651326516pos 0
    2651426517dimension 20
     
    2652626529uid 101,0
    2652726530optionalChildren [
    26528 *1076 (MRCItem
    26529 litem &1061
     26531*1082 (MRCItem
     26532litem &1067
    2653026533pos 0
    2653126534dimension 20
    2653226535uid 102,0
    2653326536)
    26534 *1077 (MRCItem
    26535 litem &1063
     26537*1083 (MRCItem
     26538litem &1069
    2653626539pos 1
    2653726540dimension 50
    2653826541uid 103,0
    2653926542)
    26540 *1078 (MRCItem
    26541 litem &1064
     26543*1084 (MRCItem
     26544litem &1070
    2654226545pos 2
    2654326546dimension 186
    2654426547uid 104,0
    2654526548)
    26546 *1079 (MRCItem
    26547 litem &1065
     26549*1085 (MRCItem
     26550litem &1071
    2654826551pos 3
    2654926552dimension 96
    2655026553uid 105,0
    2655126554)
    26552 *1080 (MRCItem
    26553 litem &1066
     26555*1086 (MRCItem
     26556litem &1072
    2655426557pos 4
    2655526558dimension 50
    2655626559uid 106,0
    2655726560)
    26558 *1081 (MRCItem
    26559 litem &1067
     26561*1087 (MRCItem
     26562litem &1073
    2656026563pos 5
    2656126564dimension 50
    2656226565uid 107,0
    2656326566)
    26564 *1082 (MRCItem
    26565 litem &1068
     26567*1088 (MRCItem
     26568litem &1074
    2656626569pos 6
    2656726570dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/symbol.sb

    r10138 r10155  
    11991199(vvPair
    12001200variable "date"
    1201 value "08.02.2011"
     1201value "15.02.2011"
    12021202)
    12031203(vvPair
     
    12111211(vvPair
    12121212variable "dd"
    1213 value "08"
     1213value "15"
    12141214)
    12151215(vvPair
     
    13511351(vvPair
    13521352variable "time"
    1353 value "11:06:22"
     1353value "09:27:14"
    13541354)
    13551355(vvPair
     
    40004000)
    40014001)
    4002 lastUid 5942,0
     4002lastUid 5988,0
    40034003okToSyncOnLoad 1
    40044004OkToSyncGenericsOnLoad 1
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/clock_generator_var_ps/struct.bd

    r10129 r10155  
    1515unitName "numeric_std"
    1616)
     17(DmPackageRef
     18library "FACT_FAD_lib"
     19unitName "fad_definitions"
     20)
    1721]
    1822instances [
     
    5761"ieee"
    5862"UNISIM"
     63"FACT_FAD_lib"
    5964]
    6065)
     
    107112(vvPair
    108113variable "date"
    109 value "04.02.2011"
     114value "12.02.2011"
    110115)
    111116(vvPair
    112117variable "day"
    113 value "Fr"
     118value "Sa"
    114119)
    115120(vvPair
    116121variable "day_long"
    117 value "Freitag"
     122value "Samstag"
    118123)
    119124(vvPair
    120125variable "dd"
    121 value "04"
     126value "12"
    122127)
    123128(vvPair
     
    255260(vvPair
    256261variable "time"
    257 value "12:52:25"
     262value "13:16:51"
    258263)
    259264(vvPair
     
    761766)
    762767xt "11000,-9200,24000,-8400"
    763 st "CLK_50      : std_logic"
     768st "CLK_50      : std_logic
     769"
    764770)
    765771)
     
    778784)
    779785xt "11000,-10800,24000,-10000"
    780 st "CLK_25      : std_logic"
     786st "CLK_25      : std_logic
     787"
    781788)
    782789)
     
    795802)
    796803xt "11000,-14000,24000,-13200"
    797 st "CLK         : std_logic"
     804st "CLK         : std_logic
     805"
    798806)
    799807)
     
    15631571)
    15641572xt "11000,-6600,27500,-5800"
    1565 st "SIGNAL CLK0_OUT    : std_logic"
     1573st "SIGNAL CLK0_OUT    : std_logic
     1574"
    15661575)
    15671576)
     
    15801589)
    15811590xt "11000,-5000,27500,-4200"
    1582 st "SIGNAL PSCLK_IN    : std_logic"
     1591st "SIGNAL PSCLK_IN    : std_logic
     1592"
    15831593)
    15841594)
     
    15971607)
    15981608xt "11000,-3400,27500,-2600"
    1599 st "SIGNAL PSEN_IN     : std_logic"
     1609st "SIGNAL PSEN_IN     : std_logic
     1610"
    16001611)
    16011612)
     
    16141625)
    16151626xt "11000,-2600,27500,-1800"
    1616 st "SIGNAL PSINCDEC_IN : std_logic"
     1627st "SIGNAL PSINCDEC_IN : std_logic
     1628"
    16171629)
    16181630)
     
    16311643)
    16321644xt "11000,-4200,27500,-3400"
    1633 st "SIGNAL PSDONE_OUT  : std_logic"
     1645st "SIGNAL PSDONE_OUT  : std_logic
     1646"
    16341647)
    16351648)
     
    16481661)
    16491662xt "11000,-5800,27500,-5000"
    1650 st "SIGNAL LOCKED_OUT  : std_logic"
     1663st "SIGNAL LOCKED_OUT  : std_logic
     1664"
    16511665)
    16521666)
     
    18741888n "LOCKED"
    18751889t "std_logic"
     1890eolc "-- when is this going high?"
    18761891preAdd 0
    18771892posAdd 0
     
    19892004preAdd 0
    19902005posAdd 0
    1991 o 10
     2006o 11
    19922007suid 10,0
    19932008i "'0'"
     
    20282043preAdd 0
    20292044posAdd 0
    2030 o 11
     2045o 12
    20312046suid 11,0
    20322047i "'0'"
     
    20682083preAdd 0
    20692084posAdd 0
    2070 o 12
     2085o 13
    20712086suid 12,0
    20722087i "(OTHERS => '0')"
     
    20752090)
    20762091*62 (CptPort
    2077 uid 815,0
    2078 ps "OnEdgeStrategy"
    2079 shape (Triangle
    2080 uid 816,0
    2081 ro 90
    2082 va (VaSet
    2083 vasetType 1
    2084 fg "0,65535,0"
    2085 )
    2086 xt "64000,32625,64750,33375"
    2087 )
    2088 tg (CPTG
    2089 uid 817,0
    2090 ps "CptPortTextPlaceStrategy"
    2091 stg "RightVerticalLayoutStrategy"
    2092 f (Text
    2093 uid 818,0
    2094 va (VaSet
    2095 )
    2096 xt "57800,32500,63000,33500"
    2097 st "DCM_locked"
    2098 ju 2
    2099 blo "63000,33300"
    2100 )
    2101 )
    2102 thePort (LogicalPort
    2103 m 1
    2104 decl (Decl
    2105 n "DCM_locked"
    2106 t "std_logic"
    2107 preAdd 0
    2108 posAdd 0
    2109 o 13
    2110 suid 13,0
    2111 )
    2112 )
    2113 )
    2114 *63 (CptPort
    21152092uid 1621,0
    21162093ps "OnEdgeStrategy"
    21172094shape (Triangle
    2118 uid 1622,0
    2119 ro 90
     2095ro 270
    21202096va (VaSet
    21212097vasetType 1
     
    21382114)
    21392115thePort (LogicalPort
     2116m 1
    21402117decl (Decl
    21412118n "rst"
     
    21452122o 2
    21462123suid 15,0
     2124i "'0'"
     2125)
     2126)
     2127)
     2128*63 (CptPort
     2129uid 1975,0
     2130ps "OnEdgeStrategy"
     2131shape (Triangle
     2132uid 1976,0
     2133ro 90
     2134va (VaSet
     2135vasetType 1
     2136fg "0,65535,0"
     2137)
     2138xt "37250,41625,38000,42375"
     2139)
     2140tg (CPTG
     2141uid 1977,0
     2142ps "CptPortTextPlaceStrategy"
     2143stg "VerticalLayoutStrategy"
     2144f (Text
     2145uid 1978,0
     2146va (VaSet
     2147)
     2148xt "39000,41500,43400,42500"
     2149st "reset_DCM"
     2150blo "39000,42300"
     2151)
     2152)
     2153thePort (LogicalPort
     2154decl (Decl
     2155n "reset_DCM"
     2156t "std_logic"
     2157eolc "-- asynch in: orders us, to reset the DCM"
     2158posAdd 0
     2159o 10
     2160suid 17,0
    21472161)
    21482162)
     
    21572171lineWidth 2
    21582172)
    2159 xt "38000,28000,64000,43000"
     2173xt "38000,28000,64000,44000"
    21602174)
    21612175oxt "50000,7000,63000,25000"
     
    22212235fg "49152,49152,49152"
    22222236)
    2223 xt "38250,41250,39750,42750"
     2237xt "38250,42250,39750,43750"
    22242238iconName "VhdlFileViewIcon.png"
    22252239iconMaskName "VhdlFileViewIcon.msk"
     
    22912305)
    22922306xt "11000,-10000,24000,-9200"
    2293 st "CLK_25_PS   : std_logic"
     2307st "CLK_25_PS   : std_logic
     2308"
    22942309)
    22952310)
     
    23542369)
    23552370xt "11000,-11600,24000,-10800"
    2356 st "do_shift    : std_logic"
     2371st "do_shift    : std_logic
     2372"
    23572373)
    23582374)
     
    24172433)
    24182434xt "11000,-12400,24000,-11600"
    2419 st "direction   : std_logic"
     2435st "direction   : std_logic
     2436"
    24202437)
    24212438)
     
    24342451)
    24352452xt "11000,-13200,24000,-12400"
    2436 st "RST_IN      : std_logic"
     2453st "RST_IN      : std_logic
     2454"
    24372455)
    24382456)
     
    24502468sl 0
    24512469ro 270
    2452 xt "6000,35625,7500,36375"
     2470xt "28000,42625,29500,43375"
    24532471)
    24542472(Line
     
    24562474sl 0
    24572475ro 270
    2458 xt "7500,36000,8000,36000"
     2476xt "29500,43000,30000,43000"
    24592477pts [
    2460 "7500,36000"
    2461 "8000,36000"
     2478"29500,43000"
     2479"30000,43000"
    24622480]
    24632481)
     
    24752493font "arial,8,0"
    24762494)
    2477 xt "1800,35500,5000,36500"
     2495xt "23800,42500,27000,43500"
    24782496st "RST_IN"
    24792497ju 2
    2480 blo "5000,36300"
     2498blo "27000,43300"
    24812499tm "WireNameMgr"
    24822500)
     
    25012519)
    25022520xt "11000,-8400,43500,-7600"
    2503 st "offset      : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
     2521st "offset      : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
     2522"
    25042523)
    25052524)
     
    25492568)
    25502569)
    2551 *77 (Wire
     2570*77 (Net
     2571uid 1979,0
     2572decl (Decl
     2573n "rst"
     2574t "std_logic"
     2575eolc "--asynch in of DCM"
     2576posAdd 0
     2577o 15
     2578suid 40,0
     2579i "'0'"
     2580)
     2581declText (MLText
     2582uid 1980,0
     2583va (VaSet
     2584font "Courier New,8,0"
     2585)
     2586xt "11000,-1800,50500,-1000"
     2587st "SIGNAL rst         : std_logic                    := '0' --asynch in of DCM
     2588"
     2589)
     2590)
     2591*78 (Wire
    25522592uid 163,0
    25532593shape (OrthoPolyLine
     
    25882628on &17
    25892629)
    2590 *78 (Wire
     2630*79 (Wire
    25912631uid 191,0
    25922632shape (OrthoPolyLine
     
    26272667on &15
    26282668)
    2629 *79 (Wire
     2669*80 (Wire
    26302670uid 209,0
    26312671optionalChildren [
    2632 *80 (BdJunction
     2672*81 (BdJunction
    26332673uid 233,0
    26342674ps "OnConnectorStrategy"
     
    26802720on &16
    26812721)
    2682 *81 (Wire
     2722*82 (Wire
    26832723uid 229,0
    26842724shape (OrthoPolyLine
     
    26942734]
    26952735)
    2696 start &80
     2736start &81
    26972737end &19
    26982738sat 32
     
    27192759on &16
    27202760)
    2721 *82 (Wire
     2761*83 (Wire
    27222762uid 526,0
    27232763shape (OrthoPolyLine
     
    27602800on &44
    27612801)
    2762 *83 (Wire
     2802*84 (Wire
    27632803uid 532,0
    27642804shape (OrthoPolyLine
     
    28032843on &45
    28042844)
    2805 *84 (Wire
     2845*85 (Wire
    28062846uid 546,0
    28072847shape (OrthoPolyLine
     
    28462886on &46
    28472887)
    2848 *85 (Wire
     2888*86 (Wire
    28492889uid 588,0
    28502890shape (OrthoPolyLine
     
    28852925on &48
    28862926)
    2887 *86 (Wire
     2927*87 (Wire
    28882928uid 602,0
    28892929shape (OrthoPolyLine
     
    29242964on &49
    29252965)
    2926 *87 (Wire
     2966*88 (Wire
    29272967uid 841,0
    29282968shape (OrthoPolyLine
     
    29673007on &47
    29683008)
    2969 *88 (Wire
     3009*89 (Wire
    29703010uid 1254,0
    29713011shape (OrthoPolyLine
     
    30063046on &68
    30073047)
    3008 *89 (Wire
     3048*90 (Wire
    30093049uid 1272,0
    30103050shape (OrthoPolyLine
     
    30453085on &70
    30463086)
    3047 *90 (Wire
     3087*91 (Wire
    30483088uid 1286,0
    30493089shape (OrthoPolyLine
     
    30843124on &72
    30853125)
    3086 *91 (Wire
     3126*92 (Wire
    30873127uid 1458,0
    30883128shape (OrthoPolyLine
     
    31213161on &44
    31223162)
    3123 *92 (Wire
     3163*93 (Wire
    31243164uid 1493,0
    3125 optionalChildren [
    3126 *93 (BdJunction
    3127 uid 1629,0
    3128 ps "OnConnectorStrategy"
    3129 shape (Circle
    3130 uid 1630,0
    3131 va (VaSet
    3132 vasetType 1
    3133 )
    3134 xt "9600,35600,10400,36400"
    3135 radius 400
    3136 )
    3137 )
    3138 ]
    31393165shape (OrthoPolyLine
    31403166uid 1494,0
     
    31423168vasetType 3
    31433169)
    3144 xt "8000,36000,13250,36000"
     3170xt "30000,42000,37250,43000"
    31453171pts [
    3146 "8000,36000"
    3147 "13250,36000"
     3172"30000,43000"
     3173"37250,42000"
    31483174]
    31493175)
    31503176start &74
    3151 end &40
     3177end &63
     3178es 0
    31523179sat 32
    31533180eat 32
     
    31663193font "arial,8,0"
    31673194)
    3168 xt "9000,35000,12200,36000"
     3195xt "31000,42000,34200,43000"
    31693196st "RST_IN"
    3170 blo "9000,35800"
     3197blo "31000,42800"
    31713198tm "WireNameMgr"
    31723199)
     
    32163243)
    32173244*95 (Wire
    3218 uid 1625,0
     3245uid 1981,0
    32193246shape (OrthoPolyLine
    3220 uid 1626,0
     3247uid 1982,0
    32213248va (VaSet
    32223249vasetType 3
    32233250)
    3224 xt "10000,36000,37250,41000"
     3251xt "8000,36000,37250,41000"
    32253252pts [
    32263253"37250,41000"
    3227 "10000,41000"
    3228 "10000,36000"
    3229 ]
    3230 )
    3231 start &63
    3232 end &93
     3254"8000,41000"
     3255"8000,36000"
     3256"13250,36000"
     3257]
     3258)
     3259start &62
     3260end &40
    32333261sat 32
    32343262eat 32
    3235 stc 0
    32363263st 0
    32373264sf 1
    32383265si 0
    32393266tg (WTG
    3240 uid 1627,0
     3267uid 1983,0
    32413268ps "ConnStartEndStrategy"
    32423269stg "STSignalDisplayStrategy"
    32433270f (Text
    3244 uid 1628,0
    3245 va (VaSet
    3246 font "arial,8,0"
    3247 )
    3248 xt "33250,40000,36450,41000"
    3249 st "RST_IN"
    3250 blo "33250,40800"
     3271uid 1984,0
     3272va (VaSet
     3273font "arial,8,0"
     3274)
     3275xt "35250,40000,36550,41000"
     3276st "rst"
     3277blo "35250,40800"
    32513278tm "WireNameMgr"
    32523279)
    32533280)
    3254 on &73
     3281on &77
    32553282)
    32563283]
     
    32843311font "arial,8,0"
    32853312)
    3286 xt "0,1000,12300,7000"
     3313xt "0,1000,14500,9000"
    32873314st "LIBRARY ieee;
    32883315USE ieee.std_logic_1164.all;
     
    32903317USE ieee.numeric_std.all;
    32913318LIBRARY UNISIM;
    3292 --USE UNISIM.Vcomponents.all;"
     3319--USE UNISIM.Vcomponents.all;
     3320LIBRARY FACT_FAD_lib;
     3321USE FACT_FAD_lib.fad_definitions.all;"
    32933322tm "PackageList"
    32943323)
     
    33723401)
    33733402windowSize "0,0,1281,1024"
    3374 viewArea "-5415,-5866,75221,60634"
     3403viewArea "-5400,-10460,75236,56040"
    33753404cachedDiagramExtent "0,-16000,73000,46000"
    33763405pageSetupInfo (PageSetupInfo
     
    33843413)
    33853414hasePageBreakOrigin 1
    3386 pageBreakOrigin "0,0"
    3387 lastUid 1655,0
     3415pageBreakOrigin "0,-49000"
     3416lastUid 1986,0
    33883417defaultCommentText (CommentText
    33893418shape (Rectangle
     
    44154444commonDM (CommonDM
    44164445ldm (LogicalDM
    4417 suid 39,0
     4446suid 40,0
    44184447usingSuid 1
    44194448emptyRow *127 (LEmptyRow
     
    46254654uid 1631,0
    46264655)
     4656*154 (LeafLogPort
     4657port (LogicalPort
     4658m 4
     4659decl (Decl
     4660n "rst"
     4661t "std_logic"
     4662eolc "--asynch in of DCM"
     4663posAdd 0
     4664o 15
     4665suid 40,0
     4666i "'0'"
     4667)
     4668)
     4669uid 1985,0
     4670)
    46274671]
    46284672)
     
    46324676uid 67,0
    46334677optionalChildren [
    4634 *154 (Sheet
     4678*155 (Sheet
    46354679sheetRow (SheetRow
    46364680headerVa (MVa
     
    46494693font "Tahoma,10,0"
    46504694)
    4651 emptyMRCItem *155 (MRCItem
     4695emptyMRCItem *156 (MRCItem
    46524696litem &127
    4653 pos 14
     4697pos 15
    46544698dimension 20
    46554699)
    46564700uid 69,0
    46574701optionalChildren [
    4658 *156 (MRCItem
     4702*157 (MRCItem
    46594703litem &128
    46604704pos 0
     
    46624706uid 70,0
    46634707)
    4664 *157 (MRCItem
     4708*158 (MRCItem
    46654709litem &129
    46664710pos 1
     
    46684712uid 71,0
    46694713)
    4670 *158 (MRCItem
     4714*159 (MRCItem
    46714715litem &130
    46724716pos 2
     
    46754719uid 72,0
    46764720)
    4677 *159 (MRCItem
     4721*160 (MRCItem
    46784722litem &140
    46794723pos 0
     
    46814725uid 238,0
    46824726)
    4683 *160 (MRCItem
     4727*161 (MRCItem
    46844728litem &141
    46854729pos 1
     
    46874731uid 240,0
    46884732)
    4689 *161 (MRCItem
     4733*162 (MRCItem
    46904734litem &142
    46914735pos 2
     
    46934737uid 296,0
    46944738)
    4695 *162 (MRCItem
     4739*163 (MRCItem
    46964740litem &143
    46974741pos 8
     
    46994743uid 615,0
    47004744)
    4701 *163 (MRCItem
     4745*164 (MRCItem
    47024746litem &144
    47034747pos 3
     
    47054749uid 617,0
    47064750)
    4707 *164 (MRCItem
     4751*165 (MRCItem
    47084752litem &145
    47094753pos 4
     
    47114755uid 619,0
    47124756)
    4713 *165 (MRCItem
     4757*166 (MRCItem
    47144758litem &146
    47154759pos 5
     
    47174761uid 621,0
    47184762)
    4719 *166 (MRCItem
     4763*167 (MRCItem
    47204764litem &147
    47214765pos 6
     
    47234767uid 625,0
    47244768)
    4725 *167 (MRCItem
     4769*168 (MRCItem
    47264770litem &148
    47274771pos 7
     
    47294773uid 627,0
    47304774)
    4731 *168 (MRCItem
     4775*169 (MRCItem
    47324776litem &149
    47334777pos 9
     
    47354779uid 1247,0
    47364780)
    4737 *169 (MRCItem
     4781*170 (MRCItem
    47384782litem &150
    47394783pos 10
     
    47414785uid 1263,0
    47424786)
    4743 *170 (MRCItem
     4787*171 (MRCItem
    47444788litem &151
    47454789pos 11
     
    47474791uid 1265,0
    47484792)
    4749 *171 (MRCItem
     4793*172 (MRCItem
    47504794litem &152
    47514795pos 12
     
    47534797uid 1506,0
    47544798)
    4755 *172 (MRCItem
     4799*173 (MRCItem
    47564800litem &153
    47574801pos 13
    47584802dimension 20
    47594803uid 1632,0
     4804)
     4805*174 (MRCItem
     4806litem &154
     4807pos 14
     4808dimension 20
     4809uid 1986,0
    47604810)
    47614811]
     
    47704820uid 73,0
    47714821optionalChildren [
    4772 *173 (MRCItem
     4822*175 (MRCItem
    47734823litem &131
    47744824pos 0
     
    47764826uid 74,0
    47774827)
    4778 *174 (MRCItem
     4828*176 (MRCItem
    47794829litem &133
    47804830pos 1
     
    47824832uid 75,0
    47834833)
    4784 *175 (MRCItem
     4834*177 (MRCItem
    47854835litem &134
    47864836pos 2
     
    47884838uid 76,0
    47894839)
    4790 *176 (MRCItem
     4840*178 (MRCItem
    47914841litem &135
    47924842pos 3
     
    47944844uid 77,0
    47954845)
    4796 *177 (MRCItem
     4846*179 (MRCItem
    47974847litem &136
    47984848pos 4
     
    48004850uid 78,0
    48014851)
    4802 *178 (MRCItem
     4852*180 (MRCItem
    48034853litem &137
    48044854pos 5
     
    48064856uid 79,0
    48074857)
    4808 *179 (MRCItem
     4858*181 (MRCItem
    48094859litem &138
    48104860pos 6
     
    48124862uid 80,0
    48134863)
    4814 *180 (MRCItem
     4864*182 (MRCItem
    48154865litem &139
    48164866pos 7
     
    48334883genericsCommonDM (CommonDM
    48344884ldm (LogicalDM
    4835 emptyRow *181 (LEmptyRow
     4885emptyRow *183 (LEmptyRow
    48364886)
    48374887uid 83,0
    48384888optionalChildren [
    4839 *182 (RefLabelRowHdr
    4840 )
    4841 *183 (TitleRowHdr
    4842 )
    4843 *184 (FilterRowHdr
    4844 )
    4845 *185 (RefLabelColHdr
     4889*184 (RefLabelRowHdr
     4890)
     4891*185 (TitleRowHdr
     4892)
     4893*186 (FilterRowHdr
     4894)
     4895*187 (RefLabelColHdr
    48464896tm "RefLabelColHdrMgr"
    48474897)
    4848 *186 (RowExpandColHdr
     4898*188 (RowExpandColHdr
    48494899tm "RowExpandColHdrMgr"
    48504900)
    4851 *187 (GroupColHdr
     4901*189 (GroupColHdr
    48524902tm "GroupColHdrMgr"
    48534903)
    4854 *188 (NameColHdr
     4904*190 (NameColHdr
    48554905tm "GenericNameColHdrMgr"
    48564906)
    4857 *189 (TypeColHdr
     4907*191 (TypeColHdr
    48584908tm "GenericTypeColHdrMgr"
    48594909)
    4860 *190 (InitColHdr
     4910*192 (InitColHdr
    48614911tm "GenericValueColHdrMgr"
    48624912)
    4863 *191 (PragmaColHdr
     4913*193 (PragmaColHdr
    48644914tm "GenericPragmaColHdrMgr"
    48654915)
    4866 *192 (EolColHdr
     4916*194 (EolColHdr
    48674917tm "GenericEolColHdrMgr"
    48684918)
     
    48744924uid 95,0
    48754925optionalChildren [
    4876 *193 (Sheet
     4926*195 (Sheet
    48774927sheetRow (SheetRow
    48784928headerVa (MVa
     
    48914941font "Tahoma,10,0"
    48924942)
    4893 emptyMRCItem *194 (MRCItem
    4894 litem &181
     4943emptyMRCItem *196 (MRCItem
     4944litem &183
    48954945pos 0
    48964946dimension 20
     
    48984948uid 97,0
    48994949optionalChildren [
    4900 *195 (MRCItem
    4901 litem &182
     4950*197 (MRCItem
     4951litem &184
    49024952pos 0
    49034953dimension 20
    49044954uid 98,0
    49054955)
    4906 *196 (MRCItem
    4907 litem &183
     4956*198 (MRCItem
     4957litem &185
    49084958pos 1
    49094959dimension 23
    49104960uid 99,0
    49114961)
    4912 *197 (MRCItem
    4913 litem &184
     4962*199 (MRCItem
     4963litem &186
    49144964pos 2
    49154965hidden 1
     
    49284978uid 101,0
    49294979optionalChildren [
    4930 *198 (MRCItem
    4931 litem &185
     4980*200 (MRCItem
     4981litem &187
    49324982pos 0
    49334983dimension 20
    49344984uid 102,0
    49354985)
    4936 *199 (MRCItem
    4937 litem &187
     4986*201 (MRCItem
     4987litem &189
    49384988pos 1
    49394989dimension 50
    49404990uid 103,0
    49414991)
    4942 *200 (MRCItem
    4943 litem &188
     4992*202 (MRCItem
     4993litem &190
    49444994pos 2
    49454995dimension 100
    49464996uid 104,0
    49474997)
    4948 *201 (MRCItem
    4949 litem &189
     4998*203 (MRCItem
     4999litem &191
    49505000pos 3
    49515001dimension 100
    49525002uid 105,0
    49535003)
    4954 *202 (MRCItem
    4955 litem &190
     5004*204 (MRCItem
     5005litem &192
    49565006pos 4
    49575007dimension 50
    49585008uid 106,0
    49595009)
    4960 *203 (MRCItem
    4961 litem &191
     5010*205 (MRCItem
     5011litem &193
    49625012pos 5
    49635013dimension 50
    49645014uid 107,0
    49655015)
    4966 *204 (MRCItem
    4967 litem &192
     5016*206 (MRCItem
     5017litem &194
    49685018pos 6
    49695019dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/clock_generator_var_ps/struct.bd.bak

    r10129 r10155  
    1515unitName "numeric_std"
    1616)
     17(DmPackageRef
     18library "FACT_FAD_lib"
     19unitName "fad_definitions"
     20)
    1721]
    1822instances [
     
    5761"ieee"
    5862"UNISIM"
     63"FACT_FAD_lib"
    5964]
    6065)
     
    107112(vvPair
    108113variable "date"
    109 value "04.02.2011"
     114value "12.02.2011"
    110115)
    111116(vvPair
    112117variable "day"
    113 value "Fr"
     118value "Sa"
    114119)
    115120(vvPair
    116121variable "day_long"
    117 value "Freitag"
     122value "Samstag"
    118123)
    119124(vvPair
    120125variable "dd"
    121 value "04"
     126value "12"
    122127)
    123128(vvPair
     
    255260(vvPair
    256261variable "time"
    257 value "12:51:51"
     262value "13:14:23"
    258263)
    259264(vvPair
     
    760765font "Courier New,8,0"
    761766)
    762 xt "22000,6800,35000,7600"
    763 st "CLK_50      : std_logic"
     767xt "11000,-9200,24000,-8400"
     768st "CLK_50      : std_logic
     769"
    764770)
    765771)
     
    777783font "Courier New,8,0"
    778784)
    779 xt "22000,5200,35000,6000"
    780 st "CLK_25      : std_logic"
     785xt "11000,-10800,24000,-10000"
     786st "CLK_25      : std_logic
     787"
    781788)
    782789)
     
    794801font "Courier New,8,0"
    795802)
    796 xt "22000,2000,35000,2800"
    797 st "CLK         : std_logic"
     803xt "11000,-14000,24000,-13200"
     804st "CLK         : std_logic
     805"
    798806)
    799807)
     
    15621570font "Courier New,8,0"
    15631571)
    1564 xt "22000,9400,38500,10200"
    1565 st "SIGNAL CLK0_OUT    : std_logic"
     1572xt "11000,-6600,27500,-5800"
     1573st "SIGNAL CLK0_OUT    : std_logic
     1574"
    15661575)
    15671576)
     
    15791588font "Courier New,8,0"
    15801589)
    1581 xt "22000,11000,38500,11800"
    1582 st "SIGNAL PSCLK_IN    : std_logic"
     1590xt "11000,-5000,27500,-4200"
     1591st "SIGNAL PSCLK_IN    : std_logic
     1592"
    15831593)
    15841594)
     
    15961606font "Courier New,8,0"
    15971607)
    1598 xt "22000,12600,38500,13400"
    1599 st "SIGNAL PSEN_IN     : std_logic"
     1608xt "11000,-3400,27500,-2600"
     1609st "SIGNAL PSEN_IN     : std_logic
     1610"
    16001611)
    16011612)
     
    16131624font "Courier New,8,0"
    16141625)
    1615 xt "22000,13400,38500,14200"
    1616 st "SIGNAL PSINCDEC_IN : std_logic"
     1626xt "11000,-2600,27500,-1800"
     1627st "SIGNAL PSINCDEC_IN : std_logic
     1628"
    16171629)
    16181630)
     
    16301642font "Courier New,8,0"
    16311643)
    1632 xt "22000,11800,38500,12600"
    1633 st "SIGNAL PSDONE_OUT  : std_logic"
     1644xt "11000,-4200,27500,-3400"
     1645st "SIGNAL PSDONE_OUT  : std_logic
     1646"
    16341647)
    16351648)
     
    16471660font "Courier New,8,0"
    16481661)
    1649 xt "22000,10200,38500,11000"
    1650 st "SIGNAL LOCKED_OUT  : std_logic"
     1662xt "11000,-5800,27500,-5000"
     1663st "SIGNAL LOCKED_OUT  : std_logic
     1664"
    16511665)
    16521666)
     
    22902304font "Courier New,8,0"
    22912305)
    2292 xt "22000,6000,35000,6800"
    2293 st "CLK_25_PS   : std_logic"
     2306xt "11000,-10000,24000,-9200"
     2307st "CLK_25_PS   : std_logic
     2308"
    22942309)
    22952310)
     
    23532368font "Courier New,8,0"
    23542369)
    2355 xt "22000,4400,35000,5200"
    2356 st "do_shift    : std_logic"
     2370xt "11000,-11600,24000,-10800"
     2371st "do_shift    : std_logic
     2372"
    23572373)
    23582374)
     
    24162432font "Courier New,8,0"
    24172433)
    2418 xt "22000,3600,35000,4400"
    2419 st "direction   : std_logic"
     2434xt "11000,-12400,24000,-11600"
     2435st "direction   : std_logic
     2436"
    24202437)
    24212438)
     
    24332450font "Courier New,8,0"
    24342451)
    2435 xt "22000,2800,35000,3600"
    2436 st "RST_IN      : std_logic"
     2452xt "11000,-13200,24000,-12400"
     2453st "RST_IN      : std_logic
     2454"
    24372455)
    24382456)
     
    25002518font "Courier New,8,0"
    25012519)
    2502 xt "22000,7600,54500,8400"
    2503 st "offset      : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
     2520xt "11000,-8400,43500,-7600"
     2521st "offset      : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
     2522"
    25042523)
    25052524)
     
    32843303font "arial,8,0"
    32853304)
    3286 xt "0,1000,12300,7000"
     3305xt "0,1000,14500,9000"
    32873306st "LIBRARY ieee;
    32883307USE ieee.std_logic_1164.all;
     
    32903309USE ieee.numeric_std.all;
    32913310LIBRARY UNISIM;
    3292 --USE UNISIM.Vcomponents.all;"
     3311--USE UNISIM.Vcomponents.all;
     3312LIBRARY FACT_FAD_lib;
     3313USE FACT_FAD_lib.fad_definitions.all;"
    32933314tm "PackageList"
    32943315)
     
    33723393)
    33733394windowSize "0,0,1281,1024"
    3374 viewArea "-28103,-9825,97891,94082"
    3375 cachedDiagramExtent "0,0,73000,46000"
     3395viewArea "-5400,-10460,75236,56040"
     3396cachedDiagramExtent "0,-16000,73000,46000"
    33763397pageSetupInfo (PageSetupInfo
    33773398ptrCmd ""
     
    33843405)
    33853406hasePageBreakOrigin 1
    3386 pageBreakOrigin "0,0"
    3387 lastUid 1655,0
     3407pageBreakOrigin "0,-49000"
     3408lastUid 1830,0
    33883409defaultCommentText (CommentText
    33893410shape (Rectangle
     
    43524373font "arial,8,1"
    43534374)
    4354 xt "20000,0,25400,1000"
     4375xt "9000,-16000,14400,-15000"
    43554376st "Declarations"
    4356 blo "20000,800"
     4377blo "9000,-15200"
    43574378)
    43584379portLabel (Text
     
    43614382font "arial,8,1"
    43624383)
    4363 xt "20000,1000,22700,2000"
     4384xt "9000,-15000,11700,-14000"
    43644385st "Ports:"
    4365 blo "20000,1800"
     4386blo "9000,-14200"
    43664387)
    43674388preUserLabel (Text
     
    43714392font "arial,8,1"
    43724393)
    4373 xt "20000,0,23800,1000"
     4394xt "9000,-16000,12800,-15000"
    43744395st "Pre User:"
    4375 blo "20000,800"
     4396blo "9000,-15200"
    43764397)
    43774398preUserText (MLText
     
    43814402font "Courier New,8,0"
    43824403)
    4383 xt "20000,0,20000,0"
     4404xt "9000,-16000,9000,-16000"
    43844405tm "BdDeclarativeTextMgr"
    43854406)
     
    43894410font "arial,8,1"
    43904411)
    4391 xt "20000,8400,27100,9400"
     4412xt "9000,-7600,16100,-6600"
    43924413st "Diagram Signals:"
    4393 blo "20000,9200"
     4414blo "9000,-6800"
    43944415)
    43954416postUserLabel (Text
     
    43994420font "arial,8,1"
    44004421)
    4401 xt "20000,0,24700,1000"
     4422xt "9000,-16000,13700,-15000"
    44024423st "Post User:"
    4403 blo "20000,800"
     4424blo "9000,-15200"
    44044425)
    44054426postUserText (MLText
     
    44094430font "Courier New,8,0"
    44104431)
    4411 xt "20000,0,20000,0"
     4432xt "9000,-16000,9000,-16000"
    44124433tm "BdDeclarativeTextMgr"
    44134434)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/clock_generator_var_ps/symbol.sb

    r10129 r10155  
    523523(vvPair
    524524variable "date"
    525 value "04.02.2011"
     525value "12.02.2011"
    526526)
    527527(vvPair
    528528variable "day"
    529 value "Fr"
     529value "Sa"
    530530)
    531531(vvPair
    532532variable "day_long"
    533 value "Freitag"
     533value "Samstag"
    534534)
    535535(vvPair
    536536variable "dd"
    537 value "04"
     537value "12"
    538538)
    539539(vvPair
     
    671671(vvPair
    672672variable "time"
    673 value "12:52:25"
     673value "13:16:51"
    674674)
    675675(vvPair
     
    17521752)
    17531753)
    1754 lastUid 1044,0
     1754lastUid 1113,0
    17551755okToSyncOnLoad 1
    17561756OkToSyncGenericsOnLoad 1
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/data_generator/symbol.sb

    r10121 r10155  
    2929)
    3030version "24.1"
    31 appVersion "2009.1 (Build 12)"
     31appVersion "2009.2 (Build 10)"
    3232model (Symbol
    3333commonDM (CommonDM
    3434ldm (LogicalDM
    3535ordering 1
    36 suid 63,0
     36suid 75,0
    3737usingSuid 1
    3838emptyRow *1 (LEmptyRow
     
    153153t "std_logic_vector"
    154154b "(3 downto 0)"
     155prec "--
     156
     157-- EVT HEADER - part 4"
    155158preAdd 0
    156159posAdd 0
    157 o 24
     160o 31
    158161suid 9,0
    159162)
     
    164167port (LogicalPort
    165168decl (Decl
    166 n "trigger_id"
    167 t "std_logic_vector"
    168 b "(47 downto 0)"
     169n "trigger"
     170t "std_logic"
     171prec "--"
    169172preAdd 0
    170173posAdd 0
    171 o 26
    172 suid 10,0
    173 )
    174 )
    175 uid 127,0
     174o 38
     175suid 11,0
     176)
     177)
     178uid 129,0
    176179)
    177180*21 (LogPort
    178 port (LogicalPort
    179 decl (Decl
    180 n "trigger"
    181 t "std_logic"
    182 preAdd 0
    183 posAdd 0
    184 o 27
    185 suid 11,0
    186 )
    187 )
    188 uid 129,0
    189 )
    190 *22 (LogPort
    191181port (LogicalPort
    192182decl (Decl
     
    194184t "std_logic_vector"
    195185b "(1 downto 0)"
    196 o 25
     186posAdd 0
     187o 32
    197188suid 12,0
    198189)
     
    200191uid 290,0
    201192)
    202 *23 (LogPort
     193*22 (LogPort
    203194port (LogicalPort
    204195decl (Decl
     
    211202uid 421,0
    212203)
    213 *24 (LogPort
     204*23 (LogPort
    214205port (LogicalPort
    215206m 1
     
    225216uid 423,0
    226217)
    227 *25 (LogPort
     218*24 (LogPort
    228219port (LogicalPort
    229220decl (Decl
     
    236227uid 425,0
    237228)
    238 *26 (LogPort
     229*25 (LogPort
    239230port (LogicalPort
    240231decl (Decl
     
    247238uid 478,0
    248239)
    249 *27 (LogPort
     240*26 (LogPort
    250241port (LogicalPort
    251242decl (Decl
     
    253244t "std_logic_vector"
    254245b "(15 downto 0)"
     246prec "-- EVT HEADER - part 1"
     247preAdd 0
    255248o 23
    256249suid 20,0
     
    259252uid 531,0
    260253)
    261 *28 (LogPort
     254*27 (LogPort
    262255port (LogicalPort
    263256m 1
     
    265258n "adc_oeb"
    266259t "std_logic"
    267 o 31
     260o 42
    268261suid 23,0
    269262i "'1'"
     
    272265uid 649,0
    273266)
    274 *29 (LogPort
     267*28 (LogPort
    275268port (LogicalPort
    276269m 1
     
    280273b "(3 downto 0)"
    281274posAdd 0
    282 o 34
     275o 45
    283276suid 25,0
    284277i "(others => '0')"
     
    287280uid 701,0
    288281)
    289 *30 (LogPort
     282*29 (LogPort
    290283port (LogicalPort
    291284m 1
     
    296289preAdd 0
    297290posAdd 0
    298 o 37
     291o 48
    299292suid 26,0
    300293i "'0'"
     
    303296uid 703,0
    304297)
    305 *31 (LogPort
     298*30 (LogPort
    306299port (LogicalPort
    307300m 1
     
    311304prec "-- --"
    312305preAdd 0
    313 o 38
     306o 49
    314307suid 33,0
    315308i "'0'"
     
    318311uid 816,0
    319312)
     313*31 (LogPort
     314port (LogicalPort
     315decl (Decl
     316n "drs_read_s_cell_ready"
     317t "std_logic"
     318o 54
     319suid 34,0
     320)
     321)
     322uid 818,0
     323)
    320324*32 (LogPort
    321 port (LogicalPort
    322 decl (Decl
    323 n "drs_read_s_cell_ready"
    324 t "std_logic"
    325 o 43
    326 suid 34,0
    327 )
    328 )
    329 uid 818,0
    330 )
    331 *33 (LogPort
    332325port (LogicalPort
    333326decl (Decl
    334327n "drs_s_cell_array"
    335328t "drs_s_cell_array_type"
    336 o 44
     329o 55
    337330suid 35,0
    338331)
     
    340333uid 820,0
    341334)
    342 *34 (LogPort
     335*33 (LogPort
    343336port (LogicalPort
    344337decl (Decl
    345338n "adc_data_array"
    346339t "adc_data_array_type"
    347 o 30
     340o 41
    348341suid 37,0
    349342)
     
    351344uid 903,0
    352345)
    353 *35 (LogPort
     346*34 (LogPort
    354347port (LogicalPort
    355348decl (Decl
     
    362355uid 968,0
    363356)
    364 *36 (LogPort
     357*35 (LogPort
    365358port (LogicalPort
    366359m 1
     
    378371uid 970,0
    379372)
    380 *37 (LogPort
     373*36 (LogPort
    381374port (LogicalPort
    382375decl (Decl
     
    389382uid 1058,0
    390383)
    391 *38 (LogPort
     384*37 (LogPort
    392385port (LogicalPort
    393386decl (Decl
     
    400393uid 1060,0
    401394)
    402 *39 (LogPort
     395*38 (LogPort
    403396port (LogicalPort
    404397decl (Decl
     
    411404uid 1095,0
    412405)
    413 *40 (LogPort
     406*39 (LogPort
    414407port (LogicalPort
    415408decl (Decl
     
    422415uid 1097,0
    423416)
    424 *41 (LogPort
     417*40 (LogPort
    425418port (LogicalPort
    426419m 1
     
    438431uid 1132,0
    439432)
    440 *42 (LogPort
     433*41 (LogPort
    441434port (LogicalPort
    442435m 1
     
    453446uid 1134,0
    454447)
    455 *43 (LogPort
     448*42 (LogPort
    456449port (LogicalPort
    457450m 1
     
    459452n "config_started"
    460453t "std_logic"
    461 o 29
     454o 40
    462455suid 48,0
    463456i "'0'"
     
    466459uid 1169,0
    467460)
    468 *44 (LogPort
     461*43 (LogPort
    469462port (LogicalPort
    470463decl (Decl
     
    473466prec "--      s_trigger      : in std_logic;"
    474467preAdd 0
    475 o 28
     468o 39
    476469suid 49,0
    477470)
     
    479472uid 1171,0
    480473)
    481 *45 (LogPort
     474*44 (LogPort
    482475port (LogicalPort
    483476decl (Decl
     
    490483uid 1211,0
    491484)
    492 *46 (LogPort
     485*45 (LogPort
    493486port (LogicalPort
    494487decl (Decl
     
    501494uid 1213,0
    502495)
    503 *47 (LogPort
     496*46 (LogPort
    504497port (LogicalPort
    505498decl (Decl
     
    512505uid 1215,0
    513506)
    514 *48 (LogPort
     507*47 (LogPort
    515508port (LogicalPort
    516509decl (Decl
    517510n "dac_array"
    518511t "dac_array_type"
     512posAdd 0
    519513o 22
    520514suid 53,0
     
    523517uid 1245,0
    524518)
    525 *49 (LogPort
     519*48 (LogPort
    526520port (LogicalPort
    527521m 1
     
    529523n "adc_clk_en"
    530524t "std_logic"
    531 o 32
     525o 43
    532526suid 54,0
    533527i "'0'"
     
    536530uid 1400,0
    537531)
    538 *50 (LogPort
     532*49 (LogPort
    539533port (LogicalPort
    540534decl (Decl
     
    542536t "std_logic_vector"
    543537b "(3 downto 0)"
    544 o 33
     538o 44
    545539suid 55,0
    546540)
     
    548542uid 1432,0
    549543)
    550 *51 (LogPort
     544*50 (LogPort
    551545port (LogicalPort
    552546m 1
     
    555549t "std_logic_vector"
    556550b "(7 downto 0)"
    557 o 41
     551o 52
    558552suid 56,0
    559553i "(others => '0')"
     
    562556uid 1484,0
    563557)
    564 *52 (LogPort
     558*51 (LogPort
    565559port (LogicalPort
    566560m 1
     
    568562n "drs_srin_write_8b"
    569563t "std_logic"
    570 o 39
     564o 50
    571565suid 57,0
    572566i "'0'"
     
    575569uid 1486,0
    576570)
     571*52 (LogPort
     572port (LogicalPort
     573decl (Decl
     574n "drs_srin_write_ack"
     575t "std_logic"
     576o 51
     577suid 58,0
     578)
     579)
     580uid 1488,0
     581)
    577582*53 (LogPort
    578583port (LogicalPort
    579584decl (Decl
    580 n "drs_srin_write_ack"
    581 t "std_logic"
    582 o 40
    583 suid 58,0
    584 )
    585 )
    586 uid 1488,0
     585n "drs_srin_write_ready"
     586t "std_logic"
     587o 53
     588suid 59,0
     589)
     590)
     591uid 1490,0
    587592)
    588593*54 (LogPort
    589 port (LogicalPort
    590 decl (Decl
    591 n "drs_srin_write_ready"
    592 t "std_logic"
    593 o 42
    594 suid 59,0
    595 )
    596 )
    597 uid 1490,0
    598 )
    599 *55 (LogPort
    600594port (LogicalPort
    601595decl (Decl
     
    611605uid 1492,0
    612606)
    613 *56 (LogPort
     607*55 (LogPort
    614608port (LogicalPort
    615609m 1
     
    617611n "drs_readout_started"
    618612t "std_logic"
    619 o 45
     613o 56
    620614suid 61,0
    621615i "'0'"
     
    624618uid 1524,0
    625619)
    626 *57 (LogPort
     620*56 (LogPort
    627621port (LogicalPort
    628622m 1
     
    634628preAdd 0
    635629posAdd 0
    636 o 35
     630o 46
    637631suid 62,0
    638632i "'0'"
     
    641635uid 1556,0
    642636)
     637*57 (LogPort
     638port (LogicalPort
     639decl (Decl
     640n "drs_readout_ready_ack"
     641t "std_logic"
     642o 47
     643suid 63,0
     644)
     645)
     646uid 1588,0
     647)
    643648*58 (LogPort
    644649port (LogicalPort
    645650decl (Decl
    646 n "drs_readout_ready_ack"
    647 t "std_logic"
     651n "pll_lock"
     652t "std_logic_vector"
     653b "( 3 downto 0)"
     654posAdd 0
     655o 24
     656suid 64,0
     657)
     658)
     659uid 1620,0
     660)
     661*59 (LogPort
     662port (LogicalPort
     663decl (Decl
     664n "fad_event_counter"
     665t "std_logic_vector"
     666b "(31 downto 0)"
     667prec "--
     668
     669-- EVT HEADER - part 3"
     670preAdd 0
     671o 27
     672suid 65,0
     673)
     674)
     675uid 1652,0
     676)
     677*60 (LogPort
     678port (LogicalPort
     679decl (Decl
     680n "refclk_counter"
     681t "std_logic_vector"
     682b "(11 downto 0)"
     683o 28
     684suid 66,0
     685)
     686)
     687uid 1694,0
     688)
     689*61 (LogPort
     690port (LogicalPort
     691decl (Decl
     692n "refclk_too_high"
     693t "std_logic"
     694o 29
     695suid 67,0
     696)
     697)
     698uid 1696,0
     699)
     700*62 (LogPort
     701port (LogicalPort
     702decl (Decl
     703n "refclk_too_low"
     704t "std_logic"
     705posAdd 0
     706o 30
     707suid 68,0
     708)
     709)
     710uid 1698,0
     711)
     712*63 (LogPort
     713port (LogicalPort
     714decl (Decl
     715n "FTM_RS485_ready"
     716t "std_logic"
     717prec "--
     718
     719-- EVT HEADER - part 2  --> FTM trigger informaton, comes in late ...
     720-- during EVT header wrinting, this field is left out ... and only written into event header,
     721-- when the DRS chip were read out already."
     722preAdd 0
     723o 25
     724suid 69,0
     725)
     726)
     727uid 1735,0
     728)
     729*64 (LogPort
     730port (LogicalPort
     731decl (Decl
     732n "FTM_trigger_info"
     733t "std_logic_vector"
     734b "(55 downto 0)"
     735eolc "--7 byte"
     736posAdd 0
     737o 26
     738suid 70,0
     739)
     740)
     741uid 1737,0
     742)
     743*65 (LogPort
     744port (LogicalPort
     745decl (Decl
     746n "DCM_PS_status"
     747t "std_logic_vector"
     748b "(7 downto 0)"
     749o 33
     750suid 71,0
     751)
     752)
     753uid 1779,0
     754)
     755*66 (LogPort
     756port (LogicalPort
     757decl (Decl
     758n "TRG_GEN_div"
     759t "std_logic_vector"
     760b "(15 downto 0)"
     761posAdd 0
     762o 35
     763suid 72,0
     764)
     765)
     766uid 1781,0
     767)
     768*67 (LogPort
     769port (LogicalPort
     770decl (Decl
     771n "TRG_GEN_no"
     772t "std_logic_vector"
     773b "(15 downto 0)"
     774o 34
     775suid 73,0
     776)
     777)
     778uid 1783,0
     779)
     780*68 (LogPort
     781port (LogicalPort
     782decl (Decl
     783n "dna"
     784t "std_logic_vector"
     785b "(63 downto 0)"
     786prec "--
     787
     788-- EVT HEADER - part 5"
     789preAdd 0
     790posAdd 0
    648791o 36
    649 suid 63,0
    650 )
    651 )
    652 uid 1588,0
     792suid 74,0
     793)
     794)
     795uid 1815,0
     796)
     797*69 (LogPort
     798port (LogicalPort
     799decl (Decl
     800n "timer_value"
     801t "std_logic_vector"
     802b "(31 downto 0)"
     803prec "--
     804
     805-- EVT HEADER - part 6"
     806eolc "-- time in units of 100us"
     807preAdd 0
     808posAdd 0
     809o 37
     810suid 75,0
     811)
     812)
     813uid 1847,0
    653814)
    654815]
     
    659820uid 149,0
    660821optionalChildren [
    661 *59 (Sheet
     822*70 (Sheet
    662823sheetRow (SheetRow
    663824headerVa (MVa
     
    676837font "Tahoma,10,0"
    677838)
    678 emptyMRCItem *60 (MRCItem
     839emptyMRCItem *71 (MRCItem
    679840litem &1
    680841pos 3
     
    683844uid 151,0
    684845optionalChildren [
    685 *61 (MRCItem
     846*72 (MRCItem
    686847litem &2
    687848pos 0
     
    689850uid 152,0
    690851)
    691 *62 (MRCItem
     852*73 (MRCItem
    692853litem &3
    693854pos 1
     
    695856uid 153,0
    696857)
    697 *63 (MRCItem
     858*74 (MRCItem
    698859litem &4
    699860pos 2
     
    702863uid 154,0
    703864)
    704 *64 (MRCItem
     865*75 (MRCItem
    705866litem &14
    706867pos 0
     
    708869uid 110,0
    709870)
    710 *65 (MRCItem
     871*76 (MRCItem
    711872litem &15
    712873pos 1
     
    714875uid 112,0
    715876)
    716 *66 (MRCItem
     877*77 (MRCItem
    717878litem &16
    718879pos 2
     
    720881uid 114,0
    721882)
    722 *67 (MRCItem
     883*78 (MRCItem
    723884litem &17
    724885pos 3
     
    726887uid 120,0
    727888)
    728 *68 (MRCItem
     889*79 (MRCItem
    729890litem &18
    730891pos 4
     
    732893uid 122,0
    733894)
    734 *69 (MRCItem
     895*80 (MRCItem
    735896litem &19
    736897pos 5
     
    738899uid 126,0
    739900)
    740 *70 (MRCItem
     901*81 (MRCItem
    741902litem &20
    742903pos 6
    743904dimension 20
    744 uid 128,0
    745 )
    746 *71 (MRCItem
     905uid 130,0
     906)
     907*82 (MRCItem
    747908litem &21
    748909pos 7
    749910dimension 20
    750 uid 130,0
    751 )
    752 *72 (MRCItem
     911uid 291,0
     912)
     913*83 (MRCItem
    753914litem &22
    754915pos 8
    755916dimension 20
    756 uid 291,0
    757 )
    758 *73 (MRCItem
     917uid 422,0
     918)
     919*84 (MRCItem
    759920litem &23
    760921pos 9
    761922dimension 20
    762 uid 422,0
    763 )
    764 *74 (MRCItem
     923uid 424,0
     924)
     925*85 (MRCItem
    765926litem &24
    766927pos 10
    767928dimension 20
    768 uid 424,0
    769 )
    770 *75 (MRCItem
     929uid 426,0
     930)
     931*86 (MRCItem
    771932litem &25
    772933pos 11
    773934dimension 20
    774 uid 426,0
    775 )
    776 *76 (MRCItem
     935uid 479,0
     936)
     937*87 (MRCItem
    777938litem &26
    778939pos 12
    779940dimension 20
    780 uid 479,0
    781 )
    782 *77 (MRCItem
     941uid 532,0
     942)
     943*88 (MRCItem
    783944litem &27
    784945pos 13
    785946dimension 20
    786 uid 532,0
    787 )
    788 *78 (MRCItem
     947uid 650,0
     948)
     949*89 (MRCItem
    789950litem &28
    790951pos 14
    791952dimension 20
    792 uid 650,0
    793 )
    794 *79 (MRCItem
     953uid 702,0
     954)
     955*90 (MRCItem
    795956litem &29
    796957pos 15
    797958dimension 20
    798 uid 702,0
    799 )
    800 *80 (MRCItem
     959uid 704,0
     960)
     961*91 (MRCItem
    801962litem &30
    802963pos 16
    803964dimension 20
    804 uid 704,0
    805 )
    806 *81 (MRCItem
     965uid 817,0
     966)
     967*92 (MRCItem
    807968litem &31
    808969pos 17
    809970dimension 20
    810 uid 817,0
    811 )
    812 *82 (MRCItem
     971uid 819,0
     972)
     973*93 (MRCItem
    813974litem &32
    814975pos 18
    815976dimension 20
    816 uid 819,0
    817 )
    818 *83 (MRCItem
     977uid 821,0
     978)
     979*94 (MRCItem
    819980litem &33
    820981pos 19
    821982dimension 20
    822 uid 821,0
    823 )
    824 *84 (MRCItem
     983uid 904,0
     984)
     985*95 (MRCItem
    825986litem &34
    826987pos 20
    827988dimension 20
    828 uid 904,0
    829 )
    830 *85 (MRCItem
     989uid 969,0
     990)
     991*96 (MRCItem
    831992litem &35
    832993pos 21
    833994dimension 20
    834 uid 969,0
    835 )
    836 *86 (MRCItem
     995uid 971,0
     996)
     997*97 (MRCItem
    837998litem &36
    838999pos 22
    8391000dimension 20
    840 uid 971,0
    841 )
    842 *87 (MRCItem
     1001uid 1059,0
     1002)
     1003*98 (MRCItem
    8431004litem &37
    8441005pos 23
    8451006dimension 20
    846 uid 1059,0
    847 )
    848 *88 (MRCItem
     1007uid 1061,0
     1008)
     1009*99 (MRCItem
    8491010litem &38
    8501011pos 24
    8511012dimension 20
    852 uid 1061,0
    853 )
    854 *89 (MRCItem
     1013uid 1096,0
     1014)
     1015*100 (MRCItem
    8551016litem &39
    8561017pos 25
    8571018dimension 20
    858 uid 1096,0
    859 )
    860 *90 (MRCItem
     1019uid 1098,0
     1020)
     1021*101 (MRCItem
    8611022litem &40
    8621023pos 26
    8631024dimension 20
    864 uid 1098,0
    865 )
    866 *91 (MRCItem
     1025uid 1133,0
     1026)
     1027*102 (MRCItem
    8671028litem &41
    8681029pos 27
    8691030dimension 20
    870 uid 1133,0
    871 )
    872 *92 (MRCItem
     1031uid 1135,0
     1032)
     1033*103 (MRCItem
    8731034litem &42
    8741035pos 28
    8751036dimension 20
    876 uid 1135,0
    877 )
    878 *93 (MRCItem
     1037uid 1170,0
     1038)
     1039*104 (MRCItem
    8791040litem &43
    8801041pos 29
    8811042dimension 20
    882 uid 1170,0
    883 )
    884 *94 (MRCItem
     1043uid 1172,0
     1044)
     1045*105 (MRCItem
    8851046litem &44
    8861047pos 30
    8871048dimension 20
    888 uid 1172,0
    889 )
    890 *95 (MRCItem
     1049uid 1212,0
     1050)
     1051*106 (MRCItem
    8911052litem &45
    8921053pos 31
    8931054dimension 20
    894 uid 1212,0
    895 )
    896 *96 (MRCItem
     1055uid 1214,0
     1056)
     1057*107 (MRCItem
    8971058litem &46
    8981059pos 32
    8991060dimension 20
    900 uid 1214,0
    901 )
    902 *97 (MRCItem
     1061uid 1216,0
     1062)
     1063*108 (MRCItem
    9031064litem &47
    9041065pos 33
    9051066dimension 20
    906 uid 1216,0
    907 )
    908 *98 (MRCItem
     1067uid 1246,0
     1068)
     1069*109 (MRCItem
    9091070litem &48
    9101071pos 34
    9111072dimension 20
    912 uid 1246,0
    913 )
    914 *99 (MRCItem
     1073uid 1401,0
     1074)
     1075*110 (MRCItem
    9151076litem &49
    9161077pos 35
    9171078dimension 20
    918 uid 1401,0
    919 )
    920 *100 (MRCItem
     1079uid 1433,0
     1080)
     1081*111 (MRCItem
    9211082litem &50
    9221083pos 36
    9231084dimension 20
    924 uid 1433,0
    925 )
    926 *101 (MRCItem
     1085uid 1485,0
     1086)
     1087*112 (MRCItem
    9271088litem &51
    9281089pos 37
    9291090dimension 20
    930 uid 1485,0
    931 )
    932 *102 (MRCItem
     1091uid 1487,0
     1092)
     1093*113 (MRCItem
    9331094litem &52
    9341095pos 38
    9351096dimension 20
    936 uid 1487,0
    937 )
    938 *103 (MRCItem
     1097uid 1489,0
     1098)
     1099*114 (MRCItem
    9391100litem &53
    9401101pos 39
    9411102dimension 20
    942 uid 1489,0
    943 )
    944 *104 (MRCItem
     1103uid 1491,0
     1104)
     1105*115 (MRCItem
    9451106litem &54
    9461107pos 40
    9471108dimension 20
    948 uid 1491,0
    949 )
    950 *105 (MRCItem
     1109uid 1493,0
     1110)
     1111*116 (MRCItem
    9511112litem &55
    9521113pos 41
    9531114dimension 20
    954 uid 1493,0
    955 )
    956 *106 (MRCItem
     1115uid 1525,0
     1116)
     1117*117 (MRCItem
    9571118litem &56
    9581119pos 42
    9591120dimension 20
    960 uid 1525,0
    961 )
    962 *107 (MRCItem
     1121uid 1557,0
     1122)
     1123*118 (MRCItem
    9631124litem &57
    9641125pos 43
    9651126dimension 20
    966 uid 1557,0
    967 )
    968 *108 (MRCItem
     1127uid 1589,0
     1128)
     1129*119 (MRCItem
    9691130litem &58
    9701131pos 44
    9711132dimension 20
    972 uid 1589,0
     1133uid 1621,0
     1134)
     1135*120 (MRCItem
     1136litem &59
     1137pos 45
     1138dimension 20
     1139uid 1653,0
     1140)
     1141*121 (MRCItem
     1142litem &60
     1143pos 46
     1144dimension 20
     1145uid 1695,0
     1146)
     1147*122 (MRCItem
     1148litem &61
     1149pos 47
     1150dimension 20
     1151uid 1697,0
     1152)
     1153*123 (MRCItem
     1154litem &62
     1155pos 48
     1156dimension 20
     1157uid 1699,0
     1158)
     1159*124 (MRCItem
     1160litem &63
     1161pos 49
     1162dimension 20
     1163uid 1736,0
     1164)
     1165*125 (MRCItem
     1166litem &64
     1167pos 50
     1168dimension 20
     1169uid 1738,0
     1170)
     1171*126 (MRCItem
     1172litem &65
     1173pos 51
     1174dimension 20
     1175uid 1780,0
     1176)
     1177*127 (MRCItem
     1178litem &66
     1179pos 52
     1180dimension 20
     1181uid 1782,0
     1182)
     1183*128 (MRCItem
     1184litem &67
     1185pos 53
     1186dimension 20
     1187uid 1784,0
     1188)
     1189*129 (MRCItem
     1190litem &68
     1191pos 54
     1192dimension 20
     1193uid 1816,0
     1194)
     1195*130 (MRCItem
     1196litem &69
     1197pos 55
     1198dimension 20
     1199uid 1848,0
    9731200)
    9741201]
     
    9831210uid 155,0
    9841211optionalChildren [
    985 *109 (MRCItem
     1212*131 (MRCItem
    9861213litem &5
    9871214pos 0
     
    9891216uid 156,0
    9901217)
    991 *110 (MRCItem
     1218*132 (MRCItem
    9921219litem &7
    9931220pos 1
     
    9951222uid 157,0
    9961223)
    997 *111 (MRCItem
     1224*133 (MRCItem
    9981225litem &8
    9991226pos 2
     
    10011228uid 158,0
    10021229)
    1003 *112 (MRCItem
     1230*134 (MRCItem
    10041231litem &9
    10051232pos 3
     
    10071234uid 159,0
    10081235)
    1009 *113 (MRCItem
     1236*135 (MRCItem
    10101237litem &10
    10111238pos 4
     
    10131240uid 160,0
    10141241)
    1015 *114 (MRCItem
     1242*136 (MRCItem
    10161243litem &11
    10171244pos 5
     
    10191246uid 161,0
    10201247)
    1021 *115 (MRCItem
     1248*137 (MRCItem
    10221249litem &12
    10231250pos 6
     
    10251252uid 162,0
    10261253)
    1027 *116 (MRCItem
     1254*138 (MRCItem
    10281255litem &13
    10291256pos 7
     
    10461273genericsCommonDM (CommonDM
    10471274ldm (LogicalDM
    1048 emptyRow *117 (LEmptyRow
     1275emptyRow *139 (LEmptyRow
    10491276)
    10501277uid 165,0
    10511278optionalChildren [
    1052 *118 (RefLabelRowHdr
    1053 )
    1054 *119 (TitleRowHdr
    1055 )
    1056 *120 (FilterRowHdr
    1057 )
    1058 *121 (RefLabelColHdr
     1279*140 (RefLabelRowHdr
     1280)
     1281*141 (TitleRowHdr
     1282)
     1283*142 (FilterRowHdr
     1284)
     1285*143 (RefLabelColHdr
    10591286tm "RefLabelColHdrMgr"
    10601287)
    1061 *122 (RowExpandColHdr
     1288*144 (RowExpandColHdr
    10621289tm "RowExpandColHdrMgr"
    10631290)
    1064 *123 (GroupColHdr
     1291*145 (GroupColHdr
    10651292tm "GroupColHdrMgr"
    10661293)
    1067 *124 (NameColHdr
     1294*146 (NameColHdr
    10681295tm "GenericNameColHdrMgr"
    10691296)
    1070 *125 (TypeColHdr
     1297*147 (TypeColHdr
    10711298tm "GenericTypeColHdrMgr"
    10721299)
    1073 *126 (InitColHdr
     1300*148 (InitColHdr
    10741301tm "GenericValueColHdrMgr"
    10751302)
    1076 *127 (PragmaColHdr
     1303*149 (PragmaColHdr
    10771304tm "GenericPragmaColHdrMgr"
    10781305)
    1079 *128 (EolColHdr
     1306*150 (EolColHdr
    10801307tm "GenericEolColHdrMgr"
    10811308)
    1082 *129 (LogGeneric
     1309*151 (LogGeneric
    10831310generic (GiElement
    10841311name "RAM_ADDR_WIDTH"
     
    10861313value "12"
    10871314)
    1088 uid 1590,0
     1315uid 1849,0
    10891316)
    10901317]
     
    10951322uid 177,0
    10961323optionalChildren [
    1097 *130 (Sheet
     1324*152 (Sheet
    10981325sheetRow (SheetRow
    10991326headerVa (MVa
     
    11121339font "Tahoma,10,0"
    11131340)
    1114 emptyMRCItem *131 (MRCItem
    1115 litem &117
     1341emptyMRCItem *153 (MRCItem
     1342litem &139
    11161343pos 3
    11171344dimension 20
     
    11191346uid 179,0
    11201347optionalChildren [
    1121 *132 (MRCItem
    1122 litem &118
     1348*154 (MRCItem
     1349litem &140
    11231350pos 0
    11241351dimension 20
    11251352uid 180,0
    11261353)
    1127 *133 (MRCItem
    1128 litem &119
     1354*155 (MRCItem
     1355litem &141
    11291356pos 1
    11301357dimension 23
    11311358uid 181,0
    11321359)
    1133 *134 (MRCItem
    1134 litem &120
     1360*156 (MRCItem
     1361litem &142
    11351362pos 2
    11361363hidden 1
     
    11381365uid 182,0
    11391366)
    1140 *135 (MRCItem
    1141 litem &129
     1367*157 (MRCItem
     1368litem &151
    11421369pos 0
    11431370dimension 20
    1144 uid 1591,0
     1371uid 1850,0
    11451372)
    11461373]
     
    11551382uid 183,0
    11561383optionalChildren [
    1157 *136 (MRCItem
    1158 litem &121
     1384*158 (MRCItem
     1385litem &143
    11591386pos 0
    11601387dimension 20
    11611388uid 184,0
    11621389)
    1163 *137 (MRCItem
    1164 litem &123
     1390*159 (MRCItem
     1391litem &145
    11651392pos 1
    11661393dimension 50
    11671394uid 185,0
    11681395)
    1169 *138 (MRCItem
    1170 litem &124
     1396*160 (MRCItem
     1397litem &146
    11711398pos 2
    11721399dimension 100
    11731400uid 186,0
    11741401)
    1175 *139 (MRCItem
    1176 litem &125
     1402*161 (MRCItem
     1403litem &147
    11771404pos 3
    11781405dimension 100
    11791406uid 187,0
    11801407)
    1181 *140 (MRCItem
    1182 litem &126
     1408*162 (MRCItem
     1409litem &148
    11831410pos 4
    11841411dimension 50
    11851412uid 188,0
    11861413)
    1187 *141 (MRCItem
    1188 litem &127
     1414*163 (MRCItem
     1415litem &149
    11891416pos 5
    11901417dimension 50
    11911418uid 189,0
    11921419)
    1193 *142 (MRCItem
    1194 litem &128
     1420*164 (MRCItem
     1421litem &150
    11951422pos 6
    11961423dimension 80
     
    12151442(vvPair
    12161443variable "HDLDir"
    1217 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
     1444value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    12181445)
    12191446(vvPair
    12201447variable "HDSDir"
    1221 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     1448value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    12221449)
    12231450(vvPair
    12241451variable "SideDataDesignDir"
    1225 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb.info"
     1452value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb.info"
    12261453)
    12271454(vvPair
    12281455variable "SideDataUserDir"
    1229 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb.user"
     1456value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb.user"
    12301457)
    12311458(vvPair
    12321459variable "SourceDir"
    1233 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     1460value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    12341461)
    12351462(vvPair
     
    12471474(vvPair
    12481475variable "d"
    1249 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator"
     1476value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator"
    12501477)
    12511478(vvPair
    12521479variable "d_logical"
    1253 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator"
     1480value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator"
    12541481)
    12551482(vvPair
    12561483variable "date"
    1257 value "14.01.2011"
     1484value "15.02.2011"
    12581485)
    12591486(vvPair
    12601487variable "day"
    1261 value "Fr"
     1488value "Di"
    12621489)
    12631490(vvPair
    12641491variable "day_long"
    1265 value "Freitag"
     1492value "Dienstag"
    12661493)
    12671494(vvPair
    12681495variable "dd"
    1269 value "14"
     1496value "15"
    12701497)
    12711498(vvPair
     
    12951522(vvPair
    12961523variable "host"
    1297 value "IHP110"
     1524value "E5B-LABOR6"
    12981525)
    12991526(vvPair
     
    13311558(vvPair
    13321559variable "mm"
    1333 value "01"
     1560value "02"
    13341561)
    13351562(vvPair
     
    13391566(vvPair
    13401567variable "month"
    1341 value "Jan"
     1568value "Feb"
    13421569)
    13431570(vvPair
    13441571variable "month_long"
    1345 value "Januar"
     1572value "Februar"
    13461573)
    13471574(vvPair
    13481575variable "p"
    1349 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb"
     1576value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb"
    13501577)
    13511578(vvPair
    13521579variable "p_logical"
    1353 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb"
     1580value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb"
    13541581)
    13551582(vvPair
     
    13751602(vvPair
    13761603variable "task_ModelSimPath"
    1377 value "D:\\modeltech_6.5e\\win32"
     1604value "C:\\modeltech_6.6a\\win32"
    13781605)
    13791606(vvPair
     
    14071634(vvPair
    14081635variable "time"
    1409 value "11:17:29"
     1636value "10:09:00"
    14101637)
    14111638(vvPair
     
    14151642(vvPair
    14161643variable "user"
    1417 value "daqct3"
     1644value "dneise"
    14181645)
    14191646(vvPair
    14201647variable "version"
    1421 value "2009.1 (Build 12)"
     1648value "2009.2 (Build 10)"
    14221649)
    14231650(vvPair
     
    14381665uid 134,0
    14391666optionalChildren [
    1440 *143 (SymbolBody
     1667*165 (SymbolBody
    14411668uid 8,0
    14421669optionalChildren [
    1443 *144 (CptPort
     1670*166 (CptPort
    14441671uid 48,0
    14451672ps "OnEdgeStrategy"
     
    14891716)
    14901717)
    1491 *145 (CptPort
     1718*167 (CptPort
    14921719uid 53,0
    14931720ps "OnEdgeStrategy"
     
    15381765)
    15391766)
    1540 *146 (CptPort
     1767*168 (CptPort
    15411768uid 58,0
    15421769ps "OnEdgeStrategy"
     
    15871814)
    15881815)
    1589 *147 (CptPort
     1816*169 (CptPort
    15901817uid 73,0
    15911818ps "OnEdgeStrategy"
     
    16371864)
    16381865)
    1639 *148 (CptPort
     1866*170 (CptPort
    16401867uid 78,0
    16411868ps "OnEdgeStrategy"
     
    16851912)
    16861913)
    1687 *149 (CptPort
     1914*171 (CptPort
    16881915uid 88,0
    16891916ps "OnEdgeStrategy"
     
    17161943font "Courier New,8,0"
    17171944)
    1718 xt "2000,34400,35000,35200"
    1719 st "board_id              : IN     std_logic_vector (3 downto 0) ;
     1945xt "2000,47200,35000,50400"
     1946st "--
     1947
     1948-- EVT HEADER - part 4
     1949board_id              : IN     std_logic_vector (3 downto 0) ;
    17201950"
    17211951)
     
    17251955t "std_logic_vector"
    17261956b "(3 downto 0)"
     1957prec "--
     1958
     1959-- EVT HEADER - part 4"
    17271960preAdd 0
    17281961posAdd 0
    1729 o 24
     1962o 31
    17301963suid 9,0
    17311964)
    17321965)
    17331966)
    1734 *150 (CptPort
    1735 uid 93,0
    1736 ps "OnEdgeStrategy"
    1737 shape (Triangle
    1738 uid 94,0
    1739 ro 90
    1740 va (VaSet
    1741 vasetType 1
    1742 fg "0,65535,0"
    1743 )
    1744 xt "36250,11625,37000,12375"
    1745 )
    1746 tg (CPTG
    1747 uid 95,0
    1748 ps "CptPortTextPlaceStrategy"
    1749 stg "VerticalLayoutStrategy"
    1750 f (Text
    1751 uid 96,0
    1752 va (VaSet
    1753 )
    1754 xt "38000,11500,44800,12500"
    1755 st "trigger_id : (47:0)"
    1756 blo "38000,12300"
    1757 tm "CptPortNameMgr"
    1758 )
    1759 )
    1760 dt (MLText
    1761 uid 97,0
    1762 va (VaSet
    1763 font "Courier New,8,0"
    1764 )
    1765 xt "2000,36000,35500,36800"
    1766 st "trigger_id            : IN     std_logic_vector (47 downto 0) ;
    1767 "
    1768 )
    1769 thePort (LogicalPort
    1770 decl (Decl
    1771 n "trigger_id"
    1772 t "std_logic_vector"
    1773 b "(47 downto 0)"
    1774 preAdd 0
    1775 posAdd 0
    1776 o 26
    1777 suid 10,0
    1778 )
    1779 )
    1780 )
    1781 *151 (CptPort
     1967*172 (CptPort
    17821968uid 98,0
    17831969ps "OnEdgeStrategy"
     
    18101996font "Courier New,8,0"
    18111997)
    1812 xt "2000,36800,25500,37600"
    1813 st "trigger               : IN     std_logic  ;
     1998xt "2000,60000,25500,61600"
     1999st "--
     2000trigger               : IN     std_logic  ;
    18142001"
    18152002)
     
    18182005n "trigger"
    18192006t "std_logic"
     2007prec "--"
    18202008preAdd 0
    18212009posAdd 0
    1822 o 27
     2010o 38
    18232011suid 11,0
    18242012)
    18252013)
    18262014)
    1827 *152 (CommentText
     2015*173 (CommentText
    18282016uid 106,0
    18292017ps "EdgeToEdgeStrategy"
     
    18592047excludeCommentLeader 1
    18602048)
    1861 *153 (CptPort
     2049*174 (CptPort
    18622050uid 285,0
    18632051ps "OnEdgeStrategy"
     
    18902078font "Courier New,8,0"
    18912079)
    1892 xt "2000,35200,35000,36000"
     2080xt "2000,50400,35000,51200"
    18932081st "crate_id              : IN     std_logic_vector (1 downto 0) ;
    18942082"
     
    18992087t "std_logic_vector"
    19002088b "(1 downto 0)"
    1901 o 25
     2089posAdd 0
     2090o 32
    19022091suid 12,0
    19032092)
    19042093)
    19052094)
    1906 *154 (CptPort
     2095*175 (CptPort
    19072096uid 402,0
    19082097ps "OnEdgeStrategy"
     
    19482137)
    19492138)
    1950 *155 (CptPort
     2139*176 (CptPort
    19512140uid 407,0
    19522141ps "OnEdgeStrategy"
     
    19962185)
    19972186)
    1998 *156 (CptPort
     2187*177 (CptPort
    19992188uid 412,0
    20002189ps "OnEdgeStrategy"
     
    20402229)
    20412230)
    2042 *157 (CptPort
     2231*178 (CptPort
    20432232uid 473,0
    20442233ps "OnEdgeStrategy"
     
    20842273)
    20852274)
    2086 *158 (CptPort
     2275*179 (CptPort
    20872276uid 526,0
    20882277ps "OnEdgeStrategy"
     
    21152304font "Courier New,8,0"
    21162305)
    2117 xt "2000,33600,35500,34400"
    2118 st "package_length        : IN     std_logic_vector (15 downto 0) ;
     2306xt "2000,33600,35500,35200"
     2307st "-- EVT HEADER - part 1
     2308package_length        : IN     std_logic_vector (15 downto 0) ;
    21192309"
    21202310)
     
    21242314t "std_logic_vector"
    21252315b "(15 downto 0)"
     2316prec "-- EVT HEADER - part 1"
     2317preAdd 0
    21262318o 23
    21272319suid 20,0
     
    21292321)
    21302322)
    2131 *159 (CptPort
     2323*180 (CptPort
    21322324uid 637,0
    21332325ps "OnEdgeStrategy"
     
    21612353font "Courier New,8,0"
    21622354)
    2163 xt "2000,40800,38500,41600"
     2355xt "2000,64800,38500,65600"
    21642356st "adc_oeb               : OUT    std_logic                     := '1' ;
    21652357"
     
    21702362n "adc_oeb"
    21712363t "std_logic"
    2172 o 31
     2364o 42
    21732365suid 23,0
    21742366i "'1'"
     
    21762368)
    21772369)
    2178 *160 (CptPort
     2370*181 (CptPort
    21792371uid 676,0
    21802372ps "OnEdgeStrategy"
     
    22082400font "Courier New,8,0"
    22092401)
    2210 xt "2000,43200,44500,44000"
     2402xt "2000,67200,44500,68000"
    22112403st "drs_channel_id        : OUT    std_logic_vector (3 downto 0) := (others => '0') ;
    22122404"
     
    22192411b "(3 downto 0)"
    22202412posAdd 0
    2221 o 34
     2413o 45
    22222414suid 25,0
    22232415i "(others => '0')"
     
    22252417)
    22262418)
    2227 *161 (CptPort
     2419*182 (CptPort
    22282420uid 681,0
    22292421ps "OnEdgeStrategy"
     
    22572449font "Courier New,8,0"
    22582450)
    2259 xt "2000,47200,38500,48800"
     2451xt "2000,71200,38500,72800"
    22602452st "-- --
    22612453drs_clk_en            : OUT    std_logic                     := '0' ;
     
    22702462preAdd 0
    22712463posAdd 0
    2272 o 37
     2464o 48
    22732465suid 26,0
    22742466i "'0'"
     
    22762468)
    22772469)
    2278 *162 (CptPort
     2470*183 (CptPort
    22792471uid 801,0
    22802472ps "OnEdgeStrategy"
     
    23082500font "Courier New,8,0"
    23092501)
    2310 xt "2000,48800,38500,50400"
     2502xt "2000,72800,38500,74400"
    23112503st "-- --
    23122504drs_read_s_cell       : OUT    std_logic                     := '0' ;
     
    23202512prec "-- --"
    23212513preAdd 0
    2322 o 38
     2514o 49
    23232515suid 33,0
    23242516i "'0'"
     
    23262518)
    23272519)
    2328 *163 (CptPort
     2520*184 (CptPort
    23292521uid 806,0
    23302522ps "OnEdgeStrategy"
     
    23572549font "Courier New,8,0"
    23582550)
    2359 xt "2000,53600,25500,54400"
     2551xt "2000,77600,25500,78400"
    23602552st "drs_read_s_cell_ready : IN     std_logic  ;
    23612553"
     
    23652557n "drs_read_s_cell_ready"
    23662558t "std_logic"
    2367 o 43
     2559o 54
    23682560suid 34,0
    23692561)
    23702562)
    23712563)
    2372 *164 (CptPort
     2564*185 (CptPort
    23732565uid 811,0
    23742566ps "OnEdgeStrategy"
     
    24012593font "Courier New,8,0"
    24022594)
    2403 xt "2000,54400,31500,55200"
     2595xt "2000,78400,31500,79200"
    24042596st "drs_s_cell_array      : IN     drs_s_cell_array_type  ;
    24052597"
     
    24092601n "drs_s_cell_array"
    24102602t "drs_s_cell_array_type"
    2411 o 44
     2603o 55
    24122604suid 35,0
    24132605)
    24142606)
    24152607)
    2416 *165 (CptPort
     2608*186 (CptPort
    24172609uid 898,0
    24182610ps "OnEdgeStrategy"
     
    24452637font "Courier New,8,0"
    24462638)
    2447 xt "2000,40000,30500,40800"
     2639xt "2000,64000,30500,64800"
    24482640st "adc_data_array        : IN     adc_data_array_type  ;
    24492641"
     
    24532645n "adc_data_array"
    24542646t "adc_data_array_type"
    2455 o 30
     2647o 41
    24562648suid 37,0
    24572649)
    24582650)
    24592651)
    2460 *166 (CptPort
     2652*187 (CptPort
    24612653uid 958,0
    24622654ps "OnEdgeStrategy"
     
    25022694)
    25032695)
    2504 *167 (CptPort
     2696*188 (CptPort
    25052697uid 963,0
    25062698ps "OnEdgeStrategy"
     
    25532745)
    25542746)
    2555 *168 (CptPort
     2747*189 (CptPort
    25562748uid 1048,0
    25572749ps "OnEdgeStrategy"
     
    25972789)
    25982790)
    2599 *169 (CptPort
     2791*190 (CptPort
    26002792uid 1053,0
    26012793ps "OnEdgeStrategy"
     
    26412833)
    26422834)
    2643 *170 (CptPort
     2835*191 (CptPort
    26442836uid 1085,0
    26452837ps "OnEdgeStrategy"
     
    26852877)
    26862878)
    2687 *171 (CptPort
     2879*192 (CptPort
    26882880uid 1090,0
    26892881ps "OnEdgeStrategy"
     
    27292921)
    27302922)
    2731 *172 (CptPort
     2923*193 (CptPort
    27322924uid 1122,0
    27332925ps "OnEdgeStrategy"
     
    27802972)
    27812973)
    2782 *173 (CptPort
     2974*194 (CptPort
    27832975uid 1127,0
    27842976ps "OnEdgeStrategy"
     
    28303022)
    28313023)
    2832 *174 (CptPort
     3024*195 (CptPort
    28333025uid 1159,0
    28343026ps "OnEdgeStrategy"
     
    28623054font "Courier New,8,0"
    28633055)
    2864 xt "2000,39200,38500,40000"
     3056xt "2000,63200,38500,64000"
    28653057st "config_started        : OUT    std_logic                     := '0' ;
    28663058"
     
    28713063n "config_started"
    28723064t "std_logic"
    2873 o 29
     3065o 40
    28743066suid 48,0
    28753067i "'0'"
     
    28773069)
    28783070)
    2879 *175 (CptPort
     3071*196 (CptPort
    28803072uid 1164,0
    28813073ps "OnEdgeStrategy"
     
    29083100font "Courier New,8,0"
    29093101)
    2910 xt "2000,37600,25500,39200"
     3102xt "2000,61600,25500,63200"
    29113103st "--      s_trigger      : in std_logic;
    29123104new_config            : IN     std_logic  ;
     
    29193111prec "--      s_trigger      : in std_logic;"
    29203112preAdd 0
    2921 o 28
     3113o 39
    29223114suid 49,0
    29233115)
    29243116)
    29253117)
    2926 *176 (CptPort
     3118*197 (CptPort
    29273119uid 1196,0
    29283120ps "OnEdgeStrategy"
     
    29683160)
    29693161)
    2970 *177 (CptPort
     3162*198 (CptPort
    29713163uid 1201,0
    29723164ps "OnEdgeStrategy"
     
    30123204)
    30133205)
    3014 *178 (CptPort
     3206*199 (CptPort
    30153207uid 1206,0
    30163208ps "OnEdgeStrategy"
     
    30563248)
    30573249)
    3058 *179 (CptPort
     3250*200 (CptPort
    30593251uid 1240,0
    30603252ps "OnEdgeStrategy"
     
    30953287n "dac_array"
    30963288t "dac_array_type"
     3289posAdd 0
    30973290o 22
    30983291suid 53,0
     
    31003293)
    31013294)
    3102 *180 (CptPort
     3295*201 (CptPort
    31033296uid 1395,0
    31043297ps "OnEdgeStrategy"
     
    31323325font "Courier New,8,0"
    31333326)
    3134 xt "2000,41600,38500,42400"
     3327xt "2000,65600,38500,66400"
    31353328st "adc_clk_en            : OUT    std_logic                     := '0' ;
    31363329"
     
    31413334n "adc_clk_en"
    31423335t "std_logic"
    3143 o 32
     3336o 43
    31443337suid 54,0
    31453338i "'0'"
     
    31473340)
    31483341)
    3149 *181 (CptPort
     3342*202 (CptPort
    31503343uid 1427,0
    31513344ps "OnEdgeStrategy"
     
    31783371font "Courier New,8,0"
    31793372)
    3180 xt "2000,42400,35000,43200"
     3373xt "2000,66400,35000,67200"
    31813374st "adc_otr               : IN     std_logic_vector (3 downto 0) ;
    31823375"
     
    31873380t "std_logic_vector"
    31883381b "(3 downto 0)"
    3189 o 33
     3382o 44
    31903383suid 55,0
    31913384)
    31923385)
    31933386)
    3194 *182 (CptPort
     3387*203 (CptPort
    31953388uid 1459,0
    31963389ps "OnEdgeStrategy"
     
    32243417font "Courier New,8,0"
    32253418)
    3226 xt "2000,52000,44500,52800"
     3419xt "2000,76000,44500,76800"
    32273420st "drs_srin_data         : OUT    std_logic_vector (7 downto 0) := (others => '0') ;
    32283421"
     
    32343427t "std_logic_vector"
    32353428b "(7 downto 0)"
    3236 o 41
     3429o 52
    32373430suid 56,0
    32383431i "(others => '0')"
     
    32403433)
    32413434)
    3242 *183 (CptPort
     3435*204 (CptPort
    32433436uid 1464,0
    32443437ps "OnEdgeStrategy"
     
    32723465font "Courier New,8,0"
    32733466)
    3274 xt "2000,50400,38500,51200"
     3467xt "2000,74400,38500,75200"
    32753468st "drs_srin_write_8b     : OUT    std_logic                     := '0' ;
    32763469"
     
    32813474n "drs_srin_write_8b"
    32823475t "std_logic"
    3283 o 39
     3476o 50
    32843477suid 57,0
    32853478i "'0'"
     
    32873480)
    32883481)
    3289 *184 (CptPort
     3482*205 (CptPort
    32903483uid 1469,0
    32913484ps "OnEdgeStrategy"
     
    33183511font "Courier New,8,0"
    33193512)
    3320 xt "2000,51200,25500,52000"
     3513xt "2000,75200,25500,76000"
    33213514st "drs_srin_write_ack    : IN     std_logic  ;
    33223515"
     
    33263519n "drs_srin_write_ack"
    33273520t "std_logic"
    3328 o 40
     3521o 51
    33293522suid 58,0
    33303523)
    33313524)
    33323525)
    3333 *185 (CptPort
     3526*206 (CptPort
    33343527uid 1474,0
    33353528ps "OnEdgeStrategy"
     
    33623555font "Courier New,8,0"
    33633556)
    3364 xt "2000,52800,25500,53600"
     3557xt "2000,76800,25500,77600"
    33653558st "drs_srin_write_ready  : IN     std_logic  ;
    33663559"
     
    33703563n "drs_srin_write_ready"
    33713564t "std_logic"
    3372 o 42
     3565o 53
    33733566suid 59,0
    33743567)
    33753568)
    33763569)
    3377 *186 (CptPort
     3570*207 (CptPort
    33783571uid 1479,0
    33793572ps "OnEdgeStrategy"
     
    34233616)
    34243617)
    3425 *187 (CptPort
     3618*208 (CptPort
    34263619uid 1519,0
    34273620ps "OnEdgeStrategy"
     
    34553648font "Courier New,8,0"
    34563649)
    3457 xt "2000,55200,37500,56000"
     3650xt "2000,79200,37500,80000"
    34583651st "drs_readout_started   : OUT    std_logic                     := '0'
    34593652"
     
    34643657n "drs_readout_started"
    34653658t "std_logic"
    3466 o 45
     3659o 56
    34673660suid 61,0
    34683661i "'0'"
     
    34703663)
    34713664)
    3472 *188 (CptPort
     3665*209 (CptPort
    34733666uid 1551,0
    34743667ps "OnEdgeStrategy"
     
    35023695font "Courier New,8,0"
    35033696)
    3504 xt "2000,44000,38500,46400"
     3697xt "2000,68000,38500,70400"
    35053698st "-- --
    35063699--      drs_dwrite : out std_logic := '1';
     
    35173710preAdd 0
    35183711posAdd 0
    3519 o 35
     3712o 46
    35203713suid 62,0
    35213714i "'0'"
     
    35233716)
    35243717)
    3525 *189 (CptPort
     3718*210 (CptPort
    35263719uid 1583,0
    35273720ps "OnEdgeStrategy"
     
    35543747font "Courier New,8,0"
    35553748)
     3749xt "2000,70400,25500,71200"
     3750st "drs_readout_ready_ack : IN     std_logic  ;
     3751"
     3752)
     3753thePort (LogicalPort
     3754decl (Decl
     3755n "drs_readout_ready_ack"
     3756t "std_logic"
     3757o 47
     3758suid 63,0
     3759)
     3760)
     3761)
     3762*211 (CptPort
     3763uid 1615,0
     3764ps "OnEdgeStrategy"
     3765shape (Triangle
     3766uid 1616,0
     3767ro 90
     3768va (VaSet
     3769vasetType 1
     3770fg "0,65535,0"
     3771)
     3772xt "36250,39625,37000,40375"
     3773)
     3774tg (CPTG
     3775uid 1617,0
     3776ps "CptPortTextPlaceStrategy"
     3777stg "VerticalLayoutStrategy"
     3778f (Text
     3779uid 1618,0
     3780va (VaSet
     3781)
     3782xt "38000,39500,43500,40500"
     3783st "pll_lock : (3:0)"
     3784blo "38000,40300"
     3785tm "CptPortNameMgr"
     3786)
     3787)
     3788dt (MLText
     3789uid 1619,0
     3790va (VaSet
     3791font "Courier New,8,0"
     3792)
     3793xt "2000,35200,35500,36000"
     3794st "pll_lock              : IN     std_logic_vector ( 3 downto 0) ;
     3795"
     3796)
     3797thePort (LogicalPort
     3798decl (Decl
     3799n "pll_lock"
     3800t "std_logic_vector"
     3801b "( 3 downto 0)"
     3802posAdd 0
     3803o 24
     3804suid 64,0
     3805)
     3806)
     3807)
     3808*212 (CptPort
     3809uid 1647,0
     3810ps "OnEdgeStrategy"
     3811shape (Triangle
     3812uid 1648,0
     3813ro 90
     3814va (VaSet
     3815vasetType 1
     3816fg "0,65535,0"
     3817)
     3818xt "36250,40625,37000,41375"
     3819)
     3820tg (CPTG
     3821uid 1649,0
     3822ps "CptPortTextPlaceStrategy"
     3823stg "VerticalLayoutStrategy"
     3824f (Text
     3825uid 1650,0
     3826va (VaSet
     3827)
     3828xt "38000,40500,47800,41500"
     3829st "fad_event_counter : (31:0)"
     3830blo "38000,41300"
     3831tm "CptPortNameMgr"
     3832)
     3833)
     3834dt (MLText
     3835uid 1651,0
     3836va (VaSet
     3837font "Courier New,8,0"
     3838)
     3839xt "2000,41600,35500,44800"
     3840st "--
     3841
     3842-- EVT HEADER - part 3
     3843fad_event_counter     : IN     std_logic_vector (31 downto 0) ;
     3844"
     3845)
     3846thePort (LogicalPort
     3847decl (Decl
     3848n "fad_event_counter"
     3849t "std_logic_vector"
     3850b "(31 downto 0)"
     3851prec "--
     3852
     3853-- EVT HEADER - part 3"
     3854preAdd 0
     3855o 27
     3856suid 65,0
     3857)
     3858)
     3859)
     3860*213 (CptPort
     3861uid 1679,0
     3862ps "OnEdgeStrategy"
     3863shape (Triangle
     3864uid 1680,0
     3865ro 90
     3866va (VaSet
     3867vasetType 1
     3868fg "0,65535,0"
     3869)
     3870xt "36250,41625,37000,42375"
     3871)
     3872tg (CPTG
     3873uid 1681,0
     3874ps "CptPortTextPlaceStrategy"
     3875stg "VerticalLayoutStrategy"
     3876f (Text
     3877uid 1682,0
     3878va (VaSet
     3879)
     3880xt "38000,41500,46500,42500"
     3881st "refclk_counter : (11:0)"
     3882blo "38000,42300"
     3883tm "CptPortNameMgr"
     3884)
     3885)
     3886dt (MLText
     3887uid 1683,0
     3888va (VaSet
     3889font "Courier New,8,0"
     3890)
     3891xt "2000,44800,35500,45600"
     3892st "refclk_counter        : IN     std_logic_vector (11 downto 0) ;
     3893"
     3894)
     3895thePort (LogicalPort
     3896decl (Decl
     3897n "refclk_counter"
     3898t "std_logic_vector"
     3899b "(11 downto 0)"
     3900o 28
     3901suid 66,0
     3902)
     3903)
     3904)
     3905*214 (CptPort
     3906uid 1684,0
     3907ps "OnEdgeStrategy"
     3908shape (Triangle
     3909uid 1685,0
     3910ro 90
     3911va (VaSet
     3912vasetType 1
     3913fg "0,65535,0"
     3914)
     3915xt "36250,42625,37000,43375"
     3916)
     3917tg (CPTG
     3918uid 1686,0
     3919ps "CptPortTextPlaceStrategy"
     3920stg "VerticalLayoutStrategy"
     3921f (Text
     3922uid 1687,0
     3923va (VaSet
     3924)
     3925xt "38000,42500,43900,43500"
     3926st "refclk_too_high"
     3927blo "38000,43300"
     3928tm "CptPortNameMgr"
     3929)
     3930)
     3931dt (MLText
     3932uid 1688,0
     3933va (VaSet
     3934font "Courier New,8,0"
     3935)
     3936xt "2000,45600,25500,46400"
     3937st "refclk_too_high       : IN     std_logic  ;
     3938"
     3939)
     3940thePort (LogicalPort
     3941decl (Decl
     3942n "refclk_too_high"
     3943t "std_logic"
     3944o 29
     3945suid 67,0
     3946)
     3947)
     3948)
     3949*215 (CptPort
     3950uid 1689,0
     3951ps "OnEdgeStrategy"
     3952shape (Triangle
     3953uid 1690,0
     3954ro 90
     3955va (VaSet
     3956vasetType 1
     3957fg "0,65535,0"
     3958)
     3959xt "36250,43625,37000,44375"
     3960)
     3961tg (CPTG
     3962uid 1691,0
     3963ps "CptPortTextPlaceStrategy"
     3964stg "VerticalLayoutStrategy"
     3965f (Text
     3966uid 1692,0
     3967va (VaSet
     3968)
     3969xt "38000,43500,43500,44500"
     3970st "refclk_too_low"
     3971blo "38000,44300"
     3972tm "CptPortNameMgr"
     3973)
     3974)
     3975dt (MLText
     3976uid 1693,0
     3977va (VaSet
     3978font "Courier New,8,0"
     3979)
    35563980xt "2000,46400,25500,47200"
    3557 st "drs_readout_ready_ack : IN     std_logic  ;
    3558 "
    3559 )
    3560 thePort (LogicalPort
    3561 decl (Decl
    3562 n "drs_readout_ready_ack"
    3563 t "std_logic"
     3981st "refclk_too_low        : IN     std_logic  ;
     3982"
     3983)
     3984thePort (LogicalPort
     3985decl (Decl
     3986n "refclk_too_low"
     3987t "std_logic"
     3988posAdd 0
     3989o 30
     3990suid 68,0
     3991)
     3992)
     3993)
     3994*216 (CptPort
     3995uid 1725,0
     3996ps "OnEdgeStrategy"
     3997shape (Triangle
     3998uid 1726,0
     3999ro 90
     4000va (VaSet
     4001vasetType 1
     4002fg "0,65535,0"
     4003)
     4004xt "36250,44625,37000,45375"
     4005)
     4006tg (CPTG
     4007uid 1727,0
     4008ps "CptPortTextPlaceStrategy"
     4009stg "VerticalLayoutStrategy"
     4010f (Text
     4011uid 1728,0
     4012va (VaSet
     4013)
     4014xt "38000,44500,45400,45500"
     4015st "FTM_RS485_ready"
     4016blo "38000,45300"
     4017tm "CptPortNameMgr"
     4018)
     4019)
     4020dt (MLText
     4021uid 1729,0
     4022va (VaSet
     4023font "Courier New,8,0"
     4024)
     4025xt "2000,36000,50500,40800"
     4026st "--
     4027
     4028-- EVT HEADER - part 2  --> FTM trigger informaton, comes in late ...
     4029-- during EVT header wrinting, this field is left out ... and only written into event header,
     4030-- when the DRS chip were read out already.
     4031FTM_RS485_ready       : IN     std_logic  ;
     4032"
     4033)
     4034thePort (LogicalPort
     4035decl (Decl
     4036n "FTM_RS485_ready"
     4037t "std_logic"
     4038prec "--
     4039
     4040-- EVT HEADER - part 2  --> FTM trigger informaton, comes in late ...
     4041-- during EVT header wrinting, this field is left out ... and only written into event header,
     4042-- when the DRS chip were read out already."
     4043preAdd 0
     4044o 25
     4045suid 69,0
     4046)
     4047)
     4048)
     4049*217 (CptPort
     4050uid 1730,0
     4051ps "OnEdgeStrategy"
     4052shape (Triangle
     4053uid 1731,0
     4054ro 90
     4055va (VaSet
     4056vasetType 1
     4057fg "0,65535,0"
     4058)
     4059xt "36250,45625,37000,46375"
     4060)
     4061tg (CPTG
     4062uid 1732,0
     4063ps "CptPortTextPlaceStrategy"
     4064stg "VerticalLayoutStrategy"
     4065f (Text
     4066uid 1733,0
     4067va (VaSet
     4068)
     4069xt "38000,45500,47900,46500"
     4070st "FTM_trigger_info : (55:0)"
     4071blo "38000,46300"
     4072tm "CptPortNameMgr"
     4073)
     4074)
     4075dt (MLText
     4076uid 1734,0
     4077va (VaSet
     4078font "Courier New,8,0"
     4079)
     4080xt "2000,40800,40000,41600"
     4081st "FTM_trigger_info      : IN     std_logic_vector (55 downto 0) ; --7 byte
     4082"
     4083)
     4084thePort (LogicalPort
     4085decl (Decl
     4086n "FTM_trigger_info"
     4087t "std_logic_vector"
     4088b "(55 downto 0)"
     4089eolc "--7 byte"
     4090posAdd 0
     4091o 26
     4092suid 70,0
     4093)
     4094)
     4095)
     4096*218 (CptPort
     4097uid 1764,0
     4098ps "OnEdgeStrategy"
     4099shape (Triangle
     4100uid 1765,0
     4101ro 90
     4102va (VaSet
     4103vasetType 1
     4104fg "0,65535,0"
     4105)
     4106xt "36250,46625,37000,47375"
     4107)
     4108tg (CPTG
     4109uid 1766,0
     4110ps "CptPortTextPlaceStrategy"
     4111stg "VerticalLayoutStrategy"
     4112f (Text
     4113uid 1767,0
     4114va (VaSet
     4115)
     4116xt "38000,46500,47100,47500"
     4117st "DCM_PS_status : (7:0)"
     4118blo "38000,47300"
     4119tm "CptPortNameMgr"
     4120)
     4121)
     4122dt (MLText
     4123uid 1768,0
     4124va (VaSet
     4125font "Courier New,8,0"
     4126)
     4127xt "2000,51200,35000,52000"
     4128st "DCM_PS_status         : IN     std_logic_vector (7 downto 0) ;
     4129"
     4130)
     4131thePort (LogicalPort
     4132decl (Decl
     4133n "DCM_PS_status"
     4134t "std_logic_vector"
     4135b "(7 downto 0)"
     4136o 33
     4137suid 71,0
     4138)
     4139)
     4140)
     4141*219 (CptPort
     4142uid 1769,0
     4143ps "OnEdgeStrategy"
     4144shape (Triangle
     4145uid 1770,0
     4146ro 90
     4147va (VaSet
     4148vasetType 1
     4149fg "0,65535,0"
     4150)
     4151xt "36250,47625,37000,48375"
     4152)
     4153tg (CPTG
     4154uid 1771,0
     4155ps "CptPortTextPlaceStrategy"
     4156stg "VerticalLayoutStrategy"
     4157f (Text
     4158uid 1772,0
     4159va (VaSet
     4160)
     4161xt "38000,47500,46900,48500"
     4162st "TRG_GEN_div : (15:0)"
     4163blo "38000,48300"
     4164tm "CptPortNameMgr"
     4165)
     4166)
     4167dt (MLText
     4168uid 1773,0
     4169va (VaSet
     4170font "Courier New,8,0"
     4171)
     4172xt "2000,52800,35500,53600"
     4173st "TRG_GEN_div           : IN     std_logic_vector (15 downto 0) ;
     4174"
     4175)
     4176thePort (LogicalPort
     4177decl (Decl
     4178n "TRG_GEN_div"
     4179t "std_logic_vector"
     4180b "(15 downto 0)"
     4181posAdd 0
     4182o 35
     4183suid 72,0
     4184)
     4185)
     4186)
     4187*220 (CptPort
     4188uid 1774,0
     4189ps "OnEdgeStrategy"
     4190shape (Triangle
     4191uid 1775,0
     4192ro 90
     4193va (VaSet
     4194vasetType 1
     4195fg "0,65535,0"
     4196)
     4197xt "36250,48625,37000,49375"
     4198)
     4199tg (CPTG
     4200uid 1776,0
     4201ps "CptPortTextPlaceStrategy"
     4202stg "VerticalLayoutStrategy"
     4203f (Text
     4204uid 1777,0
     4205va (VaSet
     4206)
     4207xt "38000,48500,46400,49500"
     4208st "TRG_GEN_no : (15:0)"
     4209blo "38000,49300"
     4210tm "CptPortNameMgr"
     4211)
     4212)
     4213dt (MLText
     4214uid 1778,0
     4215va (VaSet
     4216font "Courier New,8,0"
     4217)
     4218xt "2000,52000,35500,52800"
     4219st "TRG_GEN_no            : IN     std_logic_vector (15 downto 0) ;
     4220"
     4221)
     4222thePort (LogicalPort
     4223decl (Decl
     4224n "TRG_GEN_no"
     4225t "std_logic_vector"
     4226b "(15 downto 0)"
     4227o 34
     4228suid 73,0
     4229)
     4230)
     4231)
     4232*221 (CptPort
     4233uid 1810,0
     4234ps "OnEdgeStrategy"
     4235shape (Triangle
     4236uid 1811,0
     4237ro 90
     4238va (VaSet
     4239vasetType 1
     4240fg "0,65535,0"
     4241)
     4242xt "36250,49625,37000,50375"
     4243)
     4244tg (CPTG
     4245uid 1812,0
     4246ps "CptPortTextPlaceStrategy"
     4247stg "VerticalLayoutStrategy"
     4248f (Text
     4249uid 1813,0
     4250va (VaSet
     4251)
     4252xt "38000,49500,42600,50500"
     4253st "dna : (63:0)"
     4254blo "38000,50300"
     4255tm "CptPortNameMgr"
     4256)
     4257)
     4258dt (MLText
     4259uid 1814,0
     4260va (VaSet
     4261font "Courier New,8,0"
     4262)
     4263xt "2000,53600,35500,56800"
     4264st "--
     4265
     4266-- EVT HEADER - part 5
     4267dna                   : IN     std_logic_vector (63 downto 0) ;
     4268"
     4269)
     4270thePort (LogicalPort
     4271decl (Decl
     4272n "dna"
     4273t "std_logic_vector"
     4274b "(63 downto 0)"
     4275prec "--
     4276
     4277-- EVT HEADER - part 5"
     4278preAdd 0
     4279posAdd 0
    35644280o 36
    3565 suid 63,0
     4281suid 74,0
     4282)
     4283)
     4284)
     4285*222 (CptPort
     4286uid 1842,0
     4287ps "OnEdgeStrategy"
     4288shape (Triangle
     4289uid 1843,0
     4290ro 90
     4291va (VaSet
     4292vasetType 1
     4293fg "0,65535,0"
     4294)
     4295xt "36250,50625,37000,51375"
     4296)
     4297tg (CPTG
     4298uid 1844,0
     4299ps "CptPortTextPlaceStrategy"
     4300stg "VerticalLayoutStrategy"
     4301f (Text
     4302uid 1845,0
     4303va (VaSet
     4304)
     4305xt "38000,50500,45600,51500"
     4306st "timer_value : (31:0)"
     4307blo "38000,51300"
     4308tm "CptPortNameMgr"
     4309)
     4310)
     4311dt (MLText
     4312uid 1846,0
     4313va (VaSet
     4314font "Courier New,8,0"
     4315)
     4316xt "2000,56800,48500,60000"
     4317st "--
     4318
     4319-- EVT HEADER - part 6
     4320timer_value           : IN     std_logic_vector (31 downto 0) ; -- time in units of 100us
     4321"
     4322)
     4323thePort (LogicalPort
     4324decl (Decl
     4325n "timer_value"
     4326t "std_logic_vector"
     4327b "(31 downto 0)"
     4328prec "--
     4329
     4330-- EVT HEADER - part 6"
     4331eolc "-- time in units of 100us"
     4332preAdd 0
     4333posAdd 0
     4334o 37
     4335suid 75,0
    35664336)
    35674337)
     
    35764346lineWidth 2
    35774347)
    3578 xt "37000,1000,67000,40000"
     4348xt "37000,1000,67000,52000"
    35794349)
    35804350oxt "37000,1000,51000,21000"
     
    36024372)
    36034373)
    3604 gi *190 (GenericInterface
     4374gi *223 (GenericInterface
    36054375uid 13,0
    36064376ps "CenterOffsetStrategy"
     
    36354405)
    36364406)
    3637 *191 (Grouping
     4407*224 (Grouping
    36384408uid 16,0
    36394409optionalChildren [
    3640 *192 (CommentText
     4410*225 (CommentText
    36414411uid 18,0
    36424412shape (Rectangle
     
    36694439titleBlock 1
    36704440)
    3671 *193 (CommentText
     4441*226 (CommentText
    36724442uid 21,0
    36734443shape (Rectangle
     
    37004470titleBlock 1
    37014471)
    3702 *194 (CommentText
     4472*227 (CommentText
    37034473uid 24,0
    37044474shape (Rectangle
     
    37314501titleBlock 1
    37324502)
    3733 *195 (CommentText
     4503*228 (CommentText
    37344504uid 27,0
    37354505shape (Rectangle
     
    37624532titleBlock 1
    37634533)
    3764 *196 (CommentText
     4534*229 (CommentText
    37654535uid 30,0
    37664536shape (Rectangle
     
    37924562titleBlock 1
    37934563)
    3794 *197 (CommentText
     4564*230 (CommentText
    37954565uid 33,0
    37964566shape (Rectangle
     
    38234593titleBlock 1
    38244594)
    3825 *198 (CommentText
     4595*231 (CommentText
    38264596uid 36,0
    38274597shape (Rectangle
     
    38544624titleBlock 1
    38554625)
    3856 *199 (CommentText
     4626*232 (CommentText
    38574627uid 39,0
    38584628shape (Rectangle
     
    38854655titleBlock 1
    38864656)
    3887 *200 (CommentText
     4657*233 (CommentText
    38884658uid 42,0
    38894659shape (Rectangle
     
    39164686titleBlock 1
    39174687)
    3918 *201 (CommentText
     4688*234 (CommentText
    39194689uid 45,0
    39204690shape (Rectangle
     
    39604730oxt "14000,66000,55000,71000"
    39614731)
    3962 *202 (CommentText
     4732*235 (CommentText
    39634733uid 103,0
    39644734shape (Rectangle
     
    40034773color "26368,26368,26368"
    40044774)
    4005 packageList *203 (PackageList
     4775packageList *236 (PackageList
    40064776uid 131,0
    40074777stg "VerticalLayoutStrategy"
    40084778textVec [
    4009 *204 (Text
     4779*237 (Text
    40104780uid 132,0
    40114781va (VaSet
     
    40164786blo "0,1800"
    40174787)
    4018 *205 (MLText
     4788*238 (MLText
    40194789uid 133,0
    40204790va (VaSet
     
    41174887)
    41184888)
    4119 gi *206 (GenericInterface
     4889gi *239 (GenericInterface
    41204890ps "CenterOffsetStrategy"
    41214891matrix (Matrix
     
    42144984)
    42154985)
    4216 DeclarativeBlock *207 (SymDeclBlock
     4986DeclarativeBlock *240 (SymDeclBlock
    42174987uid 1,0
    42184988stg "SymDeclLayoutStrategy"
     
    42405010font "Arial,8,1"
    42415011)
    4242 xt "0,56000,2400,57000"
     5012xt "0,80000,2400,81000"
    42435013st "User:"
    4244 blo "0,56800"
     5014blo "0,80800"
    42455015)
    42465016internalLabel (Text
     
    42595029font "Courier New,8,0"
    42605030)
    4261 xt "2000,57000,2000,57000"
     5031xt "2000,81000,2000,81000"
    42625032tm "SyDeclarativeTextMgr"
    42635033)
     
    42725042)
    42735043)
    4274 lastUid 1591,0
     5044lastUid 1850,0
    42755045activeModelName "Symbol:CDM"
    42765046)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/phase_shifter/symbol.sb

    r10129 r10155  
    2727ldm (LogicalDM
    2828ordering 1
    29 suid 15,0
     29suid 17,0
    3030usingSuid 1
    3131emptyRow *1 (LEmptyRow
     
    144144n "LOCKED"
    145145t "std_logic"
     146eolc "-- when is this going high?"
    146147preAdd 0
    147148posAdd 0
     
    189190preAdd 0
    190191posAdd 0
    191 o 10
     192o 11
    192193suid 10,0
    193194i "'0'"
     
    204205preAdd 0
    205206posAdd 0
    206 o 11
     207o 12
    207208suid 11,0
    208209i "'0'"
     
    220221preAdd 0
    221222posAdd 0
    222 o 12
     223o 13
    223224suid 12,0
    224225i "(OTHERS => '0')"
     
    231232m 1
    232233decl (Decl
    233 n "DCM_locked"
    234 t "std_logic"
    235 preAdd 0
    236 posAdd 0
    237 o 13
    238 suid 13,0
    239 )
    240 )
    241 uid 148,0
     234n "rst"
     235t "std_logic"
     236eolc "--asynch in of DCM"
     237posAdd 0
     238o 2
     239suid 15,0
     240i "'0'"
     241)
     242)
     243uid 286,0
    242244)
    243245*26 (LogPort
    244246port (LogicalPort
    245247decl (Decl
    246 n "rst"
    247 t "std_logic"
    248 eolc "--asynch in of DCM"
    249 posAdd 0
    250 o 2
    251 suid 15,0
    252 )
    253 )
    254 uid 286,0
     248n "reset_DCM"
     249t "std_logic"
     250eolc "-- asynch in: orders us, to reset the DCM"
     251posAdd 0
     252o 10
     253suid 17,0
     254)
     255)
     256uid 369,0
    255257)
    256258]
     
    374376pos 11
    375377dimension 20
    376 uid 149,0
     378uid 287,0
    377379)
    378380*44 (MRCItem
     
    380382pos 12
    381383dimension 20
    382 uid 287,0
     384uid 370,0
    383385)
    384386]
     
    651653(vvPair
    652654variable "date"
    653 value "04.02.2011"
     655value "12.02.2011"
    654656)
    655657(vvPair
    656658variable "day"
    657 value "Fr"
     659value "Sa"
    658660)
    659661(vvPair
    660662variable "day_long"
    661 value "Freitag"
     663value "Samstag"
    662664)
    663665(vvPair
    664666variable "dd"
    665 value "04"
     667value "12"
    666668)
    667669(vvPair
     
    799801(vvPair
    800802variable "time"
    801 value "11:34:15"
     803value "13:16:20"
    802804)
    803805(vvPair
     
    889891fg "0,65535,0"
    890892)
    891 xt "63000,8625,63750,9375"
     893xt "65000,8625,65750,9375"
    892894)
    893895tg (CPTG
     
    899901va (VaSet
    900902)
    901 xt "59100,8500,62000,9500"
     903xt "61100,8500,64000,9500"
    902904st "PSCLK"
    903905ju 2
    904 blo "62000,9300"
     906blo "64000,9300"
    905907tm "CptPortNameMgr"
    906908)
     
    939941fg "0,65535,0"
    940942)
    941 xt "63000,10625,63750,11375"
     943xt "65000,10625,65750,11375"
    942944)
    943945tg (CPTG
     
    949951va (VaSet
    950952)
    951 xt "59500,10500,62000,11500"
     953xt "61500,10500,64000,11500"
    952954st "PSEN"
    953955ju 2
    954 blo "62000,11300"
     956blo "64000,11300"
    955957tm "CptPortNameMgr"
    956958)
     
    988990fg "0,65535,0"
    989991)
    990 xt "63000,12625,63750,13375"
     992xt "65000,12625,65750,13375"
    991993)
    992994tg (CPTG
     
    9981000va (VaSet
    9991001)
    1000 xt "57500,12500,62000,13500"
     1002xt "59500,12500,64000,13500"
    10011003st "PSINCDEC"
    10021004ju 2
    1003 blo "62000,13300"
     1005blo "64000,13300"
    10041006tm "CptPortNameMgr"
    10051007)
     
    11061108font "Courier New,8,0"
    11071109)
    1108 xt "2000,13600,20000,14400"
    1109 st "LOCKED      : IN     std_logic  ;
     1110xt "2000,13600,34500,14400"
     1111st "LOCKED      : IN     std_logic  ; -- when is this going high?
    11101112"
    11111113)
     
    11141116n "LOCKED"
    11151117t "std_logic"
     1118eolc "-- when is this going high?"
    11161119preAdd 0
    11171120posAdd 0
     
    12261229fg "0,65535,0"
    12271230)
    1228 xt "63000,14625,63750,15375"
     1231xt "65000,14625,65750,15375"
    12291232)
    12301233tg (CPTG
     
    12361239va (VaSet
    12371240)
    1238 xt "59100,14500,62000,15500"
     1241xt "61100,14500,64000,15500"
    12391242st "shifting"
    12401243ju 2
    1241 blo "62000,15300"
     1244blo "64000,15300"
    12421245tm "CptPortNameMgr"
    12431246)
     
    12481251font "Courier New,8,0"
    12491252)
    1250 xt "2000,16800,33500,18400"
     1253xt "2000,17600,33500,19200"
    12511254st "-- status:
    12521255shifting    : OUT    std_logic                     := '0' ;
     
    12611264preAdd 0
    12621265posAdd 0
    1263 o 10
     1266o 11
    12641267suid 10,0
    12651268i "'0'"
     
    12771280fg "0,65535,0"
    12781281)
    1279 xt "63000,16625,63750,17375"
     1282xt "65000,16625,65750,17375"
    12801283)
    12811284tg (CPTG
     
    12871290va (VaSet
    12881291)
    1289 xt "59800,16500,62000,17500"
     1292xt "61800,16500,64000,17500"
    12901293st "ready"
    12911294ju 2
    1292 blo "62000,17300"
     1295blo "64000,17300"
    12931296tm "CptPortNameMgr"
    12941297)
     
    12991302font "Courier New,8,0"
    13001303)
    1301 xt "2000,18400,33500,19200"
     1304xt "2000,19200,33500,20000"
    13021305st "ready       : OUT    std_logic                     := '0' ;
    13031306"
     
    13101313preAdd 0
    13111314posAdd 0
    1312 o 11
     1315o 12
    13131316suid 11,0
    13141317i "'0'"
     
    13261329fg "0,65535,0"
    13271330)
    1328 xt "63000,18625,63750,19375"
     1331xt "65000,18625,65750,19375"
    13291332)
    13301333tg (CPTG
     
    13361339va (VaSet
    13371340)
    1338 xt "59800,18500,62000,19500"
     1341xt "61800,18500,64000,19500"
    13391342st "offset"
    13401343ju 2
    1341 blo "62000,19300"
     1344blo "64000,19300"
    13421345tm "CptPortNameMgr"
    13431346)
     
    13481351font "Courier New,8,0"
    13491352)
    1350 xt "2000,19200,39500,20000"
    1351 st "offset      : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
     1353xt "2000,20000,38500,20800"
     1354st "offset      : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0')
    13521355"
    13531356)
     
    13601363preAdd 0
    13611364posAdd 0
    1362 o 12
     1365o 13
    13631366suid 12,0
    13641367i "(OTHERS => '0')"
     
    13661369)
    13671370)
    1368 *89 (CptPort
    1369 uid 108,0
    1370 ps "OnEdgeStrategy"
    1371 shape (Triangle
    1372 uid 109,0
    1373 ro 90
    1374 va (VaSet
    1375 vasetType 1
    1376 fg "0,65535,0"
    1377 )
    1378 xt "63000,20625,63750,21375"
    1379 )
    1380 tg (CPTG
    1381 uid 110,0
    1382 ps "CptPortTextPlaceStrategy"
    1383 stg "RightVerticalLayoutStrategy"
    1384 f (Text
    1385 uid 111,0
    1386 va (VaSet
    1387 )
    1388 xt "56800,20500,62000,21500"
    1389 st "DCM_locked"
    1390 ju 2
    1391 blo "62000,21300"
    1392 tm "CptPortNameMgr"
    1393 )
    1394 )
    1395 dt (MLText
    1396 uid 112,0
    1397 va (VaSet
    1398 font "Courier New,8,0"
    1399 )
    1400 xt "2000,20000,19000,20800"
    1401 st "DCM_locked  : OUT    std_logic
    1402 "
    1403 )
    1404 thePort (LogicalPort
    1405 m 1
    1406 decl (Decl
    1407 n "DCM_locked"
    1408 t "std_logic"
    1409 preAdd 0
    1410 posAdd 0
    1411 o 13
    1412 suid 13,0
    1413 )
    1414 )
    1415 )
    1416 *90 (CommentText
     1371*89 (CommentText
    14171372uid 121,0
    14181373ps "EdgeToEdgeStrategy"
     
    14451400excludeCommentLeader 1
    14461401)
    1447 *91 (CptPort
     1402*90 (CptPort
    14481403uid 281,0
    14491404ps "OnEdgeStrategy"
    14501405shape (Triangle
    1451 uid 282,0
    1452 ro 90
     1406uid 394,0
     1407ro 270
    14531408va (VaSet
    14541409vasetType 1
     
    14761431font "Courier New,8,0"
    14771432)
    1478 xt "2000,8800,30000,9600"
    1479 st "rst         : IN     std_logic ; --asynch in of DCM
     1433xt "2000,8800,43000,9600"
     1434st "rst         : OUT    std_logic                     := '0' ; --asynch in of DCM
    14801435"
    14811436)
    14821437thePort (LogicalPort
     1438m 1
    14831439decl (Decl
    14841440n "rst"
     
    14881444o 2
    14891445suid 15,0
     1446i "'0'"
     1447)
     1448)
     1449)
     1450*91 (CptPort
     1451uid 362,0
     1452ps "OnEdgeStrategy"
     1453shape (Triangle
     1454uid 363,0
     1455ro 90
     1456va (VaSet
     1457vasetType 1
     1458fg "0,65535,0"
     1459)
     1460xt "49250,21625,50000,22375"
     1461)
     1462tg (CPTG
     1463uid 364,0
     1464ps "CptPortTextPlaceStrategy"
     1465stg "VerticalLayoutStrategy"
     1466f (Text
     1467uid 365,0
     1468va (VaSet
     1469)
     1470xt "51000,21500,55400,22500"
     1471st "reset_DCM"
     1472blo "51000,22300"
     1473tm "CptPortNameMgr"
     1474)
     1475)
     1476dt (MLText
     1477uid 366,0
     1478va (VaSet
     1479font "Courier New,8,0"
     1480)
     1481xt "2000,16800,41500,17600"
     1482st "reset_DCM   : IN     std_logic  ; -- asynch in: orders us, to reset the DCM
     1483"
     1484)
     1485thePort (LogicalPort
     1486decl (Decl
     1487n "reset_DCM"
     1488t "std_logic"
     1489eolc "-- asynch in: orders us, to reset the DCM"
     1490posAdd 0
     1491o 10
     1492suid 17,0
    14901493)
    14911494)
     
    15001503lineWidth 2
    15011504)
    1502 xt "50000,7000,63000,25000"
    1503 )
     1505xt "50000,7000,65000,25000"
     1506)
     1507oxt "50000,7000,63000,25000"
    15041508biTextGroup (BiTextGroup
    15051509uid 10,0
     
    21892193)
    21902194)
    2191 lastUid 333,0
     2195lastUid 394,0
    21922196activeModelName "Symbol:CDM"
    21932197)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/trigger_counter/symbol.sb

    r9912 r10155  
    7272n "trigger_id"
    7373t "std_logic_vector"
    74 b "(47 downto 0)"
     74b "(31 downto 0)"
    7575preAdd 0
    7676posAdd 0
     
    404404(vvPair
    405405variable "HDLDir"
    406 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hdl"
     406value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    407407)
    408408(vvPair
    409409variable "HDSDir"
    410 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds"
     410value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    411411)
    412412(vvPair
    413413variable "SideDataDesignDir"
    414 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb.info"
     414value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb.info"
    415415)
    416416(vvPair
    417417variable "SideDataUserDir"
    418 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb.user"
     418value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb.user"
    419419)
    420420(vvPair
    421421variable "SourceDir"
    422 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds"
     422value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    423423)
    424424(vvPair
     
    436436(vvPair
    437437variable "d"
    438 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\trigger_counter"
     438value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter"
    439439)
    440440(vvPair
    441441variable "d_logical"
    442 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\trigger_counter"
     442value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter"
    443443)
    444444(vvPair
    445445variable "date"
    446 value "22.06.2010"
     446value "15.02.2011"
    447447)
    448448(vvPair
     
    456456(vvPair
    457457variable "dd"
    458 value "22"
     458value "15"
    459459)
    460460(vvPair
     
    484484(vvPair
    485485variable "host"
    486 value "TU-CC4900F8C7D2"
     486value "E5B-LABOR6"
    487487)
    488488(vvPair
     
    495495)
    496496(vvPair
     497variable "library_downstream_HdsLintPlugin"
     498value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
     499)
     500(vvPair
    497501variable "library_downstream_ISEPARInvoke"
    498502value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
     
    512516(vvPair
    513517variable "mm"
    514 value "06"
     518value "02"
    515519)
    516520(vvPair
     
    520524(vvPair
    521525variable "month"
    522 value "Jun"
     526value "Feb"
    523527)
    524528(vvPair
    525529variable "month_long"
    526 value "Juni"
     530value "Februar"
    527531)
    528532(vvPair
    529533variable "p"
    530 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb"
     534value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb"
    531535)
    532536(vvPair
    533537variable "p_logical"
    534 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb"
     538value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb"
    535539)
    536540(vvPair
     
    556560(vvPair
    557561variable "task_ModelSimPath"
    558 value "<TBD>"
     562value "C:\\modeltech_6.6a\\win32"
    559563)
    560564(vvPair
     
    588592(vvPair
    589593variable "time"
    590 value "10:22:01"
     594value "09:26:17"
    591595)
    592596(vvPair
     
    608612(vvPair
    609613variable "year"
    610 value "2010"
     614value "2011"
    611615)
    612616(vvPair
    613617variable "yy"
    614 value "10"
     618value "11"
    615619)
    616620]
     
    655659)
    656660xt "2000,9000,30000,9800"
    657 st "trigger_id : OUT    std_logic_vector (47 downto 0) ;"
     661st "trigger_id : OUT    std_logic_vector (31 downto 0) ;
     662"
    658663)
    659664thePort (LogicalPort
     
    663668n "trigger_id"
    664669t "std_logic_vector"
    665 b "(47 downto 0)"
     670b "(31 downto 0)"
    666671preAdd 0
    667672posAdd 0
     
    703708)
    704709xt "2000,9800,19500,10600"
    705 st "trigger    : IN     std_logic  ;"
     710st "trigger    : IN     std_logic  ;
     711"
    706712)
    707713thePort (LogicalPort
     
    749755)
    750756xt "2000,10600,18500,11400"
    751 st "clk        : IN     std_logic "
     757st "clk        : IN     std_logic
     758"
    752759)
    753760thePort (LogicalPort
     
    844851bg "0,0,32768"
    845852)
    846 xt "36200,20000,44500,21000"
     853xt "36200,20000,45700,21000"
    847854st "
    848855by %user on %dd %month %year
     
    14601467)
    14611468)
    1462 lastUid 177,0
     1469lastUid 200,0
    14631470activeModelName "Symbol:CDM"
    14641471)
Note: See TracChangeset for help on using the changeset viewer.