Ignore:
Timestamp:
02/25/11 15:56:47 (14 years ago)
Author:
neise
Message:
at least P&R runs again
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib
Files:
75 added
7 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/fad_main_tb_struct.vhd

    r9912 r10180  
    22--
    33-- Created:
    4 --          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    5 --          at - 15:53:42 30.06.2010
     4--          by - dneise.UNKNOWN (E5B-LABOR6)
     5--          at - 16:10:14 25.02.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    2020--
    2121-- Created:
    22 --          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    23 --          at - 15:53:42 30.06.2010
     22--          by - dneise.UNKNOWN (E5B-LABOR6)
     23--          at - 16:10:15 25.02.2011
    2424--
    2525-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    4444
    4545   -- Internal signal declarations
    46    SIGNAL CLK_25_PS      : std_logic;
    47    SIGNAL CLK_50         : std_logic;
    48    SIGNAL RSRLOAD        : std_logic                    := '0';
    49    SIGNAL SRCLK          : std_logic                    := '0';
    50    SIGNAL SROUT_in_0     : std_logic;
    51    SIGNAL SROUT_in_1     : std_logic;
    52    SIGNAL SROUT_in_2     : std_logic;
    53    SIGNAL SROUT_in_3     : std_logic;
    54    SIGNAL adc_data       : std_logic_vector(11 DOWNTO 0);
    55    SIGNAL adc_data_array : adc_data_array_type;
    56    SIGNAL adc_oeb        : std_logic;
    57    SIGNAL adc_otr        : STD_LOGIC;
    58    SIGNAL adc_otr_array  : std_logic_vector(3 DOWNTO 0);
    59    SIGNAL board_id       : std_logic_vector(3 DOWNTO 0);
    60    SIGNAL clk            : STD_LOGIC;
    61    SIGNAL crate_id       : std_logic_vector(1 DOWNTO 0);
    62    SIGNAL dac_cs         : std_logic;
    63    SIGNAL denable        : std_logic                    := '0';                -- default domino wave off
    64    SIGNAL drs_channel_id : std_logic_vector(3 DOWNTO 0) := (others => '0');
    65    SIGNAL drs_dwrite     : std_logic                    := '1';
    66    SIGNAL led            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
    67    SIGNAL mosi           : std_logic                    := '0';
    68    SIGNAL sclk           : std_logic;
    69    SIGNAL sensor_cs      : std_logic_vector(3 DOWNTO 0);
    70    SIGNAL sio            : std_logic;
    71    SIGNAL trigger        : std_logic;
    72    SIGNAL wiz_addr       : std_logic_vector(9 DOWNTO 0);
    73    SIGNAL wiz_cs         : std_logic                    := '1';
    74    SIGNAL wiz_data       : std_logic_vector(15 DOWNTO 0);
    75    SIGNAL wiz_int        : std_logic;
    76    SIGNAL wiz_rd         : std_logic                    := '1';
    77    SIGNAL wiz_reset      : std_logic                    := '1';
    78    SIGNAL wiz_wr         : std_logic                    := '1';
     46   SIGNAL ADC_CLK               : std_logic;
     47   SIGNAL CLK_25_PS             : std_logic;
     48   SIGNAL CLK_50                : std_logic;
     49   SIGNAL D_T_in                : std_logic_vector(1 DOWNTO 0);
     50   SIGNAL REF_CLK               : STD_LOGIC                    := '0';
     51   SIGNAL RSRLOAD               : std_logic                    := '0';
     52   SIGNAL SRCLK                 : std_logic                    := '0';
     53   SIGNAL SRIN_out              : std_logic                    := '0';
     54   SIGNAL SROUT_in_0            : std_logic;
     55   SIGNAL SROUT_in_1            : std_logic;
     56   SIGNAL SROUT_in_2            : std_logic;
     57   SIGNAL SROUT_in_3            : std_logic;
     58   SIGNAL adc_data              : std_logic_vector(11 DOWNTO 0);
     59   SIGNAL adc_data_array        : adc_data_array_type;
     60   SIGNAL adc_oeb               : std_logic;
     61   SIGNAL adc_otr               : STD_LOGIC;
     62   SIGNAL adc_otr_array         : std_logic_vector(3 DOWNTO 0);
     63   SIGNAL alarm_refclk_too_high : std_logic;
     64   SIGNAL alarm_refclk_too_low  : std_logic;
     65   SIGNAL amber                 : std_logic;
     66   SIGNAL board_id              : std_logic_vector(3 DOWNTO 0);
     67   SIGNAL clk                   : STD_LOGIC;
     68   SIGNAL counter_result        : std_logic_vector(11 DOWNTO 0);
     69   SIGNAL crate_id              : std_logic_vector(1 DOWNTO 0);
     70   SIGNAL dac_cs                : std_logic;
     71   SIGNAL denable               : std_logic                    := '0';                -- default domino wave off
     72   SIGNAL drs_channel_id        : std_logic_vector(3 DOWNTO 0) := (others => '0');
     73   SIGNAL drs_dwrite            : std_logic                    := '1';
     74   SIGNAL green                 : std_logic;
     75   SIGNAL led                   : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
     76   SIGNAL mosi                  : std_logic                    := '0';
     77   SIGNAL plllock_in            : std_logic_vector(3 DOWNTO 0);                       -- high level, if dominowave is running and DRS PLL locked
     78   SIGNAL red                   : std_logic;
     79   SIGNAL sclk                  : std_logic;
     80   SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0);
     81   SIGNAL sio                   : std_logic;
     82   SIGNAL trigger               : std_logic;
     83   SIGNAL wiz_addr              : std_logic_vector(9 DOWNTO 0);
     84   SIGNAL wiz_cs                : std_logic                    := '1';
     85   SIGNAL wiz_data              : std_logic_vector(15 DOWNTO 0);
     86   SIGNAL wiz_int               : std_logic;
     87   SIGNAL wiz_rd                : std_logic                    := '1';
     88   SIGNAL wiz_reset             : std_logic                    := '1';
     89   SIGNAL wiz_wr                : std_logic                    := '1';
    7990
    8091
     
    8596   );
    8697   PORT (
    87       CLK            : IN     std_logic ;
    88       SROUT_in_0     : IN     std_logic ;
    89       SROUT_in_1     : IN     std_logic ;
    90       SROUT_in_2     : IN     std_logic ;
    91       SROUT_in_3     : IN     std_logic ;
    92       adc_data_array : IN     adc_data_array_type ;
    93       adc_otr_array  : IN     std_logic_vector (3 DOWNTO 0);
    94       board_id       : IN     std_logic_vector (3 DOWNTO 0);
    95       crate_id       : IN     std_logic_vector (1 DOWNTO 0);
    96       trigger        : IN     std_logic ;
    97       wiz_int        : IN     std_logic ;
    98       CLK_25_PS      : OUT    std_logic ;
    99       CLK_50         : OUT    std_logic ;
    100       RSRLOAD        : OUT    std_logic                     := '0';
    101       SRCLK          : OUT    std_logic                     := '0';
    102       adc_oeb        : OUT    std_logic                     := '1';
    103       dac_cs         : OUT    std_logic ;
    104       denable        : OUT    std_logic                     := '0';           -- default domino wave off
    105       drs_channel_id : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
    106       drs_dwrite     : OUT    std_logic                     := '1';
    107       led            : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
    108       mosi           : OUT    std_logic                     := '0';
    109       sclk           : OUT    std_logic ;
    110       sensor_cs      : OUT    std_logic_vector (3 DOWNTO 0);
    111       wiz_addr       : OUT    std_logic_vector (9 DOWNTO 0);
    112       wiz_cs         : OUT    std_logic                     := '1';
    113       wiz_rd         : OUT    std_logic                     := '1';
    114       wiz_reset      : OUT    std_logic                     := '1';
    115       wiz_wr         : OUT    std_logic                     := '1';
    116       sio            : INOUT  std_logic ;
    117       wiz_data       : INOUT  std_logic_vector (15 DOWNTO 0)
     98      CLK                   : IN     std_logic ;
     99      D_T_in                : IN     std_logic_vector (1 DOWNTO 0);
     100      SROUT_in_0            : IN     std_logic ;
     101      SROUT_in_1            : IN     std_logic ;
     102      SROUT_in_2            : IN     std_logic ;
     103      SROUT_in_3            : IN     std_logic ;
     104      adc_data_array        : IN     adc_data_array_type ;
     105      adc_otr_array         : IN     std_logic_vector (3 DOWNTO 0);
     106      board_id              : IN     std_logic_vector (3 DOWNTO 0);
     107      crate_id              : IN     std_logic_vector (1 DOWNTO 0);
     108      drs_refclk_in         : IN     std_logic ;                                     -- used TO check if DRS REFCLK exsists, if not DENABLE inhibit
     109      plllock_in            : IN     std_logic_vector (3 DOWNTO 0);                  -- high level, if dominowave is running and DRS PLL locked
     110      trigger               : IN     std_logic ;
     111      wiz_int               : IN     std_logic ;
     112      ADC_CLK               : OUT    std_logic ;
     113      CLK_25_PS             : OUT    std_logic ;
     114      CLK_50                : OUT    std_logic ;
     115      RSRLOAD               : OUT    std_logic                     := '0';
     116      SRCLK                 : OUT    std_logic                     := '0';
     117      SRIN_out              : OUT    std_logic                     := '0';
     118      adc_oeb               : OUT    std_logic                     := '1';
     119      alarm_refclk_too_high : OUT    std_logic ;
     120      alarm_refclk_too_low  : OUT    std_logic ;
     121      amber                 : OUT    std_logic ;
     122      counter_result        : OUT    std_logic_vector (11 DOWNTO 0);
     123      dac_cs                : OUT    std_logic ;
     124      denable               : OUT    std_logic                     := '0';           -- default domino wave off
     125      drs_channel_id        : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
     126      drs_dwrite            : OUT    std_logic                     := '1';
     127      green                 : OUT    std_logic ;
     128      led                   : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
     129      mosi                  : OUT    std_logic                     := '0';
     130      red                   : OUT    std_logic ;
     131      sclk                  : OUT    std_logic ;
     132      sensor_cs             : OUT    std_logic_vector (3 DOWNTO 0);
     133      wiz_addr              : OUT    std_logic_vector (9 DOWNTO 0);
     134      wiz_cs                : OUT    std_logic                     := '1';
     135      wiz_rd                : OUT    std_logic                     := '1';
     136      wiz_reset             : OUT    std_logic                     := '1';
     137      wiz_wr                : OUT    std_logic                     := '1';
     138      sio                   : INOUT  std_logic ;
     139      wiz_data              : INOUT  std_logic_vector (15 DOWNTO 0)
    118140   );
    119141   END COMPONENT;
     
    160182   COMPONENT w5300_emulator
    161183   PORT (
     184      int  : OUT    std_logic  := '0';
    162185      addr : IN     std_logic_vector (9 DOWNTO 0);
    163186      data : INOUT  std_logic_vector (15 DOWNTO 0);
     
    196219   adc_otr_array(3) <= adc_otr;
    197220
     221   -- HDL Embedded Text Block 3 eb_mainTB_adc1
     222   
     223   D_T_in(1 downto 0) <= "00";
     224   plllock_in(3 downto 0) <= "1111";
     225   SROUT_in_0 <= '1';
     226   SROUT_in_1 <= '0';
     227   SROUT_in_2 <= '1';
     228   SROUT_in_3 <= '0';
     229
    198230
    199231   -- Instance port mappings.
     
    203235      )
    204236      PORT MAP (
    205          CLK            => clk,
    206          SROUT_in_0     => SROUT_in_0,
    207          SROUT_in_1     => SROUT_in_1,
    208          SROUT_in_2     => SROUT_in_2,
    209          SROUT_in_3     => SROUT_in_3,
    210          adc_data_array => adc_data_array,
    211          adc_otr_array  => adc_otr_array,
    212          board_id       => board_id,
    213          crate_id       => crate_id,
    214          trigger        => trigger,
    215          wiz_int        => wiz_int,
    216          CLK_25_PS      => CLK_25_PS,
    217          CLK_50         => CLK_50,
    218          RSRLOAD        => RSRLOAD,
    219          SRCLK          => SRCLK,
    220          adc_oeb        => adc_oeb,
    221          dac_cs         => dac_cs,
    222          denable        => denable,
    223          drs_channel_id => drs_channel_id,
    224          drs_dwrite     => drs_dwrite,
    225          led            => led,
    226          mosi           => mosi,
    227          sclk           => sclk,
    228          sensor_cs      => sensor_cs,
    229          wiz_addr       => wiz_addr,
    230          wiz_cs         => wiz_cs,
    231          wiz_rd         => wiz_rd,
    232          wiz_reset      => wiz_reset,
    233          wiz_wr         => wiz_wr,
    234          sio            => sio,
    235          wiz_data       => wiz_data
     237         CLK                   => clk,
     238         D_T_in                => D_T_in,
     239         SROUT_in_0            => SROUT_in_0,
     240         SROUT_in_1            => SROUT_in_1,
     241         SROUT_in_2            => SROUT_in_2,
     242         SROUT_in_3            => SROUT_in_3,
     243         adc_data_array        => adc_data_array,
     244         adc_otr_array         => adc_otr_array,
     245         board_id              => board_id,
     246         crate_id              => crate_id,
     247         drs_refclk_in         => REF_CLK,
     248         plllock_in            => plllock_in,
     249         trigger               => trigger,
     250         wiz_int               => wiz_int,
     251         ADC_CLK               => ADC_CLK,
     252         CLK_25_PS             => CLK_25_PS,
     253         CLK_50                => CLK_50,
     254         RSRLOAD               => RSRLOAD,
     255         SRCLK                 => SRCLK,
     256         SRIN_out              => SRIN_out,
     257         adc_oeb               => adc_oeb,
     258         alarm_refclk_too_high => alarm_refclk_too_high,
     259         alarm_refclk_too_low  => alarm_refclk_too_low,
     260         amber                 => amber,
     261         counter_result        => counter_result,
     262         dac_cs                => dac_cs,
     263         denable               => denable,
     264         drs_channel_id        => drs_channel_id,
     265         drs_dwrite            => drs_dwrite,
     266         green                 => green,
     267         led                   => led,
     268         mosi                  => mosi,
     269         red                   => red,
     270         sclk                  => sclk,
     271         sensor_cs             => sensor_cs,
     272         wiz_addr              => wiz_addr,
     273         wiz_cs                => wiz_cs,
     274         wiz_rd                => wiz_rd,
     275         wiz_reset             => wiz_reset,
     276         wiz_wr                => wiz_wr,
     277         sio                   => sio,
     278         wiz_data              => wiz_data
    236279      );
    237280   I_mainTB_adc : adc_emulator
     
    240283      )
    241284      PORT MAP (
    242          clk  => clk,
     285         clk  => ADC_CLK,
    243286         data => adc_data,
    244287         otr  => adc_otr,
     
    254297         rst => OPEN
    255298      );
     299   I_mainTB_clock1 : clock_generator
     300      GENERIC MAP (
     301         clock_period => 1 us,
     302         reset_time   => 1 us
     303      )
     304      PORT MAP (
     305         clk => REF_CLK,
     306         rst => OPEN
     307      );
    256308   I_mainTB_max6662 : max6662_emulator
    257309      GENERIC MAP (
     
    273325   I_mainTB_w5300 : w5300_emulator
    274326      PORT MAP (
     327         int  => wiz_int,
    275328         addr => wiz_addr,
    276329         data => wiz_data,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/w5300_emulator_beha.vhd

    r9912 r10180  
    1717ENTITY w5300_emulator IS
    1818   PORT(
     19                int : out       std_logic := '0';
    1920      addr : in     std_logic_vector (9 DOWNTO 0);
    2021      data : inout  std_logic_vector (15 DOWNTO 0);
     
    7172      elsif (addr = conv_integer(W5300_S0_RX_FIFOR)) then
    7273        if (FIFOR_CNT = 0) then
    73           data_temp <= X"B000";
    74 --          FIFOR_CNT <= 1;
     74          data_temp <= X"1800";
     75          FIFOR_CNT <= 1;
    7576        elsif (FIFOR_CNT = 1) then
    76           data_temp <= X"0500";
     77          data_temp <= X"2200";
    7778          FIFOR_CNT <= 2;
    7879        elsif (FIFOR_CNT = 2) then
    79           data_temp <= X"0000";
    80         end if;
     80          data_temp <= X"A000";
     81          FIFOR_CNT <= 3;
     82
     83          elsif (FIFOR_CNT = 3) then
     84                data_temp <= X"B000";
     85          end if;
    8186      else
    8287        null;
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/.xrf/fad_main_tb_struct.xrf

    r9912 r10180  
    2525DESIGN fad_main_tb
    2626VIEW struct.bd
    27 GRAPHIC 823,0 45 0
    28 DESIGN fad_main_tb
    29 VIEW struct.bd
    30 GRAPHIC 831,0 46 0
    31 DESIGN fad_main_tb
    32 VIEW struct.bd
    33 GRAPHIC 855,0 47 0
    34 DESIGN fad_main_tb
    35 VIEW struct.bd
    36 GRAPHIC 863,0 48 0
    37 DESIGN fad_main_tb
    38 VIEW struct.bd
    39 GRAPHIC 871,0 49 0
    40 DESIGN fad_main_tb
    41 VIEW struct.bd
    42 GRAPHIC 879,0 50 0
    43 DESIGN fad_main_tb
    44 VIEW struct.bd
    45 GRAPHIC 887,0 51 0
    46 DESIGN fad_main_tb
    47 VIEW struct.bd
    48 GRAPHIC 895,0 52 0
    49 DESIGN fad_main_tb
    50 VIEW struct.bd
    51 GRAPHIC 568,0 53 0
    52 DESIGN fad_main_tb
    53 VIEW struct.bd
    54 GRAPHIC 536,0 54 0
    55 DESIGN fad_main_tb
    56 VIEW struct.bd
    57 GRAPHIC 544,0 55 0
    58 DESIGN fad_main_tb
    59 VIEW struct.bd
    60 GRAPHIC 560,0 56 0
    61 DESIGN fad_main_tb
    62 VIEW struct.bd
    63 GRAPHIC 528,0 57 0
    64 DESIGN fad_main_tb
    65 VIEW struct.bd
    66 GRAPHIC 440,0 58 0
    67 DESIGN fad_main_tb
    68 VIEW struct.bd
    69 GRAPHIC 284,0 59 0
    70 DESIGN fad_main_tb
    71 VIEW struct.bd
    72 GRAPHIC 448,0 60 0
    73 DESIGN fad_main_tb
    74 VIEW struct.bd
    75 GRAPHIC 799,0 61 0
    76 DESIGN fad_main_tb
    77 VIEW struct.bd
    78 GRAPHIC 815,0 62 0
    79 DESIGN fad_main_tb
    80 VIEW struct.bd
    81 GRAPHIC 839,0 63 0
    82 DESIGN fad_main_tb
    83 VIEW struct.bd
    84 GRAPHIC 847,0 64 0
    85 DESIGN fad_main_tb
    86 VIEW struct.bd
    87 GRAPHIC 775,0 65 0
    88 DESIGN fad_main_tb
    89 VIEW struct.bd
    90 GRAPHIC 807,0 66 0
    91 DESIGN fad_main_tb
    92 VIEW struct.bd
    93 GRAPHIC 378,0 67 0
    94 DESIGN fad_main_tb
    95 VIEW struct.bd
    96 GRAPHIC 372,0 68 0
    97 DESIGN fad_main_tb
    98 VIEW struct.bd
    99 GRAPHIC 384,0 69 0
    100 DESIGN fad_main_tb
    101 VIEW struct.bd
    102 GRAPHIC 424,0 70 0
    103 DESIGN fad_main_tb
    104 VIEW struct.bd
    105 GRAPHIC 316,0 71 0
    106 DESIGN fad_main_tb
    107 VIEW struct.bd
    108 GRAPHIC 783,0 72 0
    109 DESIGN fad_main_tb
    110 VIEW struct.bd
    111 GRAPHIC 322,0 73 0
    112 DESIGN fad_main_tb
    113 VIEW struct.bd
    114 GRAPHIC 791,0 74 0
    115 DESIGN fad_main_tb
    116 VIEW struct.bd
    117 GRAPHIC 328,0 75 0
    118 DESIGN fad_main_tb
    119 VIEW struct.bd
    120 GRAPHIC 767,0 76 0
    121 DESIGN fad_main_tb
    122 VIEW struct.bd
    123 GRAPHIC 334,0 77 0
    124 DESIGN fad_main_tb
    125 VIEW struct.bd
    126 NO_GRAPHIC 78
    127 DESIGN fad_main_tb
    128 VIEW struct.bd
    129 NO_GRAPHIC 79
     27GRAPHIC 1682,0 45 0
     28DESIGN fad_main_tb
     29VIEW struct.bd
     30GRAPHIC 823,0 46 0
     31DESIGN fad_main_tb
     32VIEW struct.bd
     33GRAPHIC 831,0 47 0
     34DESIGN fad_main_tb
     35VIEW struct.bd
     36GRAPHIC 1501,0 48 0
     37DESIGN fad_main_tb
     38VIEW struct.bd
     39GRAPHIC 2001,0 49 0
     40DESIGN fad_main_tb
     41VIEW struct.bd
     42GRAPHIC 855,0 50 0
     43DESIGN fad_main_tb
     44VIEW struct.bd
     45GRAPHIC 863,0 51 0
     46DESIGN fad_main_tb
     47VIEW struct.bd
     48GRAPHIC 1435,0 52 0
     49DESIGN fad_main_tb
     50VIEW struct.bd
     51GRAPHIC 871,0 53 0
     52DESIGN fad_main_tb
     53VIEW struct.bd
     54GRAPHIC 879,0 54 0
     55DESIGN fad_main_tb
     56VIEW struct.bd
     57GRAPHIC 887,0 55 0
     58DESIGN fad_main_tb
     59VIEW struct.bd
     60GRAPHIC 895,0 56 0
     61DESIGN fad_main_tb
     62VIEW struct.bd
     63GRAPHIC 568,0 57 0
     64DESIGN fad_main_tb
     65VIEW struct.bd
     66GRAPHIC 536,0 58 0
     67DESIGN fad_main_tb
     68VIEW struct.bd
     69GRAPHIC 544,0 59 0
     70DESIGN fad_main_tb
     71VIEW struct.bd
     72GRAPHIC 560,0 60 0
     73DESIGN fad_main_tb
     74VIEW struct.bd
     75GRAPHIC 528,0 61 0
     76DESIGN fad_main_tb
     77VIEW struct.bd
     78GRAPHIC 1483,0 62 0
     79DESIGN fad_main_tb
     80VIEW struct.bd
     81GRAPHIC 1475,0 63 0
     82DESIGN fad_main_tb
     83VIEW struct.bd
     84GRAPHIC 1443,0 64 0
     85DESIGN fad_main_tb
     86VIEW struct.bd
     87GRAPHIC 440,0 65 0
     88DESIGN fad_main_tb
     89VIEW struct.bd
     90GRAPHIC 284,0 66 0
     91DESIGN fad_main_tb
     92VIEW struct.bd
     93GRAPHIC 1467,0 67 0
     94DESIGN fad_main_tb
     95VIEW struct.bd
     96GRAPHIC 448,0 68 0
     97DESIGN fad_main_tb
     98VIEW struct.bd
     99GRAPHIC 799,0 69 0
     100DESIGN fad_main_tb
     101VIEW struct.bd
     102GRAPHIC 815,0 70 0
     103DESIGN fad_main_tb
     104VIEW struct.bd
     105GRAPHIC 839,0 71 0
     106DESIGN fad_main_tb
     107VIEW struct.bd
     108GRAPHIC 847,0 72 0
     109DESIGN fad_main_tb
     110VIEW struct.bd
     111GRAPHIC 1459,0 73 0
     112DESIGN fad_main_tb
     113VIEW struct.bd
     114GRAPHIC 775,0 74 0
     115DESIGN fad_main_tb
     116VIEW struct.bd
     117GRAPHIC 807,0 75 0
     118DESIGN fad_main_tb
     119VIEW struct.bd
     120GRAPHIC 1559,0 76 0
     121DESIGN fad_main_tb
     122VIEW struct.bd
     123GRAPHIC 1451,0 77 0
     124DESIGN fad_main_tb
     125VIEW struct.bd
     126GRAPHIC 378,0 78 0
     127DESIGN fad_main_tb
     128VIEW struct.bd
     129GRAPHIC 372,0 79 0
     130DESIGN fad_main_tb
     131VIEW struct.bd
     132GRAPHIC 384,0 80 0
     133DESIGN fad_main_tb
     134VIEW struct.bd
     135GRAPHIC 424,0 81 0
     136DESIGN fad_main_tb
     137VIEW struct.bd
     138GRAPHIC 316,0 82 0
     139DESIGN fad_main_tb
     140VIEW struct.bd
     141GRAPHIC 783,0 83 0
     142DESIGN fad_main_tb
     143VIEW struct.bd
     144GRAPHIC 322,0 84 0
     145DESIGN fad_main_tb
     146VIEW struct.bd
     147GRAPHIC 791,0 85 0
     148DESIGN fad_main_tb
     149VIEW struct.bd
     150GRAPHIC 328,0 86 0
     151DESIGN fad_main_tb
     152VIEW struct.bd
     153GRAPHIC 767,0 87 0
     154DESIGN fad_main_tb
     155VIEW struct.bd
     156GRAPHIC 334,0 88 0
     157DESIGN fad_main_tb
     158VIEW struct.bd
     159NO_GRAPHIC 89
     160DESIGN fad_main_tb
     161VIEW struct.bd
     162NO_GRAPHIC 90
    130163LIBRARY FACT_FAD_lib
    131164DESIGN @f@a@d_main
    132165VIEW struct
    133 GRAPHIC 233,0 81 0
    134 DESIGN @f@a@d_main
    135 VIEW symbol.sb
    136 GRAPHIC 14,0 82 1
    137 DESIGN @f@a@d_main
    138 VIEW symbol.sb
    139 GRAPHIC 1755,0 86 0
    140 DESIGN @f@a@d_main
    141 VIEW symbol.sb
    142 GRAPHIC 2710,0 87 0
    143 DESIGN @f@a@d_main
    144 VIEW symbol.sb
    145 GRAPHIC 2715,0 88 0
    146 DESIGN @f@a@d_main
    147 VIEW symbol.sb
    148 GRAPHIC 2720,0 89 0
    149 DESIGN @f@a@d_main
    150 VIEW symbol.sb
    151 GRAPHIC 2725,0 90 0
    152 DESIGN @f@a@d_main
    153 VIEW symbol.sb
    154 GRAPHIC 2282,0 91 0
    155 DESIGN @f@a@d_main
    156 VIEW symbol.sb
    157 GRAPHIC 1976,0 92 0
    158 DESIGN @f@a@d_main
    159 VIEW symbol.sb
    160 GRAPHIC 923,0 93 0
    161 DESIGN @f@a@d_main
    162 VIEW symbol.sb
    163 GRAPHIC 928,0 94 0
    164 DESIGN @f@a@d_main
    165 VIEW symbol.sb
    166 GRAPHIC 464,0 95 0
    167 DESIGN @f@a@d_main
    168 VIEW symbol.sb
    169 GRAPHIC 1062,0 96 0
    170 DESIGN @f@a@d_main
    171 VIEW symbol.sb
    172 GRAPHIC 1389,0 97 0
    173 DESIGN @f@a@d_main
    174 VIEW symbol.sb
    175 GRAPHIC 1725,0 98 0
    176 DESIGN @f@a@d_main
    177 VIEW symbol.sb
    178 GRAPHIC 2987,0 99 0
    179 DESIGN @f@a@d_main
    180 VIEW symbol.sb
    181 GRAPHIC 2992,0 100 0
    182 DESIGN @f@a@d_main
    183 VIEW symbol.sb
    184 GRAPHIC 833,0 101 0
    185 DESIGN @f@a@d_main
    186 VIEW symbol.sb
    187 GRAPHIC 3641,0 102 0
    188 DESIGN @f@a@d_main
    189 VIEW symbol.sb
    190 GRAPHIC 4144,0 103 0
    191 DESIGN @f@a@d_main
    192 VIEW symbol.sb
    193 GRAPHIC 2448,0 104 0
    194 DESIGN @f@a@d_main
    195 VIEW symbol.sb
    196 GRAPHIC 2453,0 105 0
    197 DESIGN @f@a@d_main
    198 VIEW symbol.sb
    199 GRAPHIC 163,0 106 0
    200 DESIGN @f@a@d_main
    201 VIEW symbol.sb
    202 GRAPHIC 4067,0 107 0
    203 DESIGN @f@a@d_main
    204 VIEW symbol.sb
    205 GRAPHIC 3631,0 108 0
    206 DESIGN @f@a@d_main
    207 VIEW symbol.sb
    208 GRAPHIC 3646,0 109 0
    209 DESIGN @f@a@d_main
    210 VIEW symbol.sb
    211 GRAPHIC 1037,0 110 0
    212 DESIGN @f@a@d_main
    213 VIEW symbol.sb
    214 GRAPHIC 1047,0 111 0
    215 DESIGN @f@a@d_main
    216 VIEW symbol.sb
    217 GRAPHIC 1057,0 112 0
    218 DESIGN @f@a@d_main
    219 VIEW symbol.sb
    220 GRAPHIC 135,0 113 0
    221 DESIGN @f@a@d_main
    222 VIEW symbol.sb
    223 GRAPHIC 1052,0 114 0
    224 DESIGN @f@a@d_main
    225 VIEW symbol.sb
    226 GRAPHIC 3636,0 115 0
    227 DESIGN @f@a@d_main
    228 VIEW symbol.sb
    229 GRAPHIC 1042,0 116 0
     166GRAPHIC 233,0 92 0
     167DESIGN @f@a@d_main
     168VIEW symbol.sb
     169GRAPHIC 14,0 93 1
     170DESIGN @f@a@d_main
     171VIEW symbol.sb
     172GRAPHIC 1755,0 97 0
     173DESIGN @f@a@d_main
     174VIEW symbol.sb
     175GRAPHIC 5328,0 98 0
     176DESIGN @f@a@d_main
     177VIEW symbol.sb
     178GRAPHIC 2710,0 99 0
     179DESIGN @f@a@d_main
     180VIEW symbol.sb
     181GRAPHIC 2715,0 100 0
     182DESIGN @f@a@d_main
     183VIEW symbol.sb
     184GRAPHIC 2720,0 101 0
     185DESIGN @f@a@d_main
     186VIEW symbol.sb
     187GRAPHIC 2725,0 102 0
     188DESIGN @f@a@d_main
     189VIEW symbol.sb
     190GRAPHIC 2282,0 103 0
     191DESIGN @f@a@d_main
     192VIEW symbol.sb
     193GRAPHIC 1976,0 104 0
     194DESIGN @f@a@d_main
     195VIEW symbol.sb
     196GRAPHIC 923,0 105 0
     197DESIGN @f@a@d_main
     198VIEW symbol.sb
     199GRAPHIC 928,0 106 0
     200DESIGN @f@a@d_main
     201VIEW symbol.sb
     202GRAPHIC 5427,0 107 0
     203DESIGN @f@a@d_main
     204VIEW symbol.sb
     205GRAPHIC 5503,0 108 0
     206DESIGN @f@a@d_main
     207VIEW symbol.sb
     208GRAPHIC 464,0 109 0
     209DESIGN @f@a@d_main
     210VIEW symbol.sb
     211GRAPHIC 1062,0 110 0
     212DESIGN @f@a@d_main
     213VIEW symbol.sb
     214GRAPHIC 6704,0 111 0
     215DESIGN @f@a@d_main
     216VIEW symbol.sb
     217GRAPHIC 1389,0 112 0
     218DESIGN @f@a@d_main
     219VIEW symbol.sb
     220GRAPHIC 1725,0 113 0
     221DESIGN @f@a@d_main
     222VIEW symbol.sb
     223GRAPHIC 2987,0 114 0
     224DESIGN @f@a@d_main
     225VIEW symbol.sb
     226GRAPHIC 2992,0 115 0
     227DESIGN @f@a@d_main
     228VIEW symbol.sb
     229GRAPHIC 4780,0 116 0
     230DESIGN @f@a@d_main
     231VIEW symbol.sb
     232GRAPHIC 833,0 117 0
     233DESIGN @f@a@d_main
     234VIEW symbol.sb
     235GRAPHIC 5634,0 118 0
     236DESIGN @f@a@d_main
     237VIEW symbol.sb
     238GRAPHIC 5639,0 119 0
     239DESIGN @f@a@d_main
     240VIEW symbol.sb
     241GRAPHIC 4911,0 120 0
     242DESIGN @f@a@d_main
     243VIEW symbol.sb
     244GRAPHIC 5629,0 121 0
     245DESIGN @f@a@d_main
     246VIEW symbol.sb
     247GRAPHIC 3641,0 122 0
     248DESIGN @f@a@d_main
     249VIEW symbol.sb
     250GRAPHIC 4144,0 123 0
     251DESIGN @f@a@d_main
     252VIEW symbol.sb
     253GRAPHIC 2448,0 124 0
     254DESIGN @f@a@d_main
     255VIEW symbol.sb
     256GRAPHIC 2453,0 125 0
     257DESIGN @f@a@d_main
     258VIEW symbol.sb
     259GRAPHIC 4906,0 126 0
     260DESIGN @f@a@d_main
     261VIEW symbol.sb
     262GRAPHIC 163,0 127 0
     263DESIGN @f@a@d_main
     264VIEW symbol.sb
     265GRAPHIC 4067,0 128 0
     266DESIGN @f@a@d_main
     267VIEW symbol.sb
     268GRAPHIC 4916,0 129 0
     269DESIGN @f@a@d_main
     270VIEW symbol.sb
     271GRAPHIC 3631,0 130 0
     272DESIGN @f@a@d_main
     273VIEW symbol.sb
     274GRAPHIC 3646,0 131 0
     275DESIGN @f@a@d_main
     276VIEW symbol.sb
     277GRAPHIC 1037,0 132 0
     278DESIGN @f@a@d_main
     279VIEW symbol.sb
     280GRAPHIC 1047,0 133 0
     281DESIGN @f@a@d_main
     282VIEW symbol.sb
     283GRAPHIC 1057,0 134 0
     284DESIGN @f@a@d_main
     285VIEW symbol.sb
     286GRAPHIC 135,0 135 0
     287DESIGN @f@a@d_main
     288VIEW symbol.sb
     289GRAPHIC 1052,0 136 0
     290DESIGN @f@a@d_main
     291VIEW symbol.sb
     292GRAPHIC 3636,0 137 0
     293DESIGN @f@a@d_main
     294VIEW symbol.sb
     295GRAPHIC 1042,0 138 0
    230296LIBRARY FACT_FAD_TB_lib
    231297DESIGN adc_emulator
    232298VIEW @behavioral
    233 GRAPHIC 508,0 119 0
     299GRAPHIC 508,0 141 0
    234300DESIGN adc_emulator
    235301VIEW symbol.sb
    236 GRAPHIC 14,0 120 1
     302GRAPHIC 14,0 142 1
    237303DESIGN adc_emulator
    238304VIEW @behavioral
    239 GRAPHIC 48,0 124 0
     305GRAPHIC 48,0 146 0
    240306DESIGN adc_emulator
    241307VIEW @behavioral
    242 GRAPHIC 53,0 125 0
     308GRAPHIC 53,0 147 0
    243309DESIGN adc_emulator
    244310VIEW @behavioral
    245 GRAPHIC 58,0 126 0
     311GRAPHIC 58,0 148 0
    246312DESIGN adc_emulator
    247313VIEW @behavioral
    248 GRAPHIC 63,0 127 0
    249 DESIGN fad_main_tb
    250 VIEW struct.bd
    251 GRAPHIC 274,0 130 0
     314GRAPHIC 63,0 149 0
     315DESIGN fad_main_tb
     316VIEW struct.bd
     317GRAPHIC 274,0 152 0
    252318DESIGN clock_generator
    253319VIEW symbol.sb
    254 GRAPHIC 14,0 131 1
     320GRAPHIC 14,0 153 1
    255321DESIGN clock_generator
    256322VIEW @behavioral
    257 GRAPHIC 48,0 136 0
     323GRAPHIC 48,0 158 0
    258324DESIGN clock_generator
    259325VIEW @behavioral
    260 GRAPHIC 53,0 137 0
    261 DESIGN fad_main_tb
    262 VIEW struct.bd
    263 GRAPHIC 362,0 140 0
     326GRAPHIC 53,0 159 0
     327DESIGN fad_main_tb
     328VIEW struct.bd
     329GRAPHIC 362,0 162 0
    264330DESIGN max6662_emulator
    265331VIEW symbol.sb
    266 GRAPHIC 14,0 141 1
     332GRAPHIC 14,0 163 1
    267333DESIGN max6662_emulator
    268334VIEW beha
    269 GRAPHIC 48,0 145 0
     335GRAPHIC 48,0 167 0
    270336DESIGN max6662_emulator
    271337VIEW beha
    272 GRAPHIC 53,0 146 0
     338GRAPHIC 53,0 168 0
    273339DESIGN max6662_emulator
    274340VIEW beha
    275 GRAPHIC 58,0 147 0
    276 DESIGN fad_main_tb
    277 VIEW struct.bd
    278 GRAPHIC 414,0 150 0
     341GRAPHIC 58,0 169 0
     342DESIGN fad_main_tb
     343VIEW struct.bd
     344GRAPHIC 414,0 172 0
    279345DESIGN trigger_generator
    280346VIEW symbol.sb
    281 GRAPHIC 14,0 151 1
     347GRAPHIC 14,0 173 1
    282348DESIGN trigger_generator
    283349VIEW beha
    284 GRAPHIC 48,0 156 0
    285 DESIGN fad_main_tb
    286 VIEW struct.bd
    287 GRAPHIC 306,0 159 0
     350GRAPHIC 48,0 178 0
     351DESIGN fad_main_tb
     352VIEW struct.bd
     353GRAPHIC 306,0 181 0
    288354DESIGN w5300_emulator
    289355VIEW beha
    290 GRAPHIC 48,0 161 0
     356GRAPHIC 163,0 183 0
    291357DESIGN w5300_emulator
    292358VIEW beha
    293 GRAPHIC 53,0 162 0
     359GRAPHIC 48,0 184 0
    294360DESIGN w5300_emulator
    295361VIEW beha
    296 GRAPHIC 58,0 163 0
     362GRAPHIC 53,0 185 0
    297363DESIGN w5300_emulator
    298364VIEW beha
    299 GRAPHIC 63,0 164 0
     365GRAPHIC 58,0 186 0
     366DESIGN w5300_emulator
     367VIEW beha
     368GRAPHIC 63,0 187 0
    300369LIBRARY FACT_FAD_TB_lib
    301370DESIGN fad_main_tb
    302371VIEW struct.bd
    303 NO_GRAPHIC 167
    304 DESIGN fad_main_tb
    305 VIEW struct.bd
    306 GRAPHIC 233,0 170 0
    307 DESIGN fad_main_tb
    308 VIEW struct.bd
    309 GRAPHIC 508,0 171 0
    310 DESIGN fad_main_tb
    311 VIEW struct.bd
    312 GRAPHIC 274,0 172 0
    313 DESIGN fad_main_tb
    314 VIEW struct.bd
    315 GRAPHIC 362,0 173 0
    316 DESIGN fad_main_tb
    317 VIEW struct.bd
    318 GRAPHIC 414,0 174 0
    319 DESIGN fad_main_tb
    320 VIEW struct.bd
    321 GRAPHIC 306,0 175 0
    322 DESIGN fad_main_tb
    323 VIEW struct.bd
    324 NO_GRAPHIC 178
    325 DESIGN fad_main_tb
    326 VIEW struct.bd
    327 GRAPHIC 430,0 181 0
    328 DESIGN fad_main_tb
    329 VIEW struct.bd
    330 NO_GRAPHIC 185
    331 DESIGN fad_main_tb
    332 VIEW struct.bd
    333 GRAPHIC 518,0 186 0
    334 DESIGN fad_main_tb
    335 VIEW struct.bd
    336 NO_GRAPHIC 196
    337 DESIGN fad_main_tb
    338 VIEW struct.bd
    339 NO_GRAPHIC 197
    340 DESIGN fad_main_tb
    341 VIEW struct.bd
    342 GRAPHIC 233,0 199 0
    343 DESIGN fad_main_tb
    344 VIEW struct.bd
    345 GRAPHIC 240,0 200 1
    346 DESIGN fad_main_tb
    347 VIEW struct.bd
    348 GRAPHIC 286,0 204 0
    349 DESIGN fad_main_tb
    350 VIEW struct.bd
    351 GRAPHIC 873,0 205 0
    352 DESIGN fad_main_tb
    353 VIEW struct.bd
    354 GRAPHIC 881,0 206 0
    355 DESIGN fad_main_tb
    356 VIEW struct.bd
    357 GRAPHIC 889,0 207 0
    358 DESIGN fad_main_tb
    359 VIEW struct.bd
    360 GRAPHIC 897,0 208 0
    361 DESIGN fad_main_tb
    362 VIEW struct.bd
    363 GRAPHIC 538,0 209 0
    364 DESIGN fad_main_tb
    365 VIEW struct.bd
    366 GRAPHIC 530,0 210 0
    367 DESIGN fad_main_tb
    368 VIEW struct.bd
    369 GRAPHIC 442,0 211 0
    370 DESIGN fad_main_tb
    371 VIEW struct.bd
    372 GRAPHIC 450,0 212 0
    373 DESIGN fad_main_tb
    374 VIEW struct.bd
    375 GRAPHIC 426,0 213 0
    376 DESIGN fad_main_tb
    377 VIEW struct.bd
    378 GRAPHIC 793,0 214 0
    379 DESIGN fad_main_tb
    380 VIEW struct.bd
    381 GRAPHIC 825,0 215 0
    382 DESIGN fad_main_tb
    383 VIEW struct.bd
    384 GRAPHIC 833,0 216 0
    385 DESIGN fad_main_tb
    386 VIEW struct.bd
    387 GRAPHIC 857,0 217 0
    388 DESIGN fad_main_tb
    389 VIEW struct.bd
    390 GRAPHIC 865,0 218 0
    391 DESIGN fad_main_tb
    392 VIEW struct.bd
    393 GRAPHIC 546,0 219 0
    394 DESIGN fad_main_tb
    395 VIEW struct.bd
    396 GRAPHIC 801,0 220 0
    397 DESIGN fad_main_tb
    398 VIEW struct.bd
    399 GRAPHIC 817,0 221 0
    400 DESIGN fad_main_tb
    401 VIEW struct.bd
    402 GRAPHIC 841,0 222 0
    403 DESIGN fad_main_tb
    404 VIEW struct.bd
    405 GRAPHIC 849,0 223 0
    406 DESIGN fad_main_tb
    407 VIEW struct.bd
    408 GRAPHIC 777,0 224 0
    409 DESIGN fad_main_tb
    410 VIEW struct.bd
    411 GRAPHIC 809,0 225 0
    412 DESIGN fad_main_tb
    413 VIEW struct.bd
    414 GRAPHIC 380,0 226 0
    415 DESIGN fad_main_tb
    416 VIEW struct.bd
    417 GRAPHIC 374,0 227 0
    418 DESIGN fad_main_tb
    419 VIEW struct.bd
    420 GRAPHIC 318,0 228 0
    421 DESIGN fad_main_tb
    422 VIEW struct.bd
    423 GRAPHIC 785,0 229 0
    424 DESIGN fad_main_tb
    425 VIEW struct.bd
    426 GRAPHIC 330,0 230 0
    427 DESIGN fad_main_tb
    428 VIEW struct.bd
    429 GRAPHIC 769,0 231 0
    430 DESIGN fad_main_tb
    431 VIEW struct.bd
    432 GRAPHIC 336,0 232 0
    433 DESIGN fad_main_tb
    434 VIEW struct.bd
    435 GRAPHIC 386,0 233 0
    436 DESIGN fad_main_tb
    437 VIEW struct.bd
    438 GRAPHIC 324,0 234 0
    439 DESIGN fad_main_tb
    440 VIEW struct.bd
    441 GRAPHIC 508,0 236 0
    442 DESIGN fad_main_tb
    443 VIEW struct.bd
    444 GRAPHIC 515,0 237 1
    445 DESIGN fad_main_tb
    446 VIEW struct.bd
    447 GRAPHIC 578,0 241 0
    448 DESIGN fad_main_tb
    449 VIEW struct.bd
    450 GRAPHIC 570,0 242 0
    451 DESIGN fad_main_tb
    452 VIEW struct.bd
    453 GRAPHIC 562,0 243 0
    454 DESIGN fad_main_tb
    455 VIEW struct.bd
    456 GRAPHIC 554,0 244 0
    457 DESIGN fad_main_tb
    458 VIEW struct.bd
    459 GRAPHIC 274,0 246 0
    460 DESIGN fad_main_tb
    461 VIEW struct.bd
    462 GRAPHIC 281,0 247 1
    463 DESIGN fad_main_tb
    464 VIEW struct.bd
    465 GRAPHIC 286,0 252 0
    466 DESIGN fad_main_tb
    467 VIEW struct.bd
    468 GRAPHIC 362,0 255 0
    469 DESIGN fad_main_tb
    470 VIEW struct.bd
    471 GRAPHIC 369,0 256 1
    472 DESIGN fad_main_tb
    473 VIEW struct.bd
    474 GRAPHIC 380,0 260 0
    475 DESIGN fad_main_tb
    476 VIEW struct.bd
    477 GRAPHIC 386,0 261 0
    478 DESIGN fad_main_tb
    479 VIEW struct.bd
    480 GRAPHIC 374,0 262 0
    481 DESIGN fad_main_tb
    482 VIEW struct.bd
    483 GRAPHIC 414,0 264 0
    484 DESIGN fad_main_tb
    485 VIEW struct.bd
    486 GRAPHIC 421,0 265 1
    487 DESIGN fad_main_tb
    488 VIEW struct.bd
    489 GRAPHIC 426,0 270 0
    490 DESIGN fad_main_tb
    491 VIEW struct.bd
    492 GRAPHIC 306,0 272 0
    493 DESIGN fad_main_tb
    494 VIEW struct.bd
    495 GRAPHIC 318,0 274 0
    496 DESIGN fad_main_tb
    497 VIEW struct.bd
    498 GRAPHIC 324,0 275 0
    499 DESIGN fad_main_tb
    500 VIEW struct.bd
    501 GRAPHIC 330,0 276 0
    502 DESIGN fad_main_tb
    503 VIEW struct.bd
    504 GRAPHIC 336,0 277 0
    505 DESIGN fad_main_tb
    506 VIEW struct.bd
    507 NO_GRAPHIC 280
     372NO_GRAPHIC 190
     373DESIGN fad_main_tb
     374VIEW struct.bd
     375GRAPHIC 233,0 193 0
     376DESIGN fad_main_tb
     377VIEW struct.bd
     378GRAPHIC 508,0 194 0
     379DESIGN fad_main_tb
     380VIEW struct.bd
     381GRAPHIC 274,0 195 0
     382DESIGN fad_main_tb
     383VIEW struct.bd
     384GRAPHIC 362,0 196 0
     385DESIGN fad_main_tb
     386VIEW struct.bd
     387GRAPHIC 414,0 197 0
     388DESIGN fad_main_tb
     389VIEW struct.bd
     390GRAPHIC 306,0 198 0
     391DESIGN fad_main_tb
     392VIEW struct.bd
     393NO_GRAPHIC 201
     394DESIGN fad_main_tb
     395VIEW struct.bd
     396GRAPHIC 430,0 204 0
     397DESIGN fad_main_tb
     398VIEW struct.bd
     399NO_GRAPHIC 208
     400DESIGN fad_main_tb
     401VIEW struct.bd
     402GRAPHIC 518,0 209 0
     403DESIGN fad_main_tb
     404VIEW struct.bd
     405NO_GRAPHIC 219
     406DESIGN fad_main_tb
     407VIEW struct.bd
     408GRAPHIC 1491,0 220 0
     409DESIGN fad_main_tb
     410VIEW struct.bd
     411NO_GRAPHIC 228
     412DESIGN fad_main_tb
     413VIEW struct.bd
     414NO_GRAPHIC 229
     415DESIGN fad_main_tb
     416VIEW struct.bd
     417GRAPHIC 233,0 231 0
     418DESIGN fad_main_tb
     419VIEW struct.bd
     420GRAPHIC 240,0 232 1
     421DESIGN fad_main_tb
     422VIEW struct.bd
     423GRAPHIC 286,0 236 0
     424DESIGN fad_main_tb
     425VIEW struct.bd
     426GRAPHIC 1503,0 237 0
     427DESIGN fad_main_tb
     428VIEW struct.bd
     429GRAPHIC 873,0 238 0
     430DESIGN fad_main_tb
     431VIEW struct.bd
     432GRAPHIC 881,0 239 0
     433DESIGN fad_main_tb
     434VIEW struct.bd
     435GRAPHIC 889,0 240 0
     436DESIGN fad_main_tb
     437VIEW struct.bd
     438GRAPHIC 897,0 241 0
     439DESIGN fad_main_tb
     440VIEW struct.bd
     441GRAPHIC 538,0 242 0
     442DESIGN fad_main_tb
     443VIEW struct.bd
     444GRAPHIC 530,0 243 0
     445DESIGN fad_main_tb
     446VIEW struct.bd
     447GRAPHIC 442,0 244 0
     448DESIGN fad_main_tb
     449VIEW struct.bd
     450GRAPHIC 450,0 245 0
     451DESIGN fad_main_tb
     452VIEW struct.bd
     453GRAPHIC 1529,0 246 0
     454DESIGN fad_main_tb
     455VIEW struct.bd
     456GRAPHIC 1561,0 247 0
     457DESIGN fad_main_tb
     458VIEW struct.bd
     459GRAPHIC 426,0 248 0
     460DESIGN fad_main_tb
     461VIEW struct.bd
     462GRAPHIC 793,0 249 0
     463DESIGN fad_main_tb
     464VIEW struct.bd
     465GRAPHIC 1684,0 250 0
     466DESIGN fad_main_tb
     467VIEW struct.bd
     468GRAPHIC 825,0 251 0
     469DESIGN fad_main_tb
     470VIEW struct.bd
     471GRAPHIC 833,0 252 0
     472DESIGN fad_main_tb
     473VIEW struct.bd
     474GRAPHIC 857,0 253 0
     475DESIGN fad_main_tb
     476VIEW struct.bd
     477GRAPHIC 865,0 254 0
     478DESIGN fad_main_tb
     479VIEW struct.bd
     480GRAPHIC 1437,0 255 0
     481DESIGN fad_main_tb
     482VIEW struct.bd
     483GRAPHIC 546,0 256 0
     484DESIGN fad_main_tb
     485VIEW struct.bd
     486GRAPHIC 1485,0 257 0
     487DESIGN fad_main_tb
     488VIEW struct.bd
     489GRAPHIC 1477,0 258 0
     490DESIGN fad_main_tb
     491VIEW struct.bd
     492GRAPHIC 1445,0 259 0
     493DESIGN fad_main_tb
     494VIEW struct.bd
     495GRAPHIC 1469,0 260 0
     496DESIGN fad_main_tb
     497VIEW struct.bd
     498GRAPHIC 801,0 261 0
     499DESIGN fad_main_tb
     500VIEW struct.bd
     501GRAPHIC 817,0 262 0
     502DESIGN fad_main_tb
     503VIEW struct.bd
     504GRAPHIC 841,0 263 0
     505DESIGN fad_main_tb
     506VIEW struct.bd
     507GRAPHIC 849,0 264 0
     508DESIGN fad_main_tb
     509VIEW struct.bd
     510GRAPHIC 1461,0 265 0
     511DESIGN fad_main_tb
     512VIEW struct.bd
     513GRAPHIC 777,0 266 0
     514DESIGN fad_main_tb
     515VIEW struct.bd
     516GRAPHIC 809,0 267 0
     517DESIGN fad_main_tb
     518VIEW struct.bd
     519GRAPHIC 1453,0 268 0
     520DESIGN fad_main_tb
     521VIEW struct.bd
     522GRAPHIC 380,0 269 0
     523DESIGN fad_main_tb
     524VIEW struct.bd
     525GRAPHIC 374,0 270 0
     526DESIGN fad_main_tb
     527VIEW struct.bd
     528GRAPHIC 318,0 271 0
     529DESIGN fad_main_tb
     530VIEW struct.bd
     531GRAPHIC 785,0 272 0
     532DESIGN fad_main_tb
     533VIEW struct.bd
     534GRAPHIC 330,0 273 0
     535DESIGN fad_main_tb
     536VIEW struct.bd
     537GRAPHIC 769,0 274 0
     538DESIGN fad_main_tb
     539VIEW struct.bd
     540GRAPHIC 336,0 275 0
     541DESIGN fad_main_tb
     542VIEW struct.bd
     543GRAPHIC 386,0 276 0
     544DESIGN fad_main_tb
     545VIEW struct.bd
     546GRAPHIC 324,0 277 0
     547DESIGN fad_main_tb
     548VIEW struct.bd
     549GRAPHIC 508,0 279 0
     550DESIGN fad_main_tb
     551VIEW struct.bd
     552GRAPHIC 515,0 280 1
     553DESIGN fad_main_tb
     554VIEW struct.bd
     555GRAPHIC 578,0 284 0
     556DESIGN fad_main_tb
     557VIEW struct.bd
     558GRAPHIC 570,0 285 0
     559DESIGN fad_main_tb
     560VIEW struct.bd
     561GRAPHIC 562,0 286 0
     562DESIGN fad_main_tb
     563VIEW struct.bd
     564GRAPHIC 554,0 287 0
     565DESIGN fad_main_tb
     566VIEW struct.bd
     567GRAPHIC 274,0 289 0
     568DESIGN fad_main_tb
     569VIEW struct.bd
     570GRAPHIC 281,0 290 1
     571DESIGN fad_main_tb
     572VIEW struct.bd
     573GRAPHIC 286,0 295 0
     574DESIGN fad_main_tb
     575VIEW struct.bd
     576GRAPHIC 1509,0 298 0
     577DESIGN fad_main_tb
     578VIEW struct.bd
     579GRAPHIC 1516,0 299 1
     580DESIGN fad_main_tb
     581VIEW struct.bd
     582GRAPHIC 1529,0 304 0
     583DESIGN fad_main_tb
     584VIEW struct.bd
     585GRAPHIC 362,0 307 0
     586DESIGN fad_main_tb
     587VIEW struct.bd
     588GRAPHIC 369,0 308 1
     589DESIGN fad_main_tb
     590VIEW struct.bd
     591GRAPHIC 380,0 312 0
     592DESIGN fad_main_tb
     593VIEW struct.bd
     594GRAPHIC 386,0 313 0
     595DESIGN fad_main_tb
     596VIEW struct.bd
     597GRAPHIC 374,0 314 0
     598DESIGN fad_main_tb
     599VIEW struct.bd
     600GRAPHIC 414,0 316 0
     601DESIGN fad_main_tb
     602VIEW struct.bd
     603GRAPHIC 421,0 317 1
     604DESIGN fad_main_tb
     605VIEW struct.bd
     606GRAPHIC 426,0 322 0
     607DESIGN fad_main_tb
     608VIEW struct.bd
     609GRAPHIC 306,0 324 0
     610DESIGN fad_main_tb
     611VIEW struct.bd
     612GRAPHIC 793,0 326 0
     613DESIGN fad_main_tb
     614VIEW struct.bd
     615GRAPHIC 318,0 327 0
     616DESIGN fad_main_tb
     617VIEW struct.bd
     618GRAPHIC 324,0 328 0
     619DESIGN fad_main_tb
     620VIEW struct.bd
     621GRAPHIC 330,0 329 0
     622DESIGN fad_main_tb
     623VIEW struct.bd
     624GRAPHIC 336,0 330 0
     625DESIGN fad_main_tb
     626VIEW struct.bd
     627NO_GRAPHIC 333
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd

    r9912 r10180  
    118118uid 508,0
    119119)
     120(Instance
     121name "I_mainTB_clock1"
     122duLibraryName "FACT_FAD_TB_lib"
     123duName "clock_generator"
     124elements [
     125(GiElement
     126name "clock_period"
     127type "time"
     128value "1 us"
     129)
     130(GiElement
     131name "reset_time"
     132type "time"
     133value "1 us"
     134)
     135]
     136mwi 0
     137uid 1509,0
     138)
    120139]
    121140embeddedInstances [
     
    127146name "eb_mainTB_adc"
    128147number "2"
     148)
     149(EmbeddedInstance
     150name "eb_mainTB_adc1"
     151number "3"
    129152)
    130153]
     
    143166(vvPair
    144167variable "HDLDir"
    145 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
     168value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
    146169)
    147170(vvPair
    148171variable "HDSDir"
    149 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
     172value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    150173)
    151174(vvPair
    152175variable "SideDataDesignDir"
    153 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"
     176value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"
    154177)
    155178(vvPair
    156179variable "SideDataUserDir"
    157 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"
     180value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"
    158181)
    159182(vvPair
    160183variable "SourceDir"
    161 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
     184value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    162185)
    163186(vvPair
     
    171194(vvPair
    172195variable "config"
    173 value "%(unit)_config"
     196value "%(unit)_%(view)_config"
    174197)
    175198(vvPair
    176199variable "d"
    177 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
     200value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
    178201)
    179202(vvPair
    180203variable "d_logical"
    181 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
     204value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
    182205)
    183206(vvPair
    184207variable "date"
    185 value "25.06.2010"
     208value "25.02.2011"
    186209)
    187210(vvPair
     
    223246(vvPair
    224247variable "host"
    225 value "EEPC8"
     248value "E5B-LABOR6"
    226249)
    227250(vvPair
     
    234257)
    235258(vvPair
     259variable "library_downstream_HdsLintPlugin"
     260value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
     261)
     262(vvPair
     263variable "library_downstream_ISEPARInvoke"
     264value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     265)
     266(vvPair
     267variable "library_downstream_ImpactInvoke"
     268value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     269)
     270(vvPair
    236271variable "library_downstream_ModelSimCompiler"
    237272value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
    238273)
    239274(vvPair
     275variable "library_downstream_XSTDataPrep"
     276value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     277)
     278(vvPair
    240279variable "mm"
    241 value "06"
     280value "02"
    242281)
    243282(vvPair
     
    247286(vvPair
    248287variable "month"
    249 value "Jun"
     288value "Feb"
    250289)
    251290(vvPair
    252291variable "month_long"
    253 value "Juni"
     292value "Februar"
    254293)
    255294(vvPair
    256295variable "p"
    257 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
     296value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
    258297)
    259298(vvPair
    260299variable "p_logical"
    261 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
     300value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
    262301)
    263302(vvPair
     
    283322(vvPair
    284323variable "task_ModelSimPath"
    285 value "$HDS_HOME/../Modeltech/win32"
     324value "C:\\modeltech_6.6a\\win32"
    286325)
    287326(vvPair
     
    291330(vvPair
    292331variable "task_PrecisionRTLPath"
    293 value "$HDS_HOME/../Precision/Mgc_home/bin"
     332value "<TBD>"
    294333)
    295334(vvPair
     
    315354(vvPair
    316355variable "time"
    317 value "08:48:16"
     356value "13:51:45"
    318357)
    319358(vvPair
     
    323362(vvPair
    324363variable "user"
    325 value "Benjamin Krumm"
     364value "dneise"
    326365)
    327366(vvPair
     
    335374(vvPair
    336375variable "year"
    337 value "2010"
     376value "2011"
    338377)
    339378(vvPair
    340379variable "yy"
    341 value "10"
     380value "11"
    342381)
    343382]
     
    367406bg "0,0,32768"
    368407)
    369 xt "109200,97000,122200,98000"
     408xt "109200,97000,118800,98000"
    370409st "
    371410by %user on %dd %month %year
     
    706745n "wiz_reset"
    707746t "std_logic"
    708 o 28
     747o 39
    709748suid 2,0
    710749i "'1'"
     
    745784b "(7 DOWNTO 0)"
    746785posAdd 0
    747 o 21
     786o 31
    748787suid 7,0
    749788i "(OTHERS => '0')"
     
    782821preAdd 0
    783822posAdd 0
    784 o 10
     823o 13
    785824suid 18,0
    786825)
     
    817856n "adc_oeb"
    818857t "std_logic"
    819 o 16
     858o 21
    820859suid 21,0
    821860i "'1'"
     
    852891n "board_id"
    853892t "std_logic_vector"
    854 b "(3 downto 0)"
    855 preAdd 0
    856 posAdd 0
    857 o 8
     893b "(3 DOWNTO 0)"
     894o 9
    858895suid 24,0
    859896)
     
    889926n "crate_id"
    890927t "std_logic_vector"
    891 b "(1 downto 0)"
    892 o 9
     928b "(1 DOWNTO 0)"
     929o 10
    893930suid 25,0
    894931)
     
    927964t "std_logic_vector"
    928965b "(9 DOWNTO 0)"
    929 o 25
     966o 36
    930967suid 26,0
    931968)
     
    9641001t "std_logic_vector"
    9651002b "(15 DOWNTO 0)"
    966 o 31
     1003o 42
    9671004suid 27,0
    9681005)
     
    10001037n "wiz_cs"
    10011038t "std_logic"
    1002 o 26
     1039o 37
    10031040suid 28,0
    10041041i "'1'"
     
    10371074n "wiz_wr"
    10381075t "std_logic"
    1039 o 29
     1076o 40
    10401077suid 29,0
    10411078i "'1'"
     
    10741111n "wiz_rd"
    10751112t "std_logic"
    1076 o 27
     1113o 38
    10771114suid 30,0
    10781115i "'1'"
     
    11101147n "wiz_int"
    11111148t "std_logic"
    1112 o 11
     1149o 14
    11131150suid 31,0
    11141151)
     
    11451182n "CLK_25_PS"
    11461183t "std_logic"
    1147 o 12
     1184o 16
    11481185suid 35,0
    11491186)
     
    11801217n "CLK_50"
    11811218t "std_logic"
    1182 o 13
     1219preAdd 0
     1220posAdd 0
     1221o 17
    11831222suid 37,0
    11841223)
     
    12491288t "std_logic_vector"
    12501289b "(3 DOWNTO 0)"
    1251 o 7
     1290o 8
    12521291suid 40,0
    12531292)
     
    12831322n "adc_data_array"
    12841323t "adc_data_array_type"
    1285 o 6
     1324o 7
    12861325suid 41,0
    12871326)
     
    13191358t "std_logic_vector"
    13201359b "(3 downto 0)"
    1321 o 19
     1360o 28
    13221361suid 48,0
    13231362i "(others => '0')"
     
    13551394n "drs_dwrite"
    13561395t "std_logic"
    1357 o 20
     1396o 29
    13581397suid 49,0
    13591398i "'1'"
     
    13901429n "SROUT_in_0"
    13911430t "std_logic"
    1392 o 2
     1431o 3
    13931432suid 52,0
    13941433)
     
    14241463n "SROUT_in_1"
    14251464t "std_logic"
    1426 o 3
     1465o 4
    14271466suid 53,0
    14281467)
     
    14581497n "SROUT_in_2"
    14591498t "std_logic"
    1460 o 4
     1499o 5
    14611500suid 54,0
    14621501)
     
    14921531n "SROUT_in_3"
    14931532t "std_logic"
    1494 o 5
     1533o 6
    14951534suid 55,0
    14961535)
     
    15271566n "RSRLOAD"
    15281567t "std_logic"
    1529 o 14
     1568o 18
    15301569suid 56,0
    15311570i "'0'"
     
    15631602n "SRCLK"
    15641603t "std_logic"
    1565 o 15
     1604o 19
    15661605suid 57,0
    15671606i "'0'"
     
    16001639n "sclk"
    16011640t "std_logic"
    1602 o 23
     1641o 34
    16031642suid 62,0
    16041643)
     
    16381677preAdd 0
    16391678posAdd 0
    1640 o 30
     1679o 41
    16411680suid 63,0
    16421681)
     
    16741713n "dac_cs"
    16751714t "std_logic"
    1676 o 17
     1715o 26
    16771716suid 64,0
    16781717)
     
    17111750t "std_logic_vector"
    17121751b "(3 DOWNTO 0)"
    1713 o 24
     1752o 35
    17141753suid 65,0
    17151754)
     
    17471786n "mosi"
    17481787t "std_logic"
    1749 o 22
     1788o 32
    17501789suid 66,0
    17511790i "'0'"
     
    17861825eolc "-- default domino wave off"
    17871826posAdd 0
    1788 o 18
     1827o 27
    17891828suid 67,0
    17901829i "'0'"
     1830)
     1831)
     1832)
     1833*44 (CptPort
     1834uid 1395,0
     1835ps "OnEdgeStrategy"
     1836shape (Triangle
     1837uid 1396,0
     1838ro 90
     1839va (VaSet
     1840vasetType 1
     1841fg "0,65535,0"
     1842)
     1843xt "109000,73625,109750,74375"
     1844)
     1845tg (CPTG
     1846uid 1397,0
     1847ps "CptPortTextPlaceStrategy"
     1848stg "RightVerticalLayoutStrategy"
     1849f (Text
     1850uid 1398,0
     1851va (VaSet
     1852)
     1853xt "99400,73500,108000,74500"
     1854st "alarm_refclk_too_high"
     1855ju 2
     1856blo "108000,74300"
     1857)
     1858)
     1859thePort (LogicalPort
     1860m 1
     1861decl (Decl
     1862n "alarm_refclk_too_high"
     1863t "std_logic"
     1864o 22
     1865suid 95,0
     1866)
     1867)
     1868)
     1869*45 (CptPort
     1870uid 1399,0
     1871ps "OnEdgeStrategy"
     1872shape (Triangle
     1873uid 1400,0
     1874ro 90
     1875va (VaSet
     1876vasetType 1
     1877fg "0,65535,0"
     1878)
     1879xt "109000,74625,109750,75375"
     1880)
     1881tg (CPTG
     1882uid 1401,0
     1883ps "CptPortTextPlaceStrategy"
     1884stg "RightVerticalLayoutStrategy"
     1885f (Text
     1886uid 1402,0
     1887va (VaSet
     1888)
     1889xt "99800,74500,108000,75500"
     1890st "alarm_refclk_too_low"
     1891ju 2
     1892blo "108000,75300"
     1893)
     1894)
     1895thePort (LogicalPort
     1896m 1
     1897decl (Decl
     1898n "alarm_refclk_too_low"
     1899t "std_logic"
     1900posAdd 0
     1901o 23
     1902suid 96,0
     1903)
     1904)
     1905)
     1906*46 (CptPort
     1907uid 1403,0
     1908ps "OnEdgeStrategy"
     1909shape (Triangle
     1910uid 1404,0
     1911ro 90
     1912va (VaSet
     1913vasetType 1
     1914fg "0,65535,0"
     1915)
     1916xt "109000,79625,109750,80375"
     1917)
     1918tg (CPTG
     1919uid 1405,0
     1920ps "CptPortTextPlaceStrategy"
     1921stg "RightVerticalLayoutStrategy"
     1922f (Text
     1923uid 1406,0
     1924va (VaSet
     1925)
     1926xt "105500,79500,108000,80500"
     1927st "amber"
     1928ju 2
     1929blo "108000,80300"
     1930)
     1931)
     1932thePort (LogicalPort
     1933m 1
     1934decl (Decl
     1935n "amber"
     1936t "std_logic"
     1937o 24
     1938suid 87,0
     1939)
     1940)
     1941)
     1942*47 (CptPort
     1943uid 1407,0
     1944ps "OnEdgeStrategy"
     1945shape (Triangle
     1946uid 1408,0
     1947ro 90
     1948va (VaSet
     1949vasetType 1
     1950fg "0,65535,0"
     1951)
     1952xt "109000,76625,109750,77375"
     1953)
     1954tg (CPTG
     1955uid 1409,0
     1956ps "CptPortTextPlaceStrategy"
     1957stg "RightVerticalLayoutStrategy"
     1958f (Text
     1959uid 1410,0
     1960va (VaSet
     1961)
     1962xt "99400,76500,108000,77500"
     1963st "counter_result : (11:0)"
     1964ju 2
     1965blo "108000,77300"
     1966)
     1967)
     1968thePort (LogicalPort
     1969m 1
     1970decl (Decl
     1971n "counter_result"
     1972t "std_logic_vector"
     1973b "(11 DOWNTO 0)"
     1974o 25
     1975suid 94,0
     1976)
     1977)
     1978)
     1979*48 (CptPort
     1980uid 1411,0
     1981ps "OnEdgeStrategy"
     1982shape (Triangle
     1983uid 1412,0
     1984ro 90
     1985va (VaSet
     1986vasetType 1
     1987fg "0,65535,0"
     1988)
     1989xt "80250,74625,81000,75375"
     1990)
     1991tg (CPTG
     1992uid 1413,0
     1993ps "CptPortTextPlaceStrategy"
     1994stg "VerticalLayoutStrategy"
     1995f (Text
     1996uid 1414,0
     1997va (VaSet
     1998)
     1999xt "82000,74500,87500,75500"
     2000st "D_T_in : (1:0)"
     2001blo "82000,75300"
     2002)
     2003)
     2004thePort (LogicalPort
     2005decl (Decl
     2006n "D_T_in"
     2007t "std_logic_vector"
     2008b "(1 DOWNTO 0)"
     2009o 2
     2010suid 91,0
     2011)
     2012)
     2013)
     2014*49 (CptPort
     2015uid 1415,0
     2016ps "OnEdgeStrategy"
     2017shape (Triangle
     2018uid 1416,0
     2019ro 90
     2020va (VaSet
     2021vasetType 1
     2022fg "0,65535,0"
     2023)
     2024xt "80250,75625,81000,76375"
     2025)
     2026tg (CPTG
     2027uid 1417,0
     2028ps "CptPortTextPlaceStrategy"
     2029stg "VerticalLayoutStrategy"
     2030f (Text
     2031uid 1418,0
     2032va (VaSet
     2033)
     2034xt "82000,75500,87100,76500"
     2035st "drs_refclk_in"
     2036blo "82000,76300"
     2037)
     2038)
     2039thePort (LogicalPort
     2040decl (Decl
     2041n "drs_refclk_in"
     2042t "std_logic"
     2043eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
     2044o 11
     2045suid 92,0
     2046)
     2047)
     2048)
     2049*50 (CptPort
     2050uid 1419,0
     2051ps "OnEdgeStrategy"
     2052shape (Triangle
     2053uid 1420,0
     2054ro 90
     2055va (VaSet
     2056vasetType 1
     2057fg "0,65535,0"
     2058)
     2059xt "109000,77625,109750,78375"
     2060)
     2061tg (CPTG
     2062uid 1421,0
     2063ps "CptPortTextPlaceStrategy"
     2064stg "RightVerticalLayoutStrategy"
     2065f (Text
     2066uid 1422,0
     2067va (VaSet
     2068)
     2069xt "105600,77500,108000,78500"
     2070st "green"
     2071ju 2
     2072blo "108000,78300"
     2073)
     2074)
     2075thePort (LogicalPort
     2076m 1
     2077decl (Decl
     2078n "green"
     2079t "std_logic"
     2080o 30
     2081suid 86,0
     2082)
     2083)
     2084)
     2085*51 (CptPort
     2086uid 1423,0
     2087ps "OnEdgeStrategy"
     2088shape (Triangle
     2089uid 1424,0
     2090ro 90
     2091va (VaSet
     2092vasetType 1
     2093fg "0,65535,0"
     2094)
     2095xt "80250,76625,81000,77375"
     2096)
     2097tg (CPTG
     2098uid 1425,0
     2099ps "CptPortTextPlaceStrategy"
     2100stg "VerticalLayoutStrategy"
     2101f (Text
     2102uid 1426,0
     2103va (VaSet
     2104)
     2105xt "82000,76500,88100,77500"
     2106st "plllock_in : (3:0)"
     2107blo "82000,77300"
     2108)
     2109)
     2110thePort (LogicalPort
     2111decl (Decl
     2112n "plllock_in"
     2113t "std_logic_vector"
     2114b "(3 DOWNTO 0)"
     2115eolc "-- high level, if dominowave is running and DRS PLL locked"
     2116o 12
     2117suid 93,0
     2118)
     2119)
     2120)
     2121*52 (CptPort
     2122uid 1427,0
     2123ps "OnEdgeStrategy"
     2124shape (Triangle
     2125uid 1428,0
     2126ro 90
     2127va (VaSet
     2128vasetType 1
     2129fg "0,65535,0"
     2130)
     2131xt "109000,78625,109750,79375"
     2132)
     2133tg (CPTG
     2134uid 1429,0
     2135ps "CptPortTextPlaceStrategy"
     2136stg "RightVerticalLayoutStrategy"
     2137f (Text
     2138uid 1430,0
     2139va (VaSet
     2140)
     2141xt "106500,78500,108000,79500"
     2142st "red"
     2143ju 2
     2144blo "108000,79300"
     2145)
     2146)
     2147thePort (LogicalPort
     2148m 1
     2149decl (Decl
     2150n "red"
     2151t "std_logic"
     2152o 33
     2153suid 88,0
     2154)
     2155)
     2156)
     2157*53 (CptPort
     2158uid 1431,0
     2159ps "OnEdgeStrategy"
     2160shape (Triangle
     2161uid 1432,0
     2162ro 270
     2163va (VaSet
     2164vasetType 1
     2165fg "0,65535,0"
     2166)
     2167xt "80250,71625,81000,72375"
     2168)
     2169tg (CPTG
     2170uid 1433,0
     2171ps "CptPortTextPlaceStrategy"
     2172stg "VerticalLayoutStrategy"
     2173f (Text
     2174uid 1434,0
     2175va (VaSet
     2176)
     2177xt "82000,71500,85700,72500"
     2178st "SRIN_out"
     2179blo "82000,72300"
     2180)
     2181)
     2182thePort (LogicalPort
     2183m 1
     2184decl (Decl
     2185n "SRIN_out"
     2186t "std_logic"
     2187o 20
     2188suid 85,0
     2189i "'0'"
     2190)
     2191)
     2192)
     2193*54 (CptPort
     2194uid 1678,0
     2195ps "OnEdgeStrategy"
     2196shape (Triangle
     2197uid 1679,0
     2198ro 270
     2199va (VaSet
     2200vasetType 1
     2201fg "0,65535,0"
     2202)
     2203xt "80250,23625,81000,24375"
     2204)
     2205tg (CPTG
     2206uid 1680,0
     2207ps "CptPortTextPlaceStrategy"
     2208stg "VerticalLayoutStrategy"
     2209f (Text
     2210uid 1681,0
     2211va (VaSet
     2212)
     2213xt "82000,23500,86000,24500"
     2214st "ADC_CLK"
     2215blo "82000,24300"
     2216)
     2217)
     2218thePort (LogicalPort
     2219lang 2
     2220m 1
     2221decl (Decl
     2222n "ADC_CLK"
     2223t "std_logic"
     2224o 15
     2225suid 97,0
    17912226)
    17922227)
     
    18012236lineWidth 2
    18022237)
    1803 xt "81000,19000,109000,73000"
     2238xt "81000,19000,109000,81000"
    18042239)
    18052240oxt "15000,-8000,43000,46000"
     
    18092244stg "VerticalLayoutStrategy"
    18102245textVec [
    1811 *44 (Text
     2246*55 (Text
    18122247uid 236,0
    18132248va (VaSet
    18142249font "Arial,8,1"
    18152250)
    1816 xt "81200,73000,87400,74000"
     2251xt "83200,81000,89400,82000"
    18172252st "FACT_FAD_lib"
    1818 blo "81200,73800"
     2253blo "83200,81800"
    18192254tm "BdLibraryNameMgr"
    18202255)
    1821 *45 (Text
     2256*56 (Text
    18222257uid 237,0
    18232258va (VaSet
    18242259font "Arial,8,1"
    18252260)
    1826 xt "81200,74000,85400,75000"
     2261xt "83200,82000,87400,83000"
    18272262st "FAD_main"
    1828 blo "81200,74800"
     2263blo "83200,82800"
    18292264tm "CptNameMgr"
    18302265)
    1831 *46 (Text
     2266*57 (Text
    18322267uid 238,0
    18332268va (VaSet
    18342269font "Arial,8,1"
    18352270)
    1836 xt "81200,75000,88000,76000"
     2271xt "83200,83000,90000,84000"
    18372272st "I_mainTB_FPGA"
    1838 blo "81200,75800"
     2273blo "83200,83800"
    18392274tm "InstanceNameMgr"
    18402275)
     
    18712306fg "49152,49152,49152"
    18722307)
    1873 xt "81250,71250,82750,72750"
     2308xt "81250,79250,82750,80750"
    18742309iconName "BlockDiagram.png"
    18752310iconMaskName "BlockDiagram.msk"
     
    18812316archFileType "UNKNOWN"
    18822317)
    1883 *47 (SaComponent
     2318*58 (SaComponent
    18842319uid 274,0
    18852320optionalChildren [
    1886 *48 (CptPort
     2321*59 (CptPort
    18872322uid 266,0
    18882323ps "OnEdgeStrategy"
     
    19202355)
    19212356)
    1922 *49 (CptPort
     2357*60 (CptPort
    19232358uid 270,0
    19242359ps "OnEdgeStrategy"
     
    19732408stg "VerticalLayoutStrategy"
    19742409textVec [
    1975 *50 (Text
     2410*61 (Text
    19762411uid 277,0
    19772412va (VaSet
     
    19832418tm "BdLibraryNameMgr"
    19842419)
    1985 *51 (Text
     2420*62 (Text
    19862421uid 278,0
    19872422va (VaSet
     
    19932428tm "CptNameMgr"
    19942429)
    1995 *52 (Text
     2430*63 (Text
    19962431uid 279,0
    19972432va (VaSet
     
    20522487archFileType "UNKNOWN"
    20532488)
    2054 *53 (Net
     2489*64 (Net
    20552490uid 284,0
    20562491decl (Decl
     
    20672502font "Courier New,8,0"
    20682503)
    2069 xt "2000,26800,20000,27600"
    2070 st "SIGNAL clk            : STD_LOGIC"
    2071 )
    2072 )
    2073 *54 (SaComponent
     2504xt "-90000,41400,-68000,42200"
     2505st "SIGNAL clk                   : STD_LOGIC"
     2506)
     2507)
     2508*65 (SaComponent
    20742509uid 306,0
    20752510optionalChildren [
    2076 *55 (CptPort
     2511*66 (CptPort
    20772512uid 290,0
    20782513ps "OnEdgeStrategy"
     
    21032538n "addr"
    21042539t "std_logic_vector"
    2105 b "(9 downto 0)"
     2540b "(9 DOWNTO 0)"
    21062541preAdd 0
    21072542posAdd 0
    2108 o 1
     2543o 2
    21092544suid 1,0
    21102545)
    21112546)
    21122547)
    2113 *56 (CptPort
     2548*67 (CptPort
    21142549uid 294,0
    21152550ps "OnEdgeStrategy"
     
    21412576n "data"
    21422577t "std_logic_vector"
    2143 b "(15 downto 0)"
     2578b "(15 DOWNTO 0)"
    21442579preAdd 0
    21452580posAdd 0
    2146 o 2
     2581o 3
    21472582suid 2,0
    21482583)
    21492584)
    21502585)
    2151 *57 (CptPort
     2586*68 (CptPort
    21522587uid 298,0
    21532588ps "OnEdgeStrategy"
     
    21802615preAdd 0
    21812616posAdd 0
    2182 o 3
     2617o 4
    21832618suid 3,0
    21842619)
    21852620)
    21862621)
    2187 *58 (CptPort
     2622*69 (CptPort
    21882623uid 302,0
    21892624ps "OnEdgeStrategy"
     
    22162651preAdd 0
    22172652posAdd 0
    2218 o 4
     2653o 5
    22192654suid 4,0
     2655)
     2656)
     2657)
     2658*70 (CptPort
     2659uid 2108,0
     2660ps "OnEdgeStrategy"
     2661shape (Triangle
     2662uid 2109,0
     2663ro 270
     2664va (VaSet
     2665vasetType 1
     2666fg "0,65535,0"
     2667)
     2668xt "122250,26625,123000,27375"
     2669)
     2670tg (CPTG
     2671uid 2110,0
     2672ps "CptPortTextPlaceStrategy"
     2673stg "VerticalLayoutStrategy"
     2674f (Text
     2675uid 2111,0
     2676va (VaSet
     2677)
     2678xt "124000,26500,125200,27500"
     2679st "int"
     2680blo "124000,27300"
     2681)
     2682t (Text
     2683uid 2112,0
     2684va (VaSet
     2685)
     2686xt "124000,27500,125200,28500"
     2687st "'0'"
     2688blo "124000,28300"
     2689)
     2690)
     2691thePort (LogicalPort
     2692m 1
     2693decl (Decl
     2694n "int"
     2695t "std_logic"
     2696o 1
     2697suid 5,0
     2698i "'0'"
    22202699)
    22212700)
     
    22382717stg "VerticalLayoutStrategy"
    22392718textVec [
    2240 *59 (Text
     2719*71 (Text
    22412720uid 309,0
    22422721va (VaSet
     
    22482727tm "BdLibraryNameMgr"
    22492728)
    2250 *60 (Text
     2729*72 (Text
    22512730uid 310,0
    22522731va (VaSet
     
    22582737tm "CptNameMgr"
    22592738)
    2260 *61 (Text
     2739*73 (Text
    22612740uid 311,0
    22622741va (VaSet
     
    23062785archFileType "UNKNOWN"
    23072786)
    2308 *62 (Net
     2787*74 (Net
    23092788uid 316,0
    23102789decl (Decl
     
    23202799font "Courier New,8,0"
    23212800)
    2322 xt "2000,36400,30000,37200"
    2323 st "SIGNAL wiz_addr       : std_logic_vector(9 DOWNTO 0)"
    2324 )
    2325 )
    2326 *63 (Net
     2801xt "-90000,54200,-58500,55000"
     2802st "SIGNAL wiz_addr              : std_logic_vector(9 DOWNTO 0)"
     2803)
     2804)
     2805*75 (Net
    23272806uid 322,0
    23282807decl (Decl
     
    23382817font "Courier New,8,0"
    23392818)
    2340 xt "2000,38000,30500,38800"
    2341 st "SIGNAL wiz_data       : std_logic_vector(15 DOWNTO 0)"
    2342 )
    2343 )
    2344 *64 (Net
     2819xt "-90000,55800,-58000,56600"
     2820st "SIGNAL wiz_data              : std_logic_vector(15 DOWNTO 0)"
     2821)
     2822)
     2823*76 (Net
    23452824uid 328,0
    23462825decl (Decl
     
    23562835font "Courier New,8,0"
    23572836)
    2358 xt "2000,39600,33500,40400"
    2359 st "SIGNAL wiz_rd         : std_logic                    := '1'"
    2360 )
    2361 )
    2362 *65 (Net
     2837xt "-90000,57400,-55000,58200"
     2838st "SIGNAL wiz_rd                : std_logic                    := '1'"
     2839)
     2840)
     2841*77 (Net
    23632842uid 334,0
    23642843decl (Decl
     
    23742853font "Courier New,8,0"
    23752854)
    2376 xt "2000,41200,33500,42000"
    2377 st "SIGNAL wiz_wr         : std_logic                    := '1'"
    2378 )
    2379 )
    2380 *66 (SaComponent
     2855xt "-90000,59000,-55000,59800"
     2856st "SIGNAL wiz_wr                : std_logic                    := '1'"
     2857)
     2858)
     2859*78 (SaComponent
    23812860uid 362,0
    23822861optionalChildren [
    2383 *67 (CptPort
     2862*79 (CptPort
    23842863uid 350,0
    23852864ps "OnEdgeStrategy"
     
    24172896)
    24182897)
    2419 *68 (CptPort
     2898*80 (CptPort
    24202899uid 354,0
    24212900ps "OnEdgeStrategy"
     
    24542933)
    24552934)
    2456 *69 (CptPort
     2935*81 (CptPort
    24572936uid 358,0
    24582937ps "OnEdgeStrategy"
     
    25082987stg "VerticalLayoutStrategy"
    25092988textVec [
    2510 *70 (Text
     2989*82 (Text
    25112990uid 365,0
    25122991va (VaSet
     
    25182997tm "BdLibraryNameMgr"
    25192998)
    2520 *71 (Text
     2999*83 (Text
    25213000uid 366,0
    25223001va (VaSet
     
    25283007tm "CptNameMgr"
    25293008)
    2530 *72 (Text
     3009*84 (Text
    25313010uid 367,0
    25323011va (VaSet
     
    25823061archFileType "UNKNOWN"
    25833062)
    2584 *73 (Net
     3063*85 (Net
    25853064uid 372,0
    25863065decl (Decl
     
    25963075font "Courier New,8,0"
    25973076)
    2598 xt "2000,34000,30000,34800"
    2599 st "SIGNAL sensor_cs      : std_logic_vector(3 DOWNTO 0)"
    2600 )
    2601 )
    2602 *74 (Net
     3077xt "-90000,51800,-58500,52600"
     3078st "SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0)"
     3079)
     3080)
     3081*86 (Net
    26033082uid 378,0
    26043083decl (Decl
     
    26133092font "Courier New,8,0"
    26143093)
    2615 xt "2000,33200,20000,34000"
    2616 st "SIGNAL sclk           : std_logic"
    2617 )
    2618 )
    2619 *75 (Net
     3094xt "-90000,51000,-68000,51800"
     3095st "SIGNAL sclk                  : std_logic"
     3096)
     3097)
     3098*87 (Net
    26203099uid 384,0
    26213100decl (Decl
     
    26323111font "Courier New,8,0"
    26333112)
    2634 xt "2000,34800,20000,35600"
    2635 st "SIGNAL sio            : std_logic"
    2636 )
    2637 )
    2638 *76 (SaComponent
     3113xt "-90000,52600,-68000,53400"
     3114st "SIGNAL sio                   : std_logic"
     3115)
     3116)
     3117*88 (SaComponent
    26393118uid 414,0
    26403119optionalChildren [
    2641 *77 (CptPort
     3120*89 (CptPort
    26423121uid 410,0
    26433122ps "OnEdgeStrategy"
     
    26943173stg "VerticalLayoutStrategy"
    26953174textVec [
    2696 *78 (Text
     3175*90 (Text
    26973176uid 417,0
    26983177va (VaSet
     
    27043183tm "BdLibraryNameMgr"
    27053184)
    2706 *79 (Text
     3185*91 (Text
    27073186uid 418,0
    27083187va (VaSet
     
    27143193tm "CptNameMgr"
    27153194)
    2716 *80 (Text
     3195*92 (Text
    27173196uid 419,0
    27183197va (VaSet
     
    27743253archFileType "UNKNOWN"
    27753254)
    2776 *81 (Net
     3255*93 (Net
    27773256uid 424,0
    27783257decl (Decl
     
    27893268font "Courier New,8,0"
    27903269)
    2791 xt "2000,35600,20000,36400"
    2792 st "SIGNAL trigger        : std_logic"
    2793 )
    2794 )
    2795 *82 (HdlText
     3270xt "-90000,53400,-68000,54200"
     3271st "SIGNAL trigger               : std_logic"
     3272)
     3273)
     3274*94 (HdlText
    27963275uid 430,0
    27973276optionalChildren [
    2798 *83 (EmbeddedText
     3277*95 (EmbeddedText
    27993278uid 436,0
    28003279commentText (CommentText
     
    28473326stg "VerticalLayoutStrategy"
    28483327textVec [
    2849 *84 (Text
     3328*96 (Text
    28503329uid 433,0
    28513330va (VaSet
     
    28573336tm "HdlTextNameMgr"
    28583337)
    2859 *85 (Text
     3338*97 (Text
    28603339uid 434,0
    28613340va (VaSet
     
    28833362viewiconposition 0
    28843363)
    2885 *86 (Net
     3364*98 (Net
    28863365uid 440,0
    28873366decl (Decl
     
    28993378font "Courier New,8,0"
    29003379)
    2901 xt "2000,26000,30000,26800"
    2902 st "SIGNAL board_id       : std_logic_vector(3 downto 0)"
    2903 )
    2904 )
    2905 *87 (Net
     3380xt "-90000,40600,-58500,41400"
     3381st "SIGNAL board_id              : std_logic_vector(3 downto 0)"
     3382)
     3383)
     3384*99 (Net
    29063385uid 448,0
    29073386decl (Decl
     
    29173396font "Courier New,8,0"
    29183397)
    2919 xt "2000,27600,30000,28400"
    2920 st "SIGNAL crate_id       : std_logic_vector(1 downto 0)"
    2921 )
    2922 )
    2923 *88 (SaComponent
     3398xt "-90000,43000,-58500,43800"
     3399st "SIGNAL crate_id              : std_logic_vector(1 downto 0)"
     3400)
     3401)
     3402*100 (SaComponent
    29243403uid 508,0
    29253404optionalChildren [
    2926 *89 (CptPort
     3405*101 (CptPort
    29273406uid 489,0
    29283407ps "OnEdgeStrategy"
     
    29603439)
    29613440)
    2962 *90 (CptPort
     3441*102 (CptPort
    29633442uid 493,0
    29643443ps "OnEdgeStrategy"
     
    29993478)
    30003479)
    3001 *91 (CptPort
     3480*103 (CptPort
    30023481uid 497,0
    30033482ps "OnEdgeStrategy"
     
    30373516)
    30383517)
    3039 *92 (CptPort
     3518*104 (CptPort
    30403519uid 501,0
    30413520ps "OnEdgeStrategy"
     
    30913570stg "VerticalLayoutStrategy"
    30923571textVec [
    3093 *93 (Text
     3572*105 (Text
    30943573uid 511,0
    30953574va (VaSet
     
    31013580tm "BdLibraryNameMgr"
    31023581)
    3103 *94 (Text
     3582*106 (Text
    31043583uid 512,0
    31053584va (VaSet
     
    31113590tm "CptNameMgr"
    31123591)
    3113 *95 (Text
     3592*107 (Text
    31143593uid 513,0
    31153594va (VaSet
     
    31653644archFileType "UNKNOWN"
    31663645)
    3167 *96 (HdlText
     3646*108 (HdlText
    31683647uid 518,0
    31693648optionalChildren [
    3170 *97 (EmbeddedText
     3649*109 (EmbeddedText
    31713650uid 524,0
    31723651commentText (CommentText
     
    32253704stg "VerticalLayoutStrategy"
    32263705textVec [
    3227 *98 (Text
     3706*110 (Text
    32283707uid 521,0
    32293708va (VaSet
     
    32353714tm "HdlTextNameMgr"
    32363715)
    3237 *99 (Text
     3716*111 (Text
    32383717uid 522,0
    32393718va (VaSet
     
    32613740viewiconposition 0
    32623741)
    3263 *100 (Net
     3742*112 (Net
    32643743uid 528,0
    32653744decl (Decl
     
    32753754font "Courier New,8,0"
    32763755)
    3277 xt "2000,25200,30000,26000"
    3278 st "SIGNAL adc_otr_array  : std_logic_vector(3 DOWNTO 0)"
    3279 )
    3280 )
    3281 *101 (Net
     3756xt "-90000,37400,-58500,38200"
     3757st "SIGNAL adc_otr_array         : std_logic_vector(3 DOWNTO 0)"
     3758)
     3759)
     3760*113 (Net
    32823761uid 536,0
    32833762decl (Decl
     
    32923771font "Courier New,8,0"
    32933772)
    3294 xt "2000,22800,25500,23600"
    3295 st "SIGNAL adc_data_array : adc_data_array_type"
    3296 )
    3297 )
    3298 *102 (Net
     3773xt "-90000,35000,-63000,35800"
     3774st "SIGNAL adc_data_array        : adc_data_array_type"
     3775)
     3776)
     3777*114 (Net
    32993778uid 544,0
    33003779decl (Decl
     
    33113790font "Courier New,8,0"
    33123791)
    3313 xt "2000,23600,20000,24400"
    3314 st "SIGNAL adc_oeb        : std_logic"
    3315 )
    3316 )
    3317 *103 (Net
     3792xt "-90000,35800,-68000,36600"
     3793st "SIGNAL adc_oeb               : std_logic"
     3794)
     3795)
     3796*115 (Net
    33183797uid 560,0
    33193798decl (Decl
     
    33303809font "Courier New,8,0"
    33313810)
    3332 xt "2000,24400,20000,25200"
    3333 st "SIGNAL adc_otr        : STD_LOGIC"
    3334 )
    3335 )
    3336 *104 (Net
     3811xt "-90000,36600,-68000,37400"
     3812st "SIGNAL adc_otr               : STD_LOGIC"
     3813)
     3814)
     3815*116 (Net
    33373816uid 568,0
    33383817decl (Decl
     
    33503829font "Courier New,8,0"
    33513830)
    3352 xt "2000,22000,30500,22800"
    3353 st "SIGNAL adc_data       : std_logic_vector(11 DOWNTO 0)"
    3354 )
    3355 )
    3356 *105 (Net
     3831xt "-90000,34200,-58000,35000"
     3832st "SIGNAL adc_data              : std_logic_vector(11 DOWNTO 0)"
     3833)
     3834)
     3835*117 (Net
    33573836uid 767,0
    33583837decl (Decl
     
    33683847font "Courier New,8,0"
    33693848)
    3370 xt "2000,40400,33500,41200"
    3371 st "SIGNAL wiz_reset      : std_logic                    := '1'"
    3372 )
    3373 )
    3374 *106 (Net
     3849xt "-90000,58200,-55000,59000"
     3850st "SIGNAL wiz_reset             : std_logic                    := '1'"
     3851)
     3852)
     3853*118 (Net
    33753854uid 775,0
    33763855decl (Decl
     
    33883867font "Courier New,8,0"
    33893868)
    3390 xt "2000,31600,39500,32400"
    3391 st "SIGNAL led            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
    3392 )
    3393 )
    3394 *107 (Net
     3869xt "-90000,47800,-49000,48600"
     3870st "SIGNAL led                   : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
     3871)
     3872)
     3873*119 (Net
    33953874uid 783,0
    33963875decl (Decl
     
    34063885font "Courier New,8,0"
    34073886)
    3408 xt "2000,37200,33500,38000"
    3409 st "SIGNAL wiz_cs         : std_logic                    := '1'"
    3410 )
    3411 )
    3412 *108 (Net
     3887xt "-90000,55000,-55000,55800"
     3888st "SIGNAL wiz_cs                : std_logic                    := '1'"
     3889)
     3890)
     3891*120 (Net
    34133892uid 791,0
    34143893decl (Decl
     
    34233902font "Courier New,8,0"
    34243903)
    3425 xt "2000,38800,20000,39600"
    3426 st "SIGNAL wiz_int        : std_logic"
    3427 )
    3428 )
    3429 *109 (Net
     3904xt "-90000,56600,-68000,57400"
     3905st "SIGNAL wiz_int               : std_logic"
     3906)
     3907)
     3908*121 (Net
    34303909uid 799,0
    34313910decl (Decl
     
    34403919font "Courier New,8,0"
    34413920)
    3442 xt "2000,28400,20000,29200"
    3443 st "SIGNAL dac_cs         : std_logic"
    3444 )
    3445 )
    3446 *110 (Net
     3921xt "-90000,43800,-68000,44600"
     3922st "SIGNAL dac_cs                : std_logic"
     3923)
     3924)
     3925*122 (Net
    34473926uid 807,0
    34483927decl (Decl
     
    34583937font "Courier New,8,0"
    34593938)
    3460 xt "2000,32400,33500,33200"
    3461 st "SIGNAL mosi           : std_logic                    := '0'"
    3462 )
    3463 )
    3464 *111 (Net
     3939xt "-90000,48600,-55000,49400"
     3940st "SIGNAL mosi                  : std_logic                    := '0'"
     3941)
     3942)
     3943*123 (Net
    34653944uid 815,0
    34663945decl (Decl
     
    34783957font "Courier New,8,0"
    34793958)
    3480 xt "2000,29200,47000,30000"
    3481 st "SIGNAL denable        : std_logic                    := '0' -- default domino wave off"
    3482 )
    3483 )
    3484 *112 (Net
     3959xt "-90000,44600,-41500,45400"
     3960st "SIGNAL denable               : std_logic                    := '0' -- default domino wave off"
     3961)
     3962)
     3963*124 (Net
    34853964uid 823,0
    34863965decl (Decl
     
    34953974font "Courier New,8,0"
    34963975)
    3497 xt "2000,15600,20000,16400"
    3498 st "SIGNAL CLK_25_PS      : std_logic"
    3499 )
    3500 )
    3501 *113 (Net
     3976xt "-90000,25400,-68000,26200"
     3977st "SIGNAL CLK_25_PS             : std_logic"
     3978)
     3979)
     3980*125 (Net
    35023981uid 831,0
    35033982decl (Decl
     
    35123991font "Courier New,8,0"
    35133992)
    3514 xt "2000,16400,20000,17200"
    3515 st "SIGNAL CLK_50         : std_logic"
    3516 )
    3517 )
    3518 *114 (Net
     3993xt "-90000,26200,-68000,27000"
     3994st "SIGNAL CLK_50                : std_logic"
     3995)
     3996)
     3997*126 (Net
    35193998uid 839,0
    35203999decl (Decl
     
    35314010font "Courier New,8,0"
    35324011)
    3533 xt "2000,30000,39500,30800"
    3534 st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')"
    3535 )
    3536 )
    3537 *115 (Net
     4012xt "-90000,45400,-49000,46200"
     4013st "SIGNAL drs_channel_id        : std_logic_vector(3 downto 0) := (others => '0')"
     4014)
     4015)
     4016*127 (Net
    35384017uid 847,0
    35394018decl (Decl
     
    35494028font "Courier New,8,0"
    35504029)
    3551 xt "2000,30800,33500,31600"
    3552 st "SIGNAL drs_dwrite     : std_logic                    := '1'"
    3553 )
    3554 )
    3555 *116 (Net
     4030xt "-90000,46200,-55000,47000"
     4031st "SIGNAL drs_dwrite            : std_logic                    := '1'"
     4032)
     4033)
     4034*128 (Net
    35564035uid 855,0
    35574036decl (Decl
     
    35674046font "Courier New,8,0"
    35684047)
    3569 xt "2000,17200,33500,18000"
    3570 st "SIGNAL RSRLOAD        : std_logic                    := '0'"
    3571 )
    3572 )
    3573 *117 (Net
     4048xt "-90000,28600,-55000,29400"
     4049st "SIGNAL RSRLOAD               : std_logic                    := '0'"
     4050)
     4051)
     4052*129 (Net
    35744053uid 863,0
    35754054decl (Decl
     
    35854064font "Courier New,8,0"
    35864065)
    3587 xt "2000,18000,33500,18800"
    3588 st "SIGNAL SRCLK          : std_logic                    := '0'"
    3589 )
    3590 )
    3591 *118 (Net
     4066xt "-90000,29400,-55000,30200"
     4067st "SIGNAL SRCLK                 : std_logic                    := '0'"
     4068)
     4069)
     4070*130 (Net
    35924071uid 871,0
    35934072decl (Decl
     
    36024081font "Courier New,8,0"
    36034082)
    3604 xt "2000,18800,20000,19600"
    3605 st "SIGNAL SROUT_in_0     : std_logic"
    3606 )
    3607 )
    3608 *119 (Net
     4083xt "-90000,31000,-68000,31800"
     4084st "SIGNAL SROUT_in_0            : std_logic"
     4085)
     4086)
     4087*131 (Net
    36094088uid 879,0
    36104089decl (Decl
     
    36194098font "Courier New,8,0"
    36204099)
    3621 xt "2000,19600,20000,20400"
    3622 st "SIGNAL SROUT_in_1     : std_logic"
    3623 )
    3624 )
    3625 *120 (Net
     4100xt "-90000,31800,-68000,32600"
     4101st "SIGNAL SROUT_in_1            : std_logic"
     4102)
     4103)
     4104*132 (Net
    36264105uid 887,0
    36274106decl (Decl
     
    36364115font "Courier New,8,0"
    36374116)
    3638 xt "2000,20400,20000,21200"
    3639 st "SIGNAL SROUT_in_2     : std_logic"
    3640 )
    3641 )
    3642 *121 (Net
     4117xt "-90000,32600,-68000,33400"
     4118st "SIGNAL SROUT_in_2            : std_logic"
     4119)
     4120)
     4121*133 (Net
    36434122uid 895,0
    36444123decl (Decl
     
    36534132font "Courier New,8,0"
    36544133)
    3655 xt "2000,21200,20000,22000"
    3656 st "SIGNAL SROUT_in_3     : std_logic"
    3657 )
    3658 )
    3659 *122 (Wire
     4134xt "-90000,33400,-68000,34200"
     4135st "SIGNAL SROUT_in_3            : std_logic"
     4136)
     4137)
     4138*134 (Net
     4139uid 1435,0
     4140decl (Decl
     4141n "SRIN_out"
     4142t "std_logic"
     4143o 34
     4144suid 40,0
     4145i "'0'"
     4146)
     4147declText (MLText
     4148uid 1436,0
     4149va (VaSet
     4150font "Courier New,8,0"
     4151)
     4152xt "-90000,30200,-55000,31000"
     4153st "SIGNAL SRIN_out              : std_logic                    := '0'"
     4154)
     4155)
     4156*135 (Net
     4157uid 1443,0
     4158decl (Decl
     4159n "amber"
     4160t "std_logic"
     4161o 35
     4162suid 41,0
     4163)
     4164declText (MLText
     4165uid 1444,0
     4166va (VaSet
     4167font "Courier New,8,0"
     4168)
     4169xt "-90000,39800,-68000,40600"
     4170st "SIGNAL amber                 : std_logic"
     4171)
     4172)
     4173*136 (Net
     4174uid 1451,0
     4175decl (Decl
     4176n "red"
     4177t "std_logic"
     4178o 36
     4179suid 42,0
     4180)
     4181declText (MLText
     4182uid 1452,0
     4183va (VaSet
     4184font "Courier New,8,0"
     4185)
     4186xt "-90000,50200,-68000,51000"
     4187st "SIGNAL red                   : std_logic"
     4188)
     4189)
     4190*137 (Net
     4191uid 1459,0
     4192decl (Decl
     4193n "green"
     4194t "std_logic"
     4195o 37
     4196suid 43,0
     4197)
     4198declText (MLText
     4199uid 1460,0
     4200va (VaSet
     4201font "Courier New,8,0"
     4202)
     4203xt "-90000,47000,-68000,47800"
     4204st "SIGNAL green                 : std_logic"
     4205)
     4206)
     4207*138 (Net
     4208uid 1467,0
     4209decl (Decl
     4210n "counter_result"
     4211t "std_logic_vector"
     4212b "(11 DOWNTO 0)"
     4213o 38
     4214suid 44,0
     4215)
     4216declText (MLText
     4217uid 1468,0
     4218va (VaSet
     4219font "Courier New,8,0"
     4220)
     4221xt "-90000,42200,-58000,43000"
     4222st "SIGNAL counter_result        : std_logic_vector(11 DOWNTO 0)"
     4223)
     4224)
     4225*139 (Net
     4226uid 1475,0
     4227decl (Decl
     4228n "alarm_refclk_too_low"
     4229t "std_logic"
     4230posAdd 0
     4231o 39
     4232suid 45,0
     4233)
     4234declText (MLText
     4235uid 1476,0
     4236va (VaSet
     4237font "Courier New,8,0"
     4238)
     4239xt "-90000,39000,-68000,39800"
     4240st "SIGNAL alarm_refclk_too_low  : std_logic"
     4241)
     4242)
     4243*140 (Net
     4244uid 1483,0
     4245decl (Decl
     4246n "alarm_refclk_too_high"
     4247t "std_logic"
     4248o 40
     4249suid 46,0
     4250)
     4251declText (MLText
     4252uid 1484,0
     4253va (VaSet
     4254font "Courier New,8,0"
     4255)
     4256xt "-90000,38200,-68000,39000"
     4257st "SIGNAL alarm_refclk_too_high : std_logic"
     4258)
     4259)
     4260*141 (HdlText
     4261uid 1491,0
     4262optionalChildren [
     4263*142 (EmbeddedText
     4264uid 1497,0
     4265commentText (CommentText
     4266uid 1498,0
     4267ps "CenterOffsetStrategy"
     4268shape (Rectangle
     4269uid 1499,0
     4270va (VaSet
     4271vasetType 1
     4272fg "65535,65535,65535"
     4273lineColor "0,0,32768"
     4274lineWidth 2
     4275)
     4276xt "27000,72000,41000,77000"
     4277)
     4278oxt "0,0,18000,5000"
     4279text (MLText
     4280uid 1500,0
     4281va (VaSet
     4282)
     4283xt "27200,72200,39400,77200"
     4284st "
     4285
     4286D_T_in(1 downto 0) <= \"00\";
     4287plllock_in(3 downto 0) <= \"1111\";
     4288SROUT_in_0 <= '1';
     4289SROUT_in_1 <= '0';
     4290SROUT_in_2 <= '1';
     4291SROUT_in_3 <= '0';
     4292
     4293"
     4294tm "HdlTextMgr"
     4295wrapOption 3
     4296visibleHeight 5000
     4297visibleWidth 14000
     4298)
     4299)
     4300)
     4301]
     4302shape (Rectangle
     4303uid 1492,0
     4304va (VaSet
     4305vasetType 1
     4306fg "65535,65535,37120"
     4307lineColor "0,0,32768"
     4308lineWidth 2
     4309)
     4310xt "27000,69000,35000,72000"
     4311)
     4312oxt "0,0,8000,10000"
     4313ttg (MlTextGroup
     4314uid 1493,0
     4315ps "CenterOffsetStrategy"
     4316stg "VerticalLayoutStrategy"
     4317textVec [
     4318*143 (Text
     4319uid 1494,0
     4320va (VaSet
     4321font "Arial,8,1"
     4322)
     4323xt "28150,69000,35250,70000"
     4324st "eb_mainTB_adc1"
     4325blo "28150,69800"
     4326tm "HdlTextNameMgr"
     4327)
     4328*144 (Text
     4329uid 1495,0
     4330va (VaSet
     4331font "Arial,8,1"
     4332)
     4333xt "28150,70000,28950,71000"
     4334st "3"
     4335blo "28150,70800"
     4336tm "HdlTextNumberMgr"
     4337)
     4338]
     4339)
     4340viewicon (ZoomableIcon
     4341uid 1496,0
     4342sl 0
     4343va (VaSet
     4344vasetType 1
     4345fg "49152,49152,49152"
     4346)
     4347xt "27250,70250,28750,71750"
     4348iconName "TextFile.png"
     4349iconMaskName "TextFile.msk"
     4350ftype 21
     4351)
     4352viewiconposition 0
     4353)
     4354*145 (Net
     4355uid 1501,0
     4356decl (Decl
     4357n "D_T_in"
     4358t "std_logic_vector"
     4359b "(1 DOWNTO 0)"
     4360o 41
     4361suid 47,0
     4362)
     4363declText (MLText
     4364uid 1502,0
     4365va (VaSet
     4366font "Courier New,8,0"
     4367)
     4368xt "-90000,27000,-58500,27800"
     4369st "SIGNAL D_T_in                : std_logic_vector(1 DOWNTO 0)"
     4370)
     4371)
     4372*146 (SaComponent
     4373uid 1509,0
     4374optionalChildren [
     4375*147 (CptPort
     4376uid 1519,0
     4377ps "OnEdgeStrategy"
     4378shape (Triangle
     4379uid 1520,0
     4380ro 90
     4381va (VaSet
     4382vasetType 1
     4383fg "0,65535,0"
     4384)
     4385xt "66000,78625,66750,79375"
     4386)
     4387tg (CPTG
     4388uid 1521,0
     4389ps "CptPortTextPlaceStrategy"
     4390stg "RightVerticalLayoutStrategy"
     4391f (Text
     4392uid 1522,0
     4393va (VaSet
     4394)
     4395xt "63700,78500,65000,79500"
     4396st "clk"
     4397ju 2
     4398blo "65000,79300"
     4399)
     4400)
     4401thePort (LogicalPort
     4402m 1
     4403decl (Decl
     4404n "clk"
     4405t "STD_LOGIC"
     4406o 1
     4407i "'0'"
     4408)
     4409)
     4410)
     4411*148 (CptPort
     4412uid 1523,0
     4413ps "OnEdgeStrategy"
     4414shape (Triangle
     4415uid 1524,0
     4416ro 90
     4417va (VaSet
     4418vasetType 1
     4419fg "0,65535,0"
     4420)
     4421xt "66000,79625,66750,80375"
     4422)
     4423tg (CPTG
     4424uid 1525,0
     4425ps "CptPortTextPlaceStrategy"
     4426stg "RightVerticalLayoutStrategy"
     4427f (Text
     4428uid 1526,0
     4429va (VaSet
     4430)
     4431xt "63700,79500,65000,80500"
     4432st "rst"
     4433ju 2
     4434blo "65000,80300"
     4435)
     4436)
     4437thePort (LogicalPort
     4438m 1
     4439decl (Decl
     4440n "rst"
     4441t "STD_LOGIC"
     4442o 2
     4443i "'0'"
     4444)
     4445)
     4446)
     4447]
     4448shape (Rectangle
     4449uid 1510,0
     4450va (VaSet
     4451vasetType 1
     4452fg "0,49152,49152"
     4453lineColor "0,0,50000"
     4454lineWidth 2
     4455)
     4456xt "55000,77000,66000,82000"
     4457)
     4458oxt "0,0,8000,10000"
     4459ttg (MlTextGroup
     4460uid 1511,0
     4461ps "CenterOffsetStrategy"
     4462stg "VerticalLayoutStrategy"
     4463textVec [
     4464*149 (Text
     4465uid 1512,0
     4466va (VaSet
     4467font "Arial,8,1"
     4468)
     4469xt "56150,78000,63850,79000"
     4470st "FACT_FAD_TB_lib"
     4471blo "56150,78800"
     4472tm "BdLibraryNameMgr"
     4473)
     4474*150 (Text
     4475uid 1513,0
     4476va (VaSet
     4477font "Arial,8,1"
     4478)
     4479xt "56150,79000,62850,80000"
     4480st "clock_generator"
     4481blo "56150,79800"
     4482tm "CptNameMgr"
     4483)
     4484*151 (Text
     4485uid 1514,0
     4486va (VaSet
     4487font "Arial,8,1"
     4488)
     4489xt "56150,80000,63150,81000"
     4490st "I_mainTB_clock1"
     4491blo "56150,80800"
     4492tm "InstanceNameMgr"
     4493)
     4494]
     4495)
     4496ga (GenericAssociation
     4497uid 1515,0
     4498ps "EdgeToEdgeStrategy"
     4499matrix (Matrix
     4500uid 1516,0
     4501text (MLText
     4502uid 1517,0
     4503va (VaSet
     4504font "Courier New,8,0"
     4505)
     4506xt "55000,82400,73000,84000"
     4507st "clock_period = 1 us    ( time ) 
     4508reset_time   = 1 us    ( time )  "
     4509)
     4510header ""
     4511)
     4512elements [
     4513(GiElement
     4514name "clock_period"
     4515type "time"
     4516value "1 us"
     4517)
     4518(GiElement
     4519name "reset_time"
     4520type "time"
     4521value "1 us"
     4522)
     4523]
     4524)
     4525viewicon (ZoomableIcon
     4526uid 1518,0
     4527sl 0
     4528va (VaSet
     4529vasetType 1
     4530fg "49152,49152,49152"
     4531)
     4532xt "55250,80250,56750,81750"
     4533iconName "VhdlFileViewIcon.png"
     4534iconMaskName "VhdlFileViewIcon.msk"
     4535ftype 10
     4536)
     4537ordering 1
     4538viewiconposition 0
     4539portVis (PortSigDisplay
     4540)
     4541archFileType "UNKNOWN"
     4542)
     4543*152 (Net
     4544uid 1559,0
     4545decl (Decl
     4546n "plllock_in"
     4547t "std_logic_vector"
     4548b "(3 DOWNTO 0)"
     4549eolc "-- high level, if dominowave is running and DRS PLL locked"
     4550o 43
     4551suid 49,0
     4552)
     4553declText (MLText
     4554uid 1560,0
     4555va (VaSet
     4556font "Courier New,8,0"
     4557)
     4558xt "-90000,49400,-29000,50200"
     4559st "SIGNAL plllock_in            : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked"
     4560)
     4561)
     4562*153 (Net
     4563uid 1682,0
     4564lang 2
     4565decl (Decl
     4566n "ADC_CLK"
     4567t "std_logic"
     4568o 44
     4569suid 50,0
     4570)
     4571declText (MLText
     4572uid 1683,0
     4573va (VaSet
     4574font "Courier New,8,0"
     4575)
     4576xt "-90000,24600,-68000,25400"
     4577st "SIGNAL ADC_CLK               : std_logic"
     4578)
     4579)
     4580*154 (Net
     4581uid 2001,0
     4582decl (Decl
     4583n "REF_CLK"
     4584t "STD_LOGIC"
     4585o 42
     4586suid 51,0
     4587i "'0'"
     4588)
     4589declText (MLText
     4590uid 2002,0
     4591va (VaSet
     4592font "Courier New,8,0"
     4593)
     4594xt "-90000,27800,-55000,28600"
     4595st "SIGNAL REF_CLK               : STD_LOGIC                    := '0'"
     4596)
     4597)
     4598*155 (Wire
    36604599uid 286,0
    36614600shape (OrthoPolyLine
     
    36704609]
    36714610)
    3672 start &48
     4611start &59
    36734612end &27
    36744613sat 32
     
    36914630)
    36924631)
    3693 on &53
    3694 )
    3695 *123 (Wire
     4632on &64
     4633)
     4634*156 (Wire
    36964635uid 318,0
    36974636shape (OrthoPolyLine
     
    37084647)
    37094648start &19
    3710 end &55
     4649end &66
    37114650sat 32
    37124651eat 32
     
    37294668)
    37304669)
    3731 on &62
    3732 )
    3733 *124 (Wire
     4670on &74
     4671)
     4672*157 (Wire
    37344673uid 324,0
    37354674shape (OrthoPolyLine
     
    37464685)
    37474686start &20
    3748 end &56
     4687end &67
    37494688sat 32
    37504689eat 32
     
    37674706)
    37684707)
    3769 on &63
    3770 )
    3771 *125 (Wire
     4708on &75
     4709)
     4710*158 (Wire
    37724711uid 330,0
    37734712shape (OrthoPolyLine
     
    37834722)
    37844723start &23
    3785 end &57
     4724end &68
    37864725sat 32
    37874726eat 32
     
    38034742)
    38044743)
    3805 on &64
    3806 )
    3807 *126 (Wire
     4744on &76
     4745)
     4746*159 (Wire
    38084747uid 336,0
    38094748shape (OrthoPolyLine
     
    38194758)
    38204759start &22
    3821 end &58
     4760end &69
    38224761sat 32
    38234762eat 32
     
    38394778)
    38404779)
    3841 on &65
    3842 )
    3843 *127 (Wire
     4780on &77
     4781)
     4782*160 (Wire
    38444783uid 374,0
    38454784shape (OrthoPolyLine
     
    38584797)
    38594798start &41
    3860 end &69
     4799end &81
    38614800sat 32
    38624801eat 32
     
    38794818)
    38804819)
    3881 on &73
    3882 )
    3883 *128 (Wire
     4820on &85
     4821)
     4822*161 (Wire
    38844823uid 380,0
    38854824shape (OrthoPolyLine
     
    38954834)
    38964835start &38
    3897 end &67
     4836end &79
    38984837sat 32
    38994838eat 32
     
    39154854)
    39164855)
    3917 on &74
    3918 )
    3919 *129 (Wire
     4856on &86
     4857)
     4858*162 (Wire
    39204859uid 386,0
    39214860shape (OrthoPolyLine
     
    39314870)
    39324871start &39
    3933 end &68
     4872end &80
    39344873sat 32
    39354874eat 32
     
    39514890)
    39524891)
    3953 on &75
    3954 )
    3955 *130 (Wire
     4892on &87
     4893)
     4894*163 (Wire
    39564895uid 426,0
    39574896shape (OrthoPolyLine
     
    39664905]
    39674906)
    3968 start &77
     4907start &89
    39694908end &15
    39704909sat 32
     
    39864925)
    39874926)
    3988 on &81
    3989 )
    3990 *131 (Wire
     4927on &93
     4928)
     4929*164 (Wire
    39914930uid 442,0
    39924931shape (OrthoPolyLine
     
    40054944)
    40064945start &17
    4007 end &82
     4946end &94
    40084947sat 32
    40094948eat 2
     
    40264965)
    40274966)
    4028 on &86
    4029 )
    4030 *132 (Wire
     4967on &98
     4968)
     4969*165 (Wire
    40314970uid 450,0
    40324971shape (OrthoPolyLine
     
    40454984)
    40464985start &18
    4047 end &82
     4986end &94
    40484987sat 32
    40494988eat 2
     
    40665005)
    40675006)
    4068 on &87
    4069 )
    4070 *133 (Wire
     5007on &99
     5008)
     5009*166 (Wire
    40715010uid 530,0
    40725011shape (OrthoPolyLine
     
    40855024)
    40865025start &28
    4087 end &96
     5026end &108
    40885027sat 32
    40895028eat 2
     
    41065045)
    41075046)
    4108 on &100
    4109 )
    4110 *134 (Wire
     5047on &112
     5048)
     5049*167 (Wire
    41115050uid 538,0
    41125051shape (OrthoPolyLine
     
    41255064)
    41265065start &29
    4127 end &96
     5066end &108
    41285067sat 32
    41295068eat 2
     
    41465085)
    41475086)
    4148 on &101
    4149 )
    4150 *135 (Wire
     5087on &113
     5088)
     5089*168 (Wire
    41515090uid 546,0
    41525091shape (OrthoPolyLine
     
    41645103)
    41655104start &16
    4166 end &96
     5105end &108
    41675106sat 32
    41685107eat 1
     
    41845123)
    41855124)
    4186 on &102
    4187 )
    4188 *136 (Wire
     5125on &114
     5126)
     5127*169 (Wire
    41895128uid 554,0
    41905129shape (OrthoPolyLine
     
    41995138]
    42005139)
    4201 start &96
    4202 end &92
     5140start &108
     5141end &104
    42035142sat 2
    42045143eat 32
     
    42195158)
    42205159)
    4221 on &102
    4222 )
    4223 *137 (Wire
     5160on &114
     5161)
     5162*170 (Wire
    42245163uid 562,0
    42255164shape (OrthoPolyLine
     
    42345173]
    42355174)
    4236 start &91
    4237 end &96
     5175start &103
     5176end &108
    42385177sat 32
    42395178eat 1
     
    42545193)
    42555194)
    4256 on &103
    4257 )
    4258 *138 (Wire
     5195on &115
     5196)
     5197*171 (Wire
    42595198uid 570,0
    42605199shape (OrthoPolyLine
     
    42705209]
    42715210)
    4272 start &90
    4273 end &96
     5211start &102
     5212end &108
    42745213sat 32
    42755214eat 1
     
    42915230)
    42925231)
    4293 on &104
    4294 )
    4295 *139 (Wire
     5232on &116
     5233)
     5234*172 (Wire
    42965235uid 578,0
    42975236shape (OrthoPolyLine
     
    43005239vasetType 3
    43015240)
    4302 xt "25000,53000,29250,53000"
     5241xt "24000,53000,29250,53000"
    43035242pts [
    43045243"29250,53000"
    4305 "25000,53000"
    4306 ]
    4307 )
    4308 start &89
     5244"24000,53000"
     5245]
     5246)
     5247start &101
    43095248sat 32
    43105249eat 16
     
    43195258va (VaSet
    43205259)
    4321 xt "26250,52000,27550,53000"
    4322 st "clk"
    4323 blo "26250,52800"
     5260xt "25000,52000,29000,53000"
     5261st "ADC_CLK"
     5262blo "25000,52800"
    43245263tm "WireNameMgr"
    43255264)
    43265265)
    4327 on &53
    4328 )
    4329 *140 (Wire
     5266on &153
     5267)
     5268*173 (Wire
    43305269uid 769,0
    43315270shape (OrthoPolyLine
     
    43605299)
    43615300)
    4362 on &105
    4363 )
    4364 *141 (Wire
     5301on &117
     5302)
     5303*174 (Wire
    43655304uid 777,0
    43665305shape (OrthoPolyLine
     
    43975336)
    43985337)
    4399 on &106
    4400 )
    4401 *142 (Wire
     5338on &118
     5339)
     5340*175 (Wire
    44025341uid 785,0
    44035342shape (OrthoPolyLine
     
    44325371)
    44335372)
    4434 on &107
    4435 )
    4436 *143 (Wire
     5373on &119
     5374)
     5375*176 (Wire
    44375376uid 793,0
    44385377shape (OrthoPolyLine
     
    44415380vasetType 3
    44425381)
    4443 xt "109750,27000,116000,27000"
     5382xt "109750,27000,122250,27000"
    44445383pts [
    4445 "116000,27000"
     5384"122250,27000"
    44465385"109750,27000"
    44475386]
    44485387)
     5388start &70
    44495389end &24
    4450 sat 16
     5390ss 0
     5391sat 32
    44515392eat 32
    44525393st 0
     
    44675408)
    44685409)
    4469 on &108
    4470 )
    4471 *144 (Wire
     5410on &120
     5411)
     5412*177 (Wire
    44725413uid 801,0
    44735414shape (OrthoPolyLine
     
    45025443)
    45035444)
    4504 on &109
    4505 )
    4506 *145 (Wire
     5445on &121
     5446)
     5447*178 (Wire
    45075448uid 809,0
    45085449shape (OrthoPolyLine
     
    45375478)
    45385479)
    4539 on &110
    4540 )
    4541 *146 (Wire
     5480on &122
     5481)
     5482*179 (Wire
    45425483uid 817,0
    45435484shape (OrthoPolyLine
     
    45725513)
    45735514)
    4574 on &111
    4575 )
    4576 *147 (Wire
     5515on &123
     5516)
     5517*180 (Wire
    45775518uid 825,0
    45785519shape (OrthoPolyLine
     
    46075548)
    46085549)
    4609 on &112
    4610 )
    4611 *148 (Wire
     5550on &124
     5551)
     5552*181 (Wire
    46125553uid 833,0
    46135554shape (OrthoPolyLine
     
    46425583)
    46435584)
    4644 on &113
    4645 )
    4646 *149 (Wire
     5585on &125
     5586)
     5587*182 (Wire
    46475588uid 841,0
    46485589shape (OrthoPolyLine
     
    46795620)
    46805621)
    4681 on &114
    4682 )
    4683 *150 (Wire
     5622on &126
     5623)
     5624*183 (Wire
    46845625uid 849,0
    46855626shape (OrthoPolyLine
     
    47145655)
    47155656)
    4716 on &115
    4717 )
    4718 *151 (Wire
     5657on &127
     5658)
     5659*184 (Wire
    47195660uid 857,0
    47205661shape (OrthoPolyLine
     
    47495690)
    47505691)
    4751 on &116
    4752 )
    4753 *152 (Wire
     5692on &128
     5693)
     5694*185 (Wire
    47545695uid 865,0
    47555696shape (OrthoPolyLine
     
    47845725)
    47855726)
    4786 on &117
    4787 )
    4788 *153 (Wire
     5727on &129
     5728)
     5729*186 (Wire
    47895730uid 873,0
    47905731shape (OrthoPolyLine
     
    48195760)
    48205761)
    4821 on &118
    4822 )
    4823 *154 (Wire
     5762on &130
     5763)
     5764*187 (Wire
    48245765uid 881,0
    48255766shape (OrthoPolyLine
     
    48545795)
    48555796)
    4856 on &119
    4857 )
    4858 *155 (Wire
     5797on &131
     5798)
     5799*188 (Wire
    48595800uid 889,0
    48605801shape (OrthoPolyLine
     
    48895830)
    48905831)
    4891 on &120
    4892 )
    4893 *156 (Wire
     5832on &132
     5833)
     5834*189 (Wire
    48945835uid 897,0
    48955836shape (OrthoPolyLine
     
    49245865)
    49255866)
    4926 on &121
     5867on &133
     5868)
     5869*190 (Wire
     5870uid 1437,0
     5871shape (OrthoPolyLine
     5872uid 1438,0
     5873va (VaSet
     5874vasetType 3
     5875)
     5876xt "73000,72000,80250,72000"
     5877pts [
     5878"80250,72000"
     5879"73000,72000"
     5880]
     5881)
     5882start &53
     5883sat 32
     5884eat 16
     5885st 0
     5886sf 1
     5887si 0
     5888tg (WTG
     5889uid 1441,0
     5890ps "ConnStartEndStrategy"
     5891stg "STSignalDisplayStrategy"
     5892f (Text
     5893uid 1442,0
     5894va (VaSet
     5895)
     5896xt "76000,72000,79700,73000"
     5897st "SRIN_out"
     5898blo "76000,72800"
     5899tm "WireNameMgr"
     5900)
     5901)
     5902on &134
     5903)
     5904*191 (Wire
     5905uid 1445,0
     5906shape (OrthoPolyLine
     5907uid 1446,0
     5908va (VaSet
     5909vasetType 3
     5910)
     5911xt "109750,80000,115000,80000"
     5912pts [
     5913"109750,80000"
     5914"115000,80000"
     5915]
     5916)
     5917start &46
     5918sat 32
     5919eat 16
     5920st 0
     5921sf 1
     5922si 0
     5923tg (WTG
     5924uid 1449,0
     5925ps "ConnStartEndStrategy"
     5926stg "STSignalDisplayStrategy"
     5927f (Text
     5928uid 1450,0
     5929va (VaSet
     5930)
     5931xt "111000,79000,113500,80000"
     5932st "amber"
     5933blo "111000,79800"
     5934tm "WireNameMgr"
     5935)
     5936)
     5937on &135
     5938)
     5939*192 (Wire
     5940uid 1453,0
     5941shape (OrthoPolyLine
     5942uid 1454,0
     5943va (VaSet
     5944vasetType 3
     5945)
     5946xt "109750,79000,114000,79000"
     5947pts [
     5948"109750,79000"
     5949"114000,79000"
     5950]
     5951)
     5952start &52
     5953sat 32
     5954eat 16
     5955st 0
     5956sf 1
     5957si 0
     5958tg (WTG
     5959uid 1457,0
     5960ps "ConnStartEndStrategy"
     5961stg "STSignalDisplayStrategy"
     5962f (Text
     5963uid 1458,0
     5964va (VaSet
     5965)
     5966xt "111000,78000,112500,79000"
     5967st "red"
     5968blo "111000,78800"
     5969tm "WireNameMgr"
     5970)
     5971)
     5972on &136
     5973)
     5974*193 (Wire
     5975uid 1461,0
     5976shape (OrthoPolyLine
     5977uid 1462,0
     5978va (VaSet
     5979vasetType 3
     5980)
     5981xt "109750,78000,114000,78000"
     5982pts [
     5983"109750,78000"
     5984"114000,78000"
     5985]
     5986)
     5987start &50
     5988sat 32
     5989eat 16
     5990st 0
     5991sf 1
     5992si 0
     5993tg (WTG
     5994uid 1465,0
     5995ps "ConnStartEndStrategy"
     5996stg "STSignalDisplayStrategy"
     5997f (Text
     5998uid 1466,0
     5999va (VaSet
     6000)
     6001xt "111000,77000,113400,78000"
     6002st "green"
     6003blo "111000,77800"
     6004tm "WireNameMgr"
     6005)
     6006)
     6007on &137
     6008)
     6009*194 (Wire
     6010uid 1469,0
     6011shape (OrthoPolyLine
     6012uid 1470,0
     6013va (VaSet
     6014vasetType 3
     6015lineWidth 2
     6016)
     6017xt "109750,77000,121000,77000"
     6018pts [
     6019"109750,77000"
     6020"121000,77000"
     6021]
     6022)
     6023start &47
     6024sat 32
     6025eat 16
     6026sty 1
     6027st 0
     6028sf 1
     6029si 0
     6030tg (WTG
     6031uid 1473,0
     6032ps "ConnStartEndStrategy"
     6033stg "STSignalDisplayStrategy"
     6034f (Text
     6035uid 1474,0
     6036va (VaSet
     6037)
     6038xt "111000,76000,119600,77000"
     6039st "counter_result : (11:0)"
     6040blo "111000,76800"
     6041tm "WireNameMgr"
     6042)
     6043)
     6044on &138
     6045)
     6046*195 (Wire
     6047uid 1477,0
     6048shape (OrthoPolyLine
     6049uid 1478,0
     6050va (VaSet
     6051vasetType 3
     6052)
     6053xt "109750,75000,120000,75000"
     6054pts [
     6055"109750,75000"
     6056"120000,75000"
     6057]
     6058)
     6059start &45
     6060sat 32
     6061eat 16
     6062st 0
     6063sf 1
     6064si 0
     6065tg (WTG
     6066uid 1481,0
     6067ps "ConnStartEndStrategy"
     6068stg "STSignalDisplayStrategy"
     6069f (Text
     6070uid 1482,0
     6071va (VaSet
     6072)
     6073xt "111000,74000,119200,75000"
     6074st "alarm_refclk_too_low"
     6075blo "111000,74800"
     6076tm "WireNameMgr"
     6077)
     6078)
     6079on &139
     6080)
     6081*196 (Wire
     6082uid 1485,0
     6083shape (OrthoPolyLine
     6084uid 1486,0
     6085va (VaSet
     6086vasetType 3
     6087)
     6088xt "109750,74000,121000,74000"
     6089pts [
     6090"109750,74000"
     6091"121000,74000"
     6092]
     6093)
     6094start &44
     6095sat 32
     6096eat 16
     6097st 0
     6098sf 1
     6099si 0
     6100tg (WTG
     6101uid 1489,0
     6102ps "ConnStartEndStrategy"
     6103stg "STSignalDisplayStrategy"
     6104f (Text
     6105uid 1490,0
     6106va (VaSet
     6107)
     6108xt "111000,73000,119600,74000"
     6109st "alarm_refclk_too_high"
     6110blo "111000,73800"
     6111tm "WireNameMgr"
     6112)
     6113)
     6114on &140
     6115)
     6116*197 (Wire
     6117uid 1503,0
     6118shape (OrthoPolyLine
     6119uid 1504,0
     6120va (VaSet
     6121vasetType 3
     6122lineWidth 2
     6123)
     6124xt "73000,75000,80250,75000"
     6125pts [
     6126"73000,75000"
     6127"80250,75000"
     6128]
     6129)
     6130end &48
     6131sat 16
     6132eat 32
     6133sty 1
     6134st 0
     6135sf 1
     6136si 0
     6137tg (WTG
     6138uid 1507,0
     6139ps "ConnStartEndStrategy"
     6140stg "STSignalDisplayStrategy"
     6141f (Text
     6142uid 1508,0
     6143va (VaSet
     6144)
     6145xt "74000,74000,79500,75000"
     6146st "D_T_in : (1:0)"
     6147blo "74000,74800"
     6148tm "WireNameMgr"
     6149)
     6150)
     6151on &145
     6152)
     6153*198 (Wire
     6154uid 1529,0
     6155shape (OrthoPolyLine
     6156uid 1530,0
     6157va (VaSet
     6158vasetType 3
     6159)
     6160xt "66750,76000,80250,79000"
     6161pts [
     6162"66750,79000"
     6163"70000,79000"
     6164"70000,76000"
     6165"80250,76000"
     6166]
     6167)
     6168start &147
     6169end &49
     6170sat 32
     6171eat 32
     6172st 0
     6173sf 1
     6174si 0
     6175tg (WTG
     6176uid 1531,0
     6177ps "ConnStartEndStrategy"
     6178stg "STSignalDisplayStrategy"
     6179f (Text
     6180uid 1532,0
     6181va (VaSet
     6182)
     6183xt "68750,78000,72650,79000"
     6184st "REF_CLK"
     6185blo "68750,78800"
     6186tm "WireNameMgr"
     6187)
     6188)
     6189on &154
     6190)
     6191*199 (Wire
     6192uid 1533,0
     6193shape (OrthoPolyLine
     6194uid 1534,0
     6195va (VaSet
     6196vasetType 3
     6197)
     6198xt "35000,70000,45000,70000"
     6199pts [
     6200"35000,70000"
     6201"45000,70000"
     6202]
     6203)
     6204start &141
     6205sat 2
     6206eat 16
     6207st 0
     6208sf 1
     6209si 0
     6210tg (WTG
     6211uid 1539,0
     6212ps "ConnStartEndStrategy"
     6213stg "STSignalDisplayStrategy"
     6214f (Text
     6215uid 1540,0
     6216va (VaSet
     6217)
     6218xt "37000,69000,42500,70000"
     6219st "D_T_in : (1:0)"
     6220blo "37000,69800"
     6221tm "WireNameMgr"
     6222)
     6223)
     6224on &145
     6225)
     6226*200 (Wire
     6227uid 1561,0
     6228shape (OrthoPolyLine
     6229uid 1562,0
     6230va (VaSet
     6231vasetType 3
     6232lineWidth 2
     6233)
     6234xt "72000,77000,80250,77000"
     6235pts [
     6236"72000,77000"
     6237"80250,77000"
     6238]
     6239)
     6240end &51
     6241sat 16
     6242eat 32
     6243sty 1
     6244st 0
     6245sf 1
     6246si 0
     6247tg (WTG
     6248uid 1565,0
     6249ps "ConnStartEndStrategy"
     6250stg "STSignalDisplayStrategy"
     6251f (Text
     6252uid 1566,0
     6253va (VaSet
     6254)
     6255xt "73000,76000,79100,77000"
     6256st "plllock_in : (3:0)"
     6257blo "73000,76800"
     6258tm "WireNameMgr"
     6259)
     6260)
     6261on &152
     6262)
     6263*201 (Wire
     6264uid 1567,0
     6265shape (OrthoPolyLine
     6266uid 1568,0
     6267va (VaSet
     6268vasetType 3
     6269)
     6270xt "35000,71000,45000,71000"
     6271pts [
     6272"35000,71000"
     6273"45000,71000"
     6274]
     6275)
     6276start &141
     6277sat 2
     6278eat 16
     6279st 0
     6280sf 1
     6281si 0
     6282tg (WTG
     6283uid 1573,0
     6284ps "ConnStartEndStrategy"
     6285stg "STSignalDisplayStrategy"
     6286f (Text
     6287uid 1574,0
     6288va (VaSet
     6289)
     6290xt "37000,70000,43100,71000"
     6291st "plllock_in : (3:0)"
     6292blo "37000,70800"
     6293tm "WireNameMgr"
     6294)
     6295)
     6296on &152
     6297)
     6298*202 (Wire
     6299uid 1684,0
     6300shape (OrthoPolyLine
     6301uid 1685,0
     6302va (VaSet
     6303vasetType 3
     6304)
     6305xt "70000,24000,80250,24000"
     6306pts [
     6307"80250,24000"
     6308"70000,24000"
     6309]
     6310)
     6311start &54
     6312sat 32
     6313eat 16
     6314st 0
     6315sf 1
     6316si 0
     6317tg (WTG
     6318uid 1688,0
     6319ps "ConnStartEndStrategy"
     6320stg "STSignalDisplayStrategy"
     6321f (Text
     6322uid 1689,0
     6323va (VaSet
     6324)
     6325xt "71000,23000,75000,24000"
     6326st "ADC_CLK"
     6327blo "71000,23800"
     6328tm "WireNameMgr"
     6329)
     6330)
     6331on &153
    49276332)
    49286333]
     
    49386343color "26368,26368,26368"
    49396344)
    4940 packageList *157 (PackageList
     6345packageList *203 (PackageList
    49416346uid 41,0
    49426347stg "VerticalLayoutStrategy"
    49436348textVec [
    4944 *158 (Text
     6349*204 (Text
    49456350uid 42,0
    49466351va (VaSet
    49476352font "arial,8,1"
    49486353)
    4949 xt "0,0,5400,1000"
     6354xt "-87000,0,-81600,1000"
    49506355st "Package List"
    4951 blo "0,800"
    4952 )
    4953 *159 (MLText
     6356blo "-87000,800"
     6357)
     6358*205 (MLText
    49546359uid 43,0
    49556360va (VaSet
    49566361)
    4957 xt "0,1000,14500,11000"
     6362xt "-87000,1000,-72500,11000"
    49586363st "LIBRARY ieee;
    49596364USE ieee.std_logic_1164.all;
     
    49746379stg "VerticalLayoutStrategy"
    49756380textVec [
    4976 *160 (Text
     6381*206 (Text
    49776382uid 45,0
    49786383va (VaSet
     
    49846389blo "20000,800"
    49856390)
    4986 *161 (Text
     6391*207 (Text
    49876392uid 46,0
    49886393va (VaSet
     
    49946399blo "20000,1800"
    49956400)
    4996 *162 (MLText
     6401*208 (MLText
    49976402uid 47,0
    49986403va (VaSet
     
    50046409tm "BdCompilerDirectivesTextMgr"
    50056410)
    5006 *163 (Text
     6411*209 (Text
    50076412uid 48,0
    50086413va (VaSet
     
    50146419blo "20000,4800"
    50156420)
    5016 *164 (MLText
     6421*210 (MLText
    50176422uid 49,0
    50186423va (VaSet
     
    50226427tm "BdCompilerDirectivesTextMgr"
    50236428)
    5024 *165 (Text
     6429*211 (Text
    50256430uid 50,0
    50266431va (VaSet
     
    50326437blo "20000,5800"
    50336438)
    5034 *166 (MLText
     6439*212 (MLText
    50356440uid 51,0
    50366441va (VaSet
     
    50446449)
    50456450windowSize "0,22,1281,1024"
    5046 viewArea "69121,10359,152940,73480"
    5047 cachedDiagramExtent "0,0,146000,98000"
     6451viewArea "69170,4172,136013,56147"
     6452cachedDiagramExtent "-92000,0,146000,98000"
    50486453pageSetupInfo (PageSetupInfo
    50496454ptrCmd ""
     
    50566461)
    50576462hasePageBreakOrigin 1
    5058 pageBreakOrigin "0,0"
    5059 lastUid 1311,0
     6463pageBreakOrigin "-146000,0"
     6464lastUid 2112,0
    50606465defaultCommentText (CommentText
    50616466shape (Rectangle
     
    51196524stg "VerticalLayoutStrategy"
    51206525textVec [
    5121 *167 (Text
     6526*213 (Text
    51226527va (VaSet
    51236528font "Arial,8,1"
     
    51286533tm "BdLibraryNameMgr"
    51296534)
    5130 *168 (Text
     6535*214 (Text
    51316536va (VaSet
    51326537font "Arial,8,1"
     
    51376542tm "BlkNameMgr"
    51386543)
    5139 *169 (Text
     6544*215 (Text
    51406545va (VaSet
    51416546font "Arial,8,1"
     
    51886593stg "VerticalLayoutStrategy"
    51896594textVec [
    5190 *170 (Text
     6595*216 (Text
    51916596va (VaSet
    51926597font "Arial,8,1"
     
    51966601blo "550,4300"
    51976602)
    5198 *171 (Text
     6603*217 (Text
    51996604va (VaSet
    52006605font "Arial,8,1"
     
    52046609blo "550,5300"
    52056610)
    5206 *172 (Text
     6611*218 (Text
    52076612va (VaSet
    52086613font "Arial,8,1"
     
    52536658stg "VerticalLayoutStrategy"
    52546659textVec [
    5255 *173 (Text
     6660*219 (Text
    52566661va (VaSet
    52576662font "Arial,8,1"
     
    52626667tm "BdLibraryNameMgr"
    52636668)
    5264 *174 (Text
     6669*220 (Text
    52656670va (VaSet
    52666671font "Arial,8,1"
     
    52716676tm "CptNameMgr"
    52726677)
    5273 *175 (Text
     6678*221 (Text
    52746679va (VaSet
    52756680font "Arial,8,1"
     
    53256730stg "VerticalLayoutStrategy"
    53266731textVec [
    5327 *176 (Text
     6732*222 (Text
    53286733va (VaSet
    53296734font "Arial,8,1"
     
    53336738blo "500,4300"
    53346739)
    5335 *177 (Text
     6740*223 (Text
    53366741va (VaSet
    53376742font "Arial,8,1"
     
    53416746blo "500,5300"
    53426747)
    5343 *178 (Text
     6748*224 (Text
    53446749va (VaSet
    53456750font "Arial,8,1"
     
    53866791stg "VerticalLayoutStrategy"
    53876792textVec [
    5388 *179 (Text
     6793*225 (Text
    53896794va (VaSet
    53906795font "Arial,8,1"
     
    53946799blo "50,4300"
    53956800)
    5396 *180 (Text
     6801*226 (Text
    53976802va (VaSet
    53986803font "Arial,8,1"
     
    54026807blo "50,5300"
    54036808)
    5404 *181 (Text
     6809*227 (Text
    54056810va (VaSet
    54066811font "Arial,8,1"
     
    54436848stg "VerticalLayoutStrategy"
    54446849textVec [
    5445 *182 (Text
     6850*228 (Text
    54466851va (VaSet
    54476852font "Arial,8,1"
     
    54526857tm "HdlTextNameMgr"
    54536858)
    5454 *183 (Text
     6859*229 (Text
    54556860va (VaSet
    54566861font "Arial,8,1"
     
    58557260stg "VerticalLayoutStrategy"
    58567261textVec [
    5857 *184 (Text
     7262*230 (Text
    58587263va (VaSet
    58597264font "Arial,8,1"
     
    58637268blo "14100,20800"
    58647269)
    5865 *185 (MLText
     7270*231 (MLText
    58667271va (VaSet
    58677272)
     
    59157320stg "VerticalLayoutStrategy"
    59167321textVec [
    5917 *186 (Text
     7322*232 (Text
    59187323va (VaSet
    59197324font "Arial,8,1"
     
    59237328blo "14100,20800"
    59247329)
    5925 *187 (MLText
     7330*233 (MLText
    59267331va (VaSet
    59277332)
     
    60047409font "Arial,8,1"
    60057410)
    6006 xt "0,12600,5400,13600"
     7411xt "-92000,21600,-86600,22600"
    60077412st "Declarations"
    6008 blo "0,13400"
     7413blo "-92000,22400"
    60097414)
    60107415portLabel (Text
     
    60137418font "Arial,8,1"
    60147419)
    6015 xt "0,13600,2700,14600"
     7420xt "-92000,22600,-89300,23600"
    60167421st "Ports:"
    6017 blo "0,14400"
     7422blo "-92000,23400"
    60187423)
    60197424preUserLabel (Text
     
    60237428font "Arial,8,1"
    60247429)
    6025 xt "0,12600,3800,13600"
     7430xt "-92000,21600,-88200,22600"
    60267431st "Pre User:"
    6027 blo "0,13400"
     7432blo "-92000,22400"
    60287433)
    60297434preUserText (MLText
     
    60337438font "Courier New,8,0"
    60347439)
    6035 xt "0,12600,0,12600"
     7440xt "-92000,21600,-92000,21600"
    60367441tm "BdDeclarativeTextMgr"
    60377442)
     
    60417446font "Arial,8,1"
    60427447)
    6043 xt "0,14600,7100,15600"
     7448xt "-92000,23600,-84900,24600"
    60447449st "Diagram Signals:"
    6045 blo "0,15400"
     7450blo "-92000,24400"
    60467451)
    60477452postUserLabel (Text
     
    60517456font "Arial,8,1"
    60527457)
    6053 xt "0,12600,4700,13600"
     7458xt "-92000,21600,-87300,22600"
    60547459st "Post User:"
    6055 blo "0,13400"
     7460blo "-92000,22400"
    60567461)
    60577462postUserText (MLText
     
    60617466font "Courier New,8,0"
    60627467)
    6063 xt "0,12600,0,12600"
     7468xt "-92000,21600,-92000,21600"
    60647469tm "BdDeclarativeTextMgr"
    60657470)
     
    60677472commonDM (CommonDM
    60687473ldm (LogicalDM
    6069 suid 39,0
     7474suid 51,0
    60707475usingSuid 1
    6071 emptyRow *188 (LEmptyRow
     7476emptyRow *234 (LEmptyRow
    60727477)
    60737478uid 54,0
    60747479optionalChildren [
    6075 *189 (RefLabelRowHdr
    6076 )
    6077 *190 (TitleRowHdr
    6078 )
    6079 *191 (FilterRowHdr
    6080 )
    6081 *192 (RefLabelColHdr
     7480*235 (RefLabelRowHdr
     7481)
     7482*236 (TitleRowHdr
     7483)
     7484*237 (FilterRowHdr
     7485)
     7486*238 (RefLabelColHdr
    60827487tm "RefLabelColHdrMgr"
    60837488)
    6084 *193 (RowExpandColHdr
     7489*239 (RowExpandColHdr
    60857490tm "RowExpandColHdrMgr"
    60867491)
    6087 *194 (GroupColHdr
     7492*240 (GroupColHdr
    60887493tm "GroupColHdrMgr"
    60897494)
    6090 *195 (NameColHdr
     7495*241 (NameColHdr
    60917496tm "BlockDiagramNameColHdrMgr"
    60927497)
    6093 *196 (ModeColHdr
     7498*242 (ModeColHdr
    60947499tm "BlockDiagramModeColHdrMgr"
    60957500)
    6096 *197 (TypeColHdr
     7501*243 (TypeColHdr
    60977502tm "BlockDiagramTypeColHdrMgr"
    60987503)
    6099 *198 (BoundsColHdr
     7504*244 (BoundsColHdr
    61007505tm "BlockDiagramBoundsColHdrMgr"
    61017506)
    6102 *199 (InitColHdr
     7507*245 (InitColHdr
    61037508tm "BlockDiagramInitColHdrMgr"
    61047509)
    6105 *200 (EolColHdr
     7510*246 (EolColHdr
    61067511tm "BlockDiagramEolColHdrMgr"
    61077512)
    6108 *201 (LeafLogPort
     7513*247 (LeafLogPort
    61097514port (LogicalPort
    61107515m 4
     
    61207525uid 340,0
    61217526)
    6122 *202 (LeafLogPort
     7527*248 (LeafLogPort
    61237528port (LogicalPort
    61247529m 4
     
    61337538uid 342,0
    61347539)
    6135 *203 (LeafLogPort
     7540*249 (LeafLogPort
    61367541port (LogicalPort
    61377542m 4
     
    61467551uid 344,0
    61477552)
    6148 *204 (LeafLogPort
     7553*250 (LeafLogPort
    61497554port (LogicalPort
    61507555m 4
     
    61597564uid 346,0
    61607565)
    6161 *205 (LeafLogPort
     7566*251 (LeafLogPort
    61627567port (LogicalPort
    61637568m 4
     
    61727577uid 348,0
    61737578)
    6174 *206 (LeafLogPort
     7579*252 (LeafLogPort
    61757580port (LogicalPort
    61767581m 4
     
    61857590uid 404,0
    61867591)
    6187 *207 (LeafLogPort
     7592*253 (LeafLogPort
    61887593port (LogicalPort
    61897594m 4
     
    61977602uid 406,0
    61987603)
    6199 *208 (LeafLogPort
     7604*254 (LeafLogPort
    62007605port (LogicalPort
    62017606m 4
     
    62117616uid 408,0
    62127617)
    6213 *209 (LeafLogPort
     7618*255 (LeafLogPort
    62147619port (LogicalPort
    62157620m 4
     
    62257630uid 456,0
    62267631)
    6227 *210 (LeafLogPort
     7632*256 (LeafLogPort
    62287633port (LogicalPort
    62297634m 4
     
    62407645uid 458,0
    62417646)
    6242 *211 (LeafLogPort
     7647*257 (LeafLogPort
    62437648port (LogicalPort
    62447649m 4
     
    62537658uid 460,0
    62547659)
    6255 *212 (LeafLogPort
     7660*258 (LeafLogPort
    62567661port (LogicalPort
    62577662m 4
     
    62667671uid 584,0
    62677672)
    6268 *213 (LeafLogPort
     7673*259 (LeafLogPort
    62697674port (LogicalPort
    62707675m 4
     
    62787683uid 586,0
    62797684)
    6280 *214 (LeafLogPort
     7685*260 (LeafLogPort
    62817686port (LogicalPort
    62827687m 4
     
    62927697uid 588,0
    62937698)
    6294 *215 (LeafLogPort
     7699*261 (LeafLogPort
    62957700port (LogicalPort
    62967701m 4
     
    63067711uid 590,0
    63077712)
    6308 *216 (LeafLogPort
     7713*262 (LeafLogPort
    63097714port (LogicalPort
    63107715m 4
     
    63217726uid 592,0
    63227727)
    6323 *217 (LeafLogPort
     7728*263 (LeafLogPort
    63247729port (LogicalPort
    63257730m 4
     
    63347739uid 903,0
    63357740)
    6336 *218 (LeafLogPort
     7741*264 (LeafLogPort
    63377742port (LogicalPort
    63387743m 4
     
    63497754uid 905,0
    63507755)
    6351 *219 (LeafLogPort
     7756*265 (LeafLogPort
    63527757port (LogicalPort
    63537758m 4
     
    63627767uid 907,0
    63637768)
    6364 *220 (LeafLogPort
     7769*266 (LeafLogPort
    63657770port (LogicalPort
    63667771m 4
     
    63747779uid 909,0
    63757780)
    6376 *221 (LeafLogPort
     7781*267 (LeafLogPort
    63777782port (LogicalPort
    63787783m 4
     
    63867791uid 911,0
    63877792)
    6388 *222 (LeafLogPort
     7793*268 (LeafLogPort
    63897794port (LogicalPort
    63907795m 4
     
    63997804uid 913,0
    64007805)
    6401 *223 (LeafLogPort
     7806*269 (LeafLogPort
    64027807port (LogicalPort
    64037808m 4
     
    64147819uid 915,0
    64157820)
    6416 *224 (LeafLogPort
     7821*270 (LeafLogPort
    64177822port (LogicalPort
    64187823m 4
     
    64267831uid 917,0
    64277832)
    6428 *225 (LeafLogPort
     7833*271 (LeafLogPort
    64297834port (LogicalPort
    64307835m 4
     
    64387843uid 919,0
    64397844)
    6440 *226 (LeafLogPort
     7845*272 (LeafLogPort
    64417846port (LogicalPort
    64427847m 4
     
    64527857uid 921,0
    64537858)
    6454 *227 (LeafLogPort
     7859*273 (LeafLogPort
    64557860port (LogicalPort
    64567861m 4
     
    64657870uid 923,0
    64667871)
    6467 *228 (LeafLogPort
     7872*274 (LeafLogPort
    64687873port (LogicalPort
    64697874m 4
     
    64787883uid 925,0
    64797884)
    6480 *229 (LeafLogPort
     7885*275 (LeafLogPort
    64817886port (LogicalPort
    64827887m 4
     
    64917896uid 927,0
    64927897)
    6493 *230 (LeafLogPort
     7898*276 (LeafLogPort
    64947899port (LogicalPort
    64957900m 4
     
    65037908uid 929,0
    65047909)
    6505 *231 (LeafLogPort
     7910*277 (LeafLogPort
    65067911port (LogicalPort
    65077912m 4
     
    65157920uid 931,0
    65167921)
    6517 *232 (LeafLogPort
     7922*278 (LeafLogPort
    65187923port (LogicalPort
    65197924m 4
     
    65277932uid 933,0
    65287933)
    6529 *233 (LeafLogPort
     7934*279 (LeafLogPort
    65307935port (LogicalPort
    65317936m 4
     
    65387943)
    65397944uid 935,0
     7945)
     7946*280 (LeafLogPort
     7947port (LogicalPort
     7948m 4
     7949decl (Decl
     7950n "SRIN_out"
     7951t "std_logic"
     7952o 34
     7953suid 40,0
     7954i "'0'"
     7955)
     7956)
     7957uid 1541,0
     7958)
     7959*281 (LeafLogPort
     7960port (LogicalPort
     7961m 4
     7962decl (Decl
     7963n "amber"
     7964t "std_logic"
     7965o 35
     7966suid 41,0
     7967)
     7968)
     7969uid 1543,0
     7970)
     7971*282 (LeafLogPort
     7972port (LogicalPort
     7973m 4
     7974decl (Decl
     7975n "red"
     7976t "std_logic"
     7977o 36
     7978suid 42,0
     7979)
     7980)
     7981uid 1545,0
     7982)
     7983*283 (LeafLogPort
     7984port (LogicalPort
     7985m 4
     7986decl (Decl
     7987n "green"
     7988t "std_logic"
     7989o 37
     7990suid 43,0
     7991)
     7992)
     7993uid 1547,0
     7994)
     7995*284 (LeafLogPort
     7996port (LogicalPort
     7997m 4
     7998decl (Decl
     7999n "counter_result"
     8000t "std_logic_vector"
     8001b "(11 DOWNTO 0)"
     8002o 38
     8003suid 44,0
     8004)
     8005)
     8006uid 1549,0
     8007)
     8008*285 (LeafLogPort
     8009port (LogicalPort
     8010m 4
     8011decl (Decl
     8012n "alarm_refclk_too_low"
     8013t "std_logic"
     8014posAdd 0
     8015o 39
     8016suid 45,0
     8017)
     8018)
     8019uid 1551,0
     8020)
     8021*286 (LeafLogPort
     8022port (LogicalPort
     8023m 4
     8024decl (Decl
     8025n "alarm_refclk_too_high"
     8026t "std_logic"
     8027o 40
     8028suid 46,0
     8029)
     8030)
     8031uid 1553,0
     8032)
     8033*287 (LeafLogPort
     8034port (LogicalPort
     8035m 4
     8036decl (Decl
     8037n "D_T_in"
     8038t "std_logic_vector"
     8039b "(1 DOWNTO 0)"
     8040o 41
     8041suid 47,0
     8042)
     8043)
     8044uid 1555,0
     8045)
     8046*288 (LeafLogPort
     8047port (LogicalPort
     8048m 4
     8049decl (Decl
     8050n "plllock_in"
     8051t "std_logic_vector"
     8052b "(3 DOWNTO 0)"
     8053eolc "-- high level, if dominowave is running and DRS PLL locked"
     8054o 43
     8055suid 49,0
     8056)
     8057)
     8058uid 1575,0
     8059)
     8060*289 (LeafLogPort
     8061port (LogicalPort
     8062lang 2
     8063m 4
     8064decl (Decl
     8065n "ADC_CLK"
     8066t "std_logic"
     8067o 44
     8068suid 50,0
     8069)
     8070)
     8071uid 1690,0
     8072)
     8073*290 (LeafLogPort
     8074port (LogicalPort
     8075m 4
     8076decl (Decl
     8077n "REF_CLK"
     8078t "STD_LOGIC"
     8079o 42
     8080suid 51,0
     8081i "'0'"
     8082)
     8083)
     8084uid 2003,0
    65408085)
    65418086]
     
    65468091uid 67,0
    65478092optionalChildren [
    6548 *234 (Sheet
     8093*291 (Sheet
    65498094sheetRow (SheetRow
    65508095headerVa (MVa
     
    65638108font "Tahoma,10,0"
    65648109)
    6565 emptyMRCItem *235 (MRCItem
    6566 litem &188
    6567 pos 33
     8110emptyMRCItem *292 (MRCItem
     8111litem &234
     8112pos 44
    65688113dimension 20
    65698114)
    65708115uid 69,0
    65718116optionalChildren [
    6572 *236 (MRCItem
    6573 litem &189
     8117*293 (MRCItem
     8118litem &235
    65748119pos 0
    65758120dimension 20
    65768121uid 70,0
    65778122)
    6578 *237 (MRCItem
    6579 litem &190
     8123*294 (MRCItem
     8124litem &236
    65808125pos 1
    65818126dimension 23
    65828127uid 71,0
    65838128)
    6584 *238 (MRCItem
    6585 litem &191
     8129*295 (MRCItem
     8130litem &237
    65868131pos 2
    65878132hidden 1
     
    65898134uid 72,0
    65908135)
    6591 *239 (MRCItem
    6592 litem &201
     8136*296 (MRCItem
     8137litem &247
    65938138pos 0
    65948139dimension 20
    65958140uid 341,0
    65968141)
    6597 *240 (MRCItem
    6598 litem &202
     8142*297 (MRCItem
     8143litem &248
    65998144pos 1
    66008145dimension 20
    66018146uid 343,0
    66028147)
    6603 *241 (MRCItem
    6604 litem &203
     8148*298 (MRCItem
     8149litem &249
    66058150pos 2
    66068151dimension 20
    66078152uid 345,0
    66088153)
    6609 *242 (MRCItem
    6610 litem &204
     8154*299 (MRCItem
     8155litem &250
    66118156pos 3
    66128157dimension 20
    66138158uid 347,0
    66148159)
    6615 *243 (MRCItem
    6616 litem &205
     8160*300 (MRCItem
     8161litem &251
    66178162pos 4
    66188163dimension 20
    66198164uid 349,0
    66208165)
    6621 *244 (MRCItem
    6622 litem &206
     8166*301 (MRCItem
     8167litem &252
    66238168pos 5
    66248169dimension 20
    66258170uid 405,0
    66268171)
    6627 *245 (MRCItem
    6628 litem &207
     8172*302 (MRCItem
     8173litem &253
    66298174pos 6
    66308175dimension 20
    66318176uid 407,0
    66328177)
    6633 *246 (MRCItem
    6634 litem &208
     8178*303 (MRCItem
     8179litem &254
    66358180pos 7
    66368181dimension 20
    66378182uid 409,0
    66388183)
    6639 *247 (MRCItem
    6640 litem &209
     8184*304 (MRCItem
     8185litem &255
    66418186pos 8
    66428187dimension 20
    66438188uid 457,0
    66448189)
    6645 *248 (MRCItem
    6646 litem &210
     8190*305 (MRCItem
     8191litem &256
    66478192pos 9
    66488193dimension 20
    66498194uid 459,0
    66508195)
    6651 *249 (MRCItem
    6652 litem &211
     8196*306 (MRCItem
     8197litem &257
    66538198pos 10
    66548199dimension 20
    66558200uid 461,0
    66568201)
    6657 *250 (MRCItem
    6658 litem &212
     8202*307 (MRCItem
     8203litem &258
    66598204pos 11
    66608205dimension 20
    66618206uid 585,0
    66628207)
    6663 *251 (MRCItem
    6664 litem &213
     8208*308 (MRCItem
     8209litem &259
    66658210pos 12
    66668211dimension 20
    66678212uid 587,0
    66688213)
    6669 *252 (MRCItem
    6670 litem &214
     8214*309 (MRCItem
     8215litem &260
    66718216pos 13
    66728217dimension 20
    66738218uid 589,0
    66748219)
    6675 *253 (MRCItem
    6676 litem &215
     8220*310 (MRCItem
     8221litem &261
    66778222pos 14
    66788223dimension 20
    66798224uid 591,0
    66808225)
    6681 *254 (MRCItem
    6682 litem &216
     8226*311 (MRCItem
     8227litem &262
    66838228pos 15
    66848229dimension 20
    66858230uid 593,0
    66868231)
    6687 *255 (MRCItem
    6688 litem &217
     8232*312 (MRCItem
     8233litem &263
    66898234pos 16
    66908235dimension 20
    66918236uid 904,0
    66928237)
    6693 *256 (MRCItem
    6694 litem &218
     8238*313 (MRCItem
     8239litem &264
    66958240pos 17
    66968241dimension 20
    66978242uid 906,0
    66988243)
    6699 *257 (MRCItem
    6700 litem &219
     8244*314 (MRCItem
     8245litem &265
    67018246pos 18
    67028247dimension 20
    67038248uid 908,0
    67048249)
    6705 *258 (MRCItem
    6706 litem &220
     8250*315 (MRCItem
     8251litem &266
    67078252pos 19
    67088253dimension 20
    67098254uid 910,0
    67108255)
    6711 *259 (MRCItem
    6712 litem &221
     8256*316 (MRCItem
     8257litem &267
    67138258pos 20
    67148259dimension 20
    67158260uid 912,0
    67168261)
    6717 *260 (MRCItem
    6718 litem &222
     8262*317 (MRCItem
     8263litem &268
    67198264pos 21
    67208265dimension 20
    67218266uid 914,0
    67228267)
    6723 *261 (MRCItem
    6724 litem &223
     8268*318 (MRCItem
     8269litem &269
    67258270pos 22
    67268271dimension 20
    67278272uid 916,0
    67288273)
    6729 *262 (MRCItem
    6730 litem &224
     8274*319 (MRCItem
     8275litem &270
    67318276pos 23
    67328277dimension 20
    67338278uid 918,0
    67348279)
    6735 *263 (MRCItem
    6736 litem &225
     8280*320 (MRCItem
     8281litem &271
    67378282pos 24
    67388283dimension 20
    67398284uid 920,0
    67408285)
    6741 *264 (MRCItem
    6742 litem &226
     8286*321 (MRCItem
     8287litem &272
    67438288pos 25
    67448289dimension 20
    67458290uid 922,0
    67468291)
    6747 *265 (MRCItem
    6748 litem &227
     8292*322 (MRCItem
     8293litem &273
    67498294pos 26
    67508295dimension 20
    67518296uid 924,0
    67528297)
    6753 *266 (MRCItem
    6754 litem &228
     8298*323 (MRCItem
     8299litem &274
    67558300pos 27
    67568301dimension 20
    67578302uid 926,0
    67588303)
    6759 *267 (MRCItem
    6760 litem &229
     8304*324 (MRCItem
     8305litem &275
    67618306pos 28
    67628307dimension 20
    67638308uid 928,0
    67648309)
    6765 *268 (MRCItem
    6766 litem &230
     8310*325 (MRCItem
     8311litem &276
    67678312pos 29
    67688313dimension 20
    67698314uid 930,0
    67708315)
    6771 *269 (MRCItem
    6772 litem &231
     8316*326 (MRCItem
     8317litem &277
    67738318pos 30
    67748319dimension 20
    67758320uid 932,0
    67768321)
    6777 *270 (MRCItem
    6778 litem &232
     8322*327 (MRCItem
     8323litem &278
    67798324pos 31
    67808325dimension 20
    67818326uid 934,0
    67828327)
    6783 *271 (MRCItem
    6784 litem &233
     8328*328 (MRCItem
     8329litem &279
    67858330pos 32
    67868331dimension 20
    67878332uid 936,0
     8333)
     8334*329 (MRCItem
     8335litem &280
     8336pos 33
     8337dimension 20
     8338uid 1542,0
     8339)
     8340*330 (MRCItem
     8341litem &281
     8342pos 34
     8343dimension 20
     8344uid 1544,0
     8345)
     8346*331 (MRCItem
     8347litem &282
     8348pos 35
     8349dimension 20
     8350uid 1546,0
     8351)
     8352*332 (MRCItem
     8353litem &283
     8354pos 36
     8355dimension 20
     8356uid 1548,0
     8357)
     8358*333 (MRCItem
     8359litem &284
     8360pos 37
     8361dimension 20
     8362uid 1550,0
     8363)
     8364*334 (MRCItem
     8365litem &285
     8366pos 38
     8367dimension 20
     8368uid 1552,0
     8369)
     8370*335 (MRCItem
     8371litem &286
     8372pos 39
     8373dimension 20
     8374uid 1554,0
     8375)
     8376*336 (MRCItem
     8377litem &287
     8378pos 40
     8379dimension 20
     8380uid 1556,0
     8381)
     8382*337 (MRCItem
     8383litem &288
     8384pos 41
     8385dimension 20
     8386uid 1576,0
     8387)
     8388*338 (MRCItem
     8389litem &289
     8390pos 42
     8391dimension 20
     8392uid 1691,0
     8393)
     8394*339 (MRCItem
     8395litem &290
     8396pos 43
     8397dimension 20
     8398uid 2004,0
    67888399)
    67898400]
     
    67988409uid 73,0
    67998410optionalChildren [
    6800 *272 (MRCItem
    6801 litem &192
     8411*340 (MRCItem
     8412litem &238
    68028413pos 0
    68038414dimension 20
    68048415uid 74,0
    68058416)
    6806 *273 (MRCItem
    6807 litem &194
     8417*341 (MRCItem
     8418litem &240
    68088419pos 1
    68098420dimension 50
    68108421uid 75,0
    68118422)
    6812 *274 (MRCItem
    6813 litem &195
     8423*342 (MRCItem
     8424litem &241
    68148425pos 2
    68158426dimension 100
    68168427uid 76,0
    68178428)
    6818 *275 (MRCItem
    6819 litem &196
     8429*343 (MRCItem
     8430litem &242
    68208431pos 3
    68218432dimension 50
    68228433uid 77,0
    68238434)
    6824 *276 (MRCItem
    6825 litem &197
     8435*344 (MRCItem
     8436litem &243
    68268437pos 4
    68278438dimension 100
    68288439uid 78,0
    68298440)
    6830 *277 (MRCItem
    6831 litem &198
     8441*345 (MRCItem
     8442litem &244
    68328443pos 5
    68338444dimension 100
    68348445uid 79,0
    68358446)
    6836 *278 (MRCItem
    6837 litem &199
     8447*346 (MRCItem
     8448litem &245
    68388449pos 6
    68398450dimension 50
    68408451uid 80,0
    68418452)
    6842 *279 (MRCItem
    6843 litem &200
     8453*347 (MRCItem
     8454litem &246
    68448455pos 7
    68458456dimension 80
     
    68618472genericsCommonDM (CommonDM
    68628473ldm (LogicalDM
    6863 emptyRow *280 (LEmptyRow
     8474emptyRow *348 (LEmptyRow
    68648475)
    68658476uid 83,0
    68668477optionalChildren [
    6867 *281 (RefLabelRowHdr
    6868 )
    6869 *282 (TitleRowHdr
    6870 )
    6871 *283 (FilterRowHdr
    6872 )
    6873 *284 (RefLabelColHdr
     8478*349 (RefLabelRowHdr
     8479)
     8480*350 (TitleRowHdr
     8481)
     8482*351 (FilterRowHdr
     8483)
     8484*352 (RefLabelColHdr
    68748485tm "RefLabelColHdrMgr"
    68758486)
    6876 *285 (RowExpandColHdr
     8487*353 (RowExpandColHdr
    68778488tm "RowExpandColHdrMgr"
    68788489)
    6879 *286 (GroupColHdr
     8490*354 (GroupColHdr
    68808491tm "GroupColHdrMgr"
    68818492)
    6882 *287 (NameColHdr
     8493*355 (NameColHdr
    68838494tm "GenericNameColHdrMgr"
    68848495)
    6885 *288 (TypeColHdr
     8496*356 (TypeColHdr
    68868497tm "GenericTypeColHdrMgr"
    68878498)
    6888 *289 (InitColHdr
     8499*357 (InitColHdr
    68898500tm "GenericValueColHdrMgr"
    68908501)
    6891 *290 (PragmaColHdr
     8502*358 (PragmaColHdr
    68928503tm "GenericPragmaColHdrMgr"
    68938504)
    6894 *291 (EolColHdr
     8505*359 (EolColHdr
    68958506tm "GenericEolColHdrMgr"
    68968507)
     
    69028513uid 95,0
    69038514optionalChildren [
    6904 *292 (Sheet
     8515*360 (Sheet
    69058516sheetRow (SheetRow
    69068517headerVa (MVa
     
    69198530font "Tahoma,10,0"
    69208531)
    6921 emptyMRCItem *293 (MRCItem
    6922 litem &280
     8532emptyMRCItem *361 (MRCItem
     8533litem &348
    69238534pos 0
    69248535dimension 20
     
    69268537uid 97,0
    69278538optionalChildren [
    6928 *294 (MRCItem
    6929 litem &281
     8539*362 (MRCItem
     8540litem &349
    69308541pos 0
    69318542dimension 20
    69328543uid 98,0
    69338544)
    6934 *295 (MRCItem
    6935 litem &282
     8545*363 (MRCItem
     8546litem &350
    69368547pos 1
    69378548dimension 23
    69388549uid 99,0
    69398550)
    6940 *296 (MRCItem
    6941 litem &283
     8551*364 (MRCItem
     8552litem &351
    69428553pos 2
    69438554hidden 1
     
    69568567uid 101,0
    69578568optionalChildren [
    6958 *297 (MRCItem
    6959 litem &284
     8569*365 (MRCItem
     8570litem &352
    69608571pos 0
    69618572dimension 20
    69628573uid 102,0
    69638574)
    6964 *298 (MRCItem
    6965 litem &286
     8575*366 (MRCItem
     8576litem &354
    69668577pos 1
    69678578dimension 50
    69688579uid 103,0
    69698580)
    6970 *299 (MRCItem
    6971 litem &287
     8581*367 (MRCItem
     8582litem &355
    69728583pos 2
    69738584dimension 100
    69748585uid 104,0
    69758586)
    6976 *300 (MRCItem
    6977 litem &288
     8587*368 (MRCItem
     8588litem &356
    69788589pos 3
    69798590dimension 100
    69808591uid 105,0
    69818592)
    6982 *301 (MRCItem
    6983 litem &289
     8593*369 (MRCItem
     8594litem &357
    69848595pos 4
    69858596dimension 50
    69868597uid 106,0
    69878598)
    6988 *302 (MRCItem
    6989 litem &290
     8599*370 (MRCItem
     8600litem &358
    69908601pos 5
    69918602dimension 50
    69928603uid 107,0
    69938604)
    6994 *303 (MRCItem
    6995 litem &291
     8605*371 (MRCItem
     8606litem &359
    69968607pos 6
    69978608dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd.bak

    r9912 r10180  
    118118uid 508,0
    119119)
     120(Instance
     121name "I_mainTB_clock1"
     122duLibraryName "FACT_FAD_TB_lib"
     123duName "clock_generator"
     124elements [
     125(GiElement
     126name "clock_period"
     127type "time"
     128value "1 us"
     129)
     130(GiElement
     131name "reset_time"
     132type "time"
     133value "1 us"
     134)
     135]
     136mwi 0
     137uid 1509,0
     138)
    120139]
    121140embeddedInstances [
     
    127146name "eb_mainTB_adc"
    128147number "2"
     148)
     149(EmbeddedInstance
     150name "eb_mainTB_adc1"
     151number "3"
    129152)
    130153]
     
    143166(vvPair
    144167variable "HDLDir"
    145 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
     168value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
    146169)
    147170(vvPair
    148171variable "HDSDir"
    149 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
     172value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    150173)
    151174(vvPair
    152175variable "SideDataDesignDir"
    153 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"
     176value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"
    154177)
    155178(vvPair
    156179variable "SideDataUserDir"
    157 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"
     180value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"
    158181)
    159182(vvPair
    160183variable "SourceDir"
    161 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
     184value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    162185)
    163186(vvPair
     
    171194(vvPair
    172195variable "config"
    173 value "%(unit)_config"
     196value "%(unit)_%(view)_config"
    174197)
    175198(vvPair
    176199variable "d"
    177 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
     200value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
    178201)
    179202(vvPair
    180203variable "d_logical"
    181 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
     204value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
    182205)
    183206(vvPair
    184207variable "date"
    185 value "25.06.2010"
     208value "25.02.2011"
    186209)
    187210(vvPair
     
    223246(vvPair
    224247variable "host"
    225 value "EEPC8"
     248value "E5B-LABOR6"
    226249)
    227250(vvPair
     
    234257)
    235258(vvPair
     259variable "library_downstream_HdsLintPlugin"
     260value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
     261)
     262(vvPair
     263variable "library_downstream_ISEPARInvoke"
     264value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     265)
     266(vvPair
     267variable "library_downstream_ImpactInvoke"
     268value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     269)
     270(vvPair
    236271variable "library_downstream_ModelSimCompiler"
    237272value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
    238273)
    239274(vvPair
     275variable "library_downstream_XSTDataPrep"
     276value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     277)
     278(vvPair
    240279variable "mm"
    241 value "06"
     280value "02"
    242281)
    243282(vvPair
     
    247286(vvPair
    248287variable "month"
    249 value "Jun"
     288value "Feb"
    250289)
    251290(vvPair
    252291variable "month_long"
    253 value "Juni"
     292value "Februar"
    254293)
    255294(vvPair
    256295variable "p"
    257 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
     296value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
    258297)
    259298(vvPair
    260299variable "p_logical"
    261 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
     300value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
    262301)
    263302(vvPair
     
    283322(vvPair
    284323variable "task_ModelSimPath"
    285 value "$HDS_HOME/../Modeltech/win32"
     324value "C:\\modeltech_6.6a\\win32"
    286325)
    287326(vvPair
     
    291330(vvPair
    292331variable "task_PrecisionRTLPath"
    293 value "$HDS_HOME/../Precision/Mgc_home/bin"
     332value "<TBD>"
    294333)
    295334(vvPair
     
    315354(vvPair
    316355variable "time"
    317 value "08:17:47"
     356value "13:44:06"
    318357)
    319358(vvPair
     
    323362(vvPair
    324363variable "user"
    325 value "Benjamin Krumm"
     364value "dneise"
    326365)
    327366(vvPair
     
    335374(vvPair
    336375variable "year"
    337 value "2010"
     376value "2011"
    338377)
    339378(vvPair
    340379variable "yy"
    341 value "10"
     380value "11"
    342381)
    343382]
     
    367406bg "0,0,32768"
    368407)
    369 xt "109200,97000,122200,98000"
     408xt "109200,97000,118800,98000"
    370409st "
    371410by %user on %dd %month %year
     
    706745n "wiz_reset"
    707746t "std_logic"
    708 o 28
     747o 39
    709748suid 2,0
    710749i "'1'"
     
    745784b "(7 DOWNTO 0)"
    746785posAdd 0
    747 o 21
     786o 31
    748787suid 7,0
    749788i "(OTHERS => '0')"
     
    782821preAdd 0
    783822posAdd 0
    784 o 10
     823o 13
    785824suid 18,0
    786825)
     
    817856n "adc_oeb"
    818857t "std_logic"
    819 o 16
     858o 21
    820859suid 21,0
    821860i "'1'"
     
    852891n "board_id"
    853892t "std_logic_vector"
    854 b "(3 downto 0)"
    855 preAdd 0
    856 posAdd 0
    857 o 8
     893b "(3 DOWNTO 0)"
     894o 9
    858895suid 24,0
    859896)
     
    889926n "crate_id"
    890927t "std_logic_vector"
    891 b "(1 downto 0)"
    892 o 9
     928b "(1 DOWNTO 0)"
     929o 10
    893930suid 25,0
    894931)
     
    927964t "std_logic_vector"
    928965b "(9 DOWNTO 0)"
    929 o 25
     966o 36
    930967suid 26,0
    931968)
     
    9641001t "std_logic_vector"
    9651002b "(15 DOWNTO 0)"
    966 o 31
     1003o 42
    9671004suid 27,0
    9681005)
     
    10001037n "wiz_cs"
    10011038t "std_logic"
    1002 o 26
     1039o 37
    10031040suid 28,0
    10041041i "'1'"
     
    10371074n "wiz_wr"
    10381075t "std_logic"
    1039 o 29
     1076o 40
    10401077suid 29,0
    10411078i "'1'"
     
    10741111n "wiz_rd"
    10751112t "std_logic"
    1076 o 27
     1113o 38
    10771114suid 30,0
    10781115i "'1'"
     
    11101147n "wiz_int"
    11111148t "std_logic"
    1112 o 11
     1149o 14
    11131150suid 31,0
    11141151)
     
    11451182n "CLK_25_PS"
    11461183t "std_logic"
    1147 o 12
     1184o 16
    11481185suid 35,0
    11491186)
     
    11801217n "CLK_50"
    11811218t "std_logic"
    1182 o 13
     1219preAdd 0
     1220posAdd 0
     1221o 17
    11831222suid 37,0
    11841223)
     
    12491288t "std_logic_vector"
    12501289b "(3 DOWNTO 0)"
    1251 o 7
     1290o 8
    12521291suid 40,0
    12531292)
     
    12831322n "adc_data_array"
    12841323t "adc_data_array_type"
    1285 o 6
     1324o 7
    12861325suid 41,0
    12871326)
     
    13191358t "std_logic_vector"
    13201359b "(3 downto 0)"
    1321 o 19
     1360o 28
    13221361suid 48,0
    13231362i "(others => '0')"
     
    13551394n "drs_dwrite"
    13561395t "std_logic"
    1357 o 20
     1396o 29
    13581397suid 49,0
    13591398i "'1'"
     
    13901429n "SROUT_in_0"
    13911430t "std_logic"
    1392 o 2
     1431o 3
    13931432suid 52,0
    13941433)
     
    14241463n "SROUT_in_1"
    14251464t "std_logic"
    1426 o 3
     1465o 4
    14271466suid 53,0
    14281467)
     
    14581497n "SROUT_in_2"
    14591498t "std_logic"
    1460 o 4
     1499o 5
    14611500suid 54,0
    14621501)
     
    14921531n "SROUT_in_3"
    14931532t "std_logic"
    1494 o 5
     1533o 6
    14951534suid 55,0
    14961535)
     
    15271566n "RSRLOAD"
    15281567t "std_logic"
    1529 o 14
     1568o 18
    15301569suid 56,0
    15311570i "'0'"
     
    15631602n "SRCLK"
    15641603t "std_logic"
    1565 o 15
     1604o 19
    15661605suid 57,0
    15671606i "'0'"
     
    16001639n "sclk"
    16011640t "std_logic"
    1602 o 23
     1641o 34
    16031642suid 62,0
    16041643)
     
    16381677preAdd 0
    16391678posAdd 0
    1640 o 30
     1679o 41
    16411680suid 63,0
    16421681)
     
    16741713n "dac_cs"
    16751714t "std_logic"
    1676 o 17
     1715o 26
    16771716suid 64,0
    16781717)
     
    17111750t "std_logic_vector"
    17121751b "(3 DOWNTO 0)"
    1713 o 24
     1752o 35
    17141753suid 65,0
    17151754)
     
    17471786n "mosi"
    17481787t "std_logic"
    1749 o 22
     1788o 32
    17501789suid 66,0
    17511790i "'0'"
     
    17861825eolc "-- default domino wave off"
    17871826posAdd 0
    1788 o 18
     1827o 27
    17891828suid 67,0
    17901829i "'0'"
     1830)
     1831)
     1832)
     1833*44 (CptPort
     1834uid 1395,0
     1835ps "OnEdgeStrategy"
     1836shape (Triangle
     1837uid 1396,0
     1838ro 90
     1839va (VaSet
     1840vasetType 1
     1841fg "0,65535,0"
     1842)
     1843xt "109000,73625,109750,74375"
     1844)
     1845tg (CPTG
     1846uid 1397,0
     1847ps "CptPortTextPlaceStrategy"
     1848stg "RightVerticalLayoutStrategy"
     1849f (Text
     1850uid 1398,0
     1851va (VaSet
     1852)
     1853xt "99400,73500,108000,74500"
     1854st "alarm_refclk_too_high"
     1855ju 2
     1856blo "108000,74300"
     1857)
     1858)
     1859thePort (LogicalPort
     1860m 1
     1861decl (Decl
     1862n "alarm_refclk_too_high"
     1863t "std_logic"
     1864o 22
     1865suid 95,0
     1866)
     1867)
     1868)
     1869*45 (CptPort
     1870uid 1399,0
     1871ps "OnEdgeStrategy"
     1872shape (Triangle
     1873uid 1400,0
     1874ro 90
     1875va (VaSet
     1876vasetType 1
     1877fg "0,65535,0"
     1878)
     1879xt "109000,74625,109750,75375"
     1880)
     1881tg (CPTG
     1882uid 1401,0
     1883ps "CptPortTextPlaceStrategy"
     1884stg "RightVerticalLayoutStrategy"
     1885f (Text
     1886uid 1402,0
     1887va (VaSet
     1888)
     1889xt "99800,74500,108000,75500"
     1890st "alarm_refclk_too_low"
     1891ju 2
     1892blo "108000,75300"
     1893)
     1894)
     1895thePort (LogicalPort
     1896m 1
     1897decl (Decl
     1898n "alarm_refclk_too_low"
     1899t "std_logic"
     1900posAdd 0
     1901o 23
     1902suid 96,0
     1903)
     1904)
     1905)
     1906*46 (CptPort
     1907uid 1403,0
     1908ps "OnEdgeStrategy"
     1909shape (Triangle
     1910uid 1404,0
     1911ro 90
     1912va (VaSet
     1913vasetType 1
     1914fg "0,65535,0"
     1915)
     1916xt "109000,79625,109750,80375"
     1917)
     1918tg (CPTG
     1919uid 1405,0
     1920ps "CptPortTextPlaceStrategy"
     1921stg "RightVerticalLayoutStrategy"
     1922f (Text
     1923uid 1406,0
     1924va (VaSet
     1925)
     1926xt "105500,79500,108000,80500"
     1927st "amber"
     1928ju 2
     1929blo "108000,80300"
     1930)
     1931)
     1932thePort (LogicalPort
     1933m 1
     1934decl (Decl
     1935n "amber"
     1936t "std_logic"
     1937o 24
     1938suid 87,0
     1939)
     1940)
     1941)
     1942*47 (CptPort
     1943uid 1407,0
     1944ps "OnEdgeStrategy"
     1945shape (Triangle
     1946uid 1408,0
     1947ro 90
     1948va (VaSet
     1949vasetType 1
     1950fg "0,65535,0"
     1951)
     1952xt "109000,76625,109750,77375"
     1953)
     1954tg (CPTG
     1955uid 1409,0
     1956ps "CptPortTextPlaceStrategy"
     1957stg "RightVerticalLayoutStrategy"
     1958f (Text
     1959uid 1410,0
     1960va (VaSet
     1961)
     1962xt "99400,76500,108000,77500"
     1963st "counter_result : (11:0)"
     1964ju 2
     1965blo "108000,77300"
     1966)
     1967)
     1968thePort (LogicalPort
     1969m 1
     1970decl (Decl
     1971n "counter_result"
     1972t "std_logic_vector"
     1973b "(11 DOWNTO 0)"
     1974o 25
     1975suid 94,0
     1976)
     1977)
     1978)
     1979*48 (CptPort
     1980uid 1411,0
     1981ps "OnEdgeStrategy"
     1982shape (Triangle
     1983uid 1412,0
     1984ro 90
     1985va (VaSet
     1986vasetType 1
     1987fg "0,65535,0"
     1988)
     1989xt "80250,74625,81000,75375"
     1990)
     1991tg (CPTG
     1992uid 1413,0
     1993ps "CptPortTextPlaceStrategy"
     1994stg "VerticalLayoutStrategy"
     1995f (Text
     1996uid 1414,0
     1997va (VaSet
     1998)
     1999xt "82000,74500,87500,75500"
     2000st "D_T_in : (1:0)"
     2001blo "82000,75300"
     2002)
     2003)
     2004thePort (LogicalPort
     2005decl (Decl
     2006n "D_T_in"
     2007t "std_logic_vector"
     2008b "(1 DOWNTO 0)"
     2009o 2
     2010suid 91,0
     2011)
     2012)
     2013)
     2014*49 (CptPort
     2015uid 1415,0
     2016ps "OnEdgeStrategy"
     2017shape (Triangle
     2018uid 1416,0
     2019ro 90
     2020va (VaSet
     2021vasetType 1
     2022fg "0,65535,0"
     2023)
     2024xt "80250,75625,81000,76375"
     2025)
     2026tg (CPTG
     2027uid 1417,0
     2028ps "CptPortTextPlaceStrategy"
     2029stg "VerticalLayoutStrategy"
     2030f (Text
     2031uid 1418,0
     2032va (VaSet
     2033)
     2034xt "82000,75500,87100,76500"
     2035st "drs_refclk_in"
     2036blo "82000,76300"
     2037)
     2038)
     2039thePort (LogicalPort
     2040decl (Decl
     2041n "drs_refclk_in"
     2042t "std_logic"
     2043eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
     2044o 11
     2045suid 92,0
     2046)
     2047)
     2048)
     2049*50 (CptPort
     2050uid 1419,0
     2051ps "OnEdgeStrategy"
     2052shape (Triangle
     2053uid 1420,0
     2054ro 90
     2055va (VaSet
     2056vasetType 1
     2057fg "0,65535,0"
     2058)
     2059xt "109000,77625,109750,78375"
     2060)
     2061tg (CPTG
     2062uid 1421,0
     2063ps "CptPortTextPlaceStrategy"
     2064stg "RightVerticalLayoutStrategy"
     2065f (Text
     2066uid 1422,0
     2067va (VaSet
     2068)
     2069xt "105600,77500,108000,78500"
     2070st "green"
     2071ju 2
     2072blo "108000,78300"
     2073)
     2074)
     2075thePort (LogicalPort
     2076m 1
     2077decl (Decl
     2078n "green"
     2079t "std_logic"
     2080o 30
     2081suid 86,0
     2082)
     2083)
     2084)
     2085*51 (CptPort
     2086uid 1423,0
     2087ps "OnEdgeStrategy"
     2088shape (Triangle
     2089uid 1424,0
     2090ro 90
     2091va (VaSet
     2092vasetType 1
     2093fg "0,65535,0"
     2094)
     2095xt "80250,76625,81000,77375"
     2096)
     2097tg (CPTG
     2098uid 1425,0
     2099ps "CptPortTextPlaceStrategy"
     2100stg "VerticalLayoutStrategy"
     2101f (Text
     2102uid 1426,0
     2103va (VaSet
     2104)
     2105xt "82000,76500,88100,77500"
     2106st "plllock_in : (3:0)"
     2107blo "82000,77300"
     2108)
     2109)
     2110thePort (LogicalPort
     2111decl (Decl
     2112n "plllock_in"
     2113t "std_logic_vector"
     2114b "(3 DOWNTO 0)"
     2115eolc "-- high level, if dominowave is running and DRS PLL locked"
     2116o 12
     2117suid 93,0
     2118)
     2119)
     2120)
     2121*52 (CptPort
     2122uid 1427,0
     2123ps "OnEdgeStrategy"
     2124shape (Triangle
     2125uid 1428,0
     2126ro 90
     2127va (VaSet
     2128vasetType 1
     2129fg "0,65535,0"
     2130)
     2131xt "109000,78625,109750,79375"
     2132)
     2133tg (CPTG
     2134uid 1429,0
     2135ps "CptPortTextPlaceStrategy"
     2136stg "RightVerticalLayoutStrategy"
     2137f (Text
     2138uid 1430,0
     2139va (VaSet
     2140)
     2141xt "106500,78500,108000,79500"
     2142st "red"
     2143ju 2
     2144blo "108000,79300"
     2145)
     2146)
     2147thePort (LogicalPort
     2148m 1
     2149decl (Decl
     2150n "red"
     2151t "std_logic"
     2152o 33
     2153suid 88,0
     2154)
     2155)
     2156)
     2157*53 (CptPort
     2158uid 1431,0
     2159ps "OnEdgeStrategy"
     2160shape (Triangle
     2161uid 1432,0
     2162ro 270
     2163va (VaSet
     2164vasetType 1
     2165fg "0,65535,0"
     2166)
     2167xt "80250,71625,81000,72375"
     2168)
     2169tg (CPTG
     2170uid 1433,0
     2171ps "CptPortTextPlaceStrategy"
     2172stg "VerticalLayoutStrategy"
     2173f (Text
     2174uid 1434,0
     2175va (VaSet
     2176)
     2177xt "82000,71500,85700,72500"
     2178st "SRIN_out"
     2179blo "82000,72300"
     2180)
     2181)
     2182thePort (LogicalPort
     2183m 1
     2184decl (Decl
     2185n "SRIN_out"
     2186t "std_logic"
     2187o 20
     2188suid 85,0
     2189i "'0'"
     2190)
     2191)
     2192)
     2193*54 (CptPort
     2194uid 1678,0
     2195ps "OnEdgeStrategy"
     2196shape (Triangle
     2197uid 1679,0
     2198ro 270
     2199va (VaSet
     2200vasetType 1
     2201fg "0,65535,0"
     2202)
     2203xt "80250,23625,81000,24375"
     2204)
     2205tg (CPTG
     2206uid 1680,0
     2207ps "CptPortTextPlaceStrategy"
     2208stg "VerticalLayoutStrategy"
     2209f (Text
     2210uid 1681,0
     2211va (VaSet
     2212)
     2213xt "82000,23500,86000,24500"
     2214st "ADC_CLK"
     2215blo "82000,24300"
     2216)
     2217)
     2218thePort (LogicalPort
     2219lang 2
     2220m 1
     2221decl (Decl
     2222n "ADC_CLK"
     2223t "std_logic"
     2224o 15
     2225suid 97,0
    17912226)
    17922227)
     
    18012236lineWidth 2
    18022237)
    1803 xt "81000,19000,109000,73000"
     2238xt "81000,19000,109000,81000"
    18042239)
    18052240oxt "15000,-8000,43000,46000"
     
    18092244stg "VerticalLayoutStrategy"
    18102245textVec [
    1811 *44 (Text
     2246*55 (Text
    18122247uid 236,0
    18132248va (VaSet
    18142249font "Arial,8,1"
    18152250)
    1816 xt "81200,73000,87400,74000"
     2251xt "83200,81000,89400,82000"
    18172252st "FACT_FAD_lib"
    1818 blo "81200,73800"
     2253blo "83200,81800"
    18192254tm "BdLibraryNameMgr"
    18202255)
    1821 *45 (Text
     2256*56 (Text
    18222257uid 237,0
    18232258va (VaSet
    18242259font "Arial,8,1"
    18252260)
    1826 xt "81200,74000,85400,75000"
     2261xt "83200,82000,87400,83000"
    18272262st "FAD_main"
    1828 blo "81200,74800"
     2263blo "83200,82800"
    18292264tm "CptNameMgr"
    18302265)
    1831 *46 (Text
     2266*57 (Text
    18322267uid 238,0
    18332268va (VaSet
    18342269font "Arial,8,1"
    18352270)
    1836 xt "81200,75000,88000,76000"
     2271xt "83200,83000,90000,84000"
    18372272st "I_mainTB_FPGA"
    1838 blo "81200,75800"
     2273blo "83200,83800"
    18392274tm "InstanceNameMgr"
    18402275)
     
    18712306fg "49152,49152,49152"
    18722307)
    1873 xt "81250,71250,82750,72750"
     2308xt "81250,79250,82750,80750"
    18742309iconName "BlockDiagram.png"
    18752310iconMaskName "BlockDiagram.msk"
     
    18812316archFileType "UNKNOWN"
    18822317)
    1883 *47 (SaComponent
     2318*58 (SaComponent
    18842319uid 274,0
    18852320optionalChildren [
    1886 *48 (CptPort
     2321*59 (CptPort
    18872322uid 266,0
    18882323ps "OnEdgeStrategy"
     
    19202355)
    19212356)
    1922 *49 (CptPort
     2357*60 (CptPort
    19232358uid 270,0
    19242359ps "OnEdgeStrategy"
     
    19732408stg "VerticalLayoutStrategy"
    19742409textVec [
    1975 *50 (Text
     2410*61 (Text
    19762411uid 277,0
    19772412va (VaSet
     
    19832418tm "BdLibraryNameMgr"
    19842419)
    1985 *51 (Text
     2420*62 (Text
    19862421uid 278,0
    19872422va (VaSet
     
    19932428tm "CptNameMgr"
    19942429)
    1995 *52 (Text
     2430*63 (Text
    19962431uid 279,0
    19972432va (VaSet
     
    20522487archFileType "UNKNOWN"
    20532488)
    2054 *53 (Net
     2489*64 (Net
    20552490uid 284,0
    20562491decl (Decl
     
    20672502font "Courier New,8,0"
    20682503)
    2069 xt "2000,26800,20000,27600"
    2070 st "SIGNAL clk            : STD_LOGIC"
    2071 )
    2072 )
    2073 *54 (SaComponent
     2504xt "-90000,41400,-68000,42200"
     2505st "SIGNAL clk                   : STD_LOGIC"
     2506)
     2507)
     2508*65 (SaComponent
    20742509uid 306,0
    20752510optionalChildren [
    2076 *55 (CptPort
     2511*66 (CptPort
    20772512uid 290,0
    20782513ps "OnEdgeStrategy"
     
    21112546)
    21122547)
    2113 *56 (CptPort
     2548*67 (CptPort
    21142549uid 294,0
    21152550ps "OnEdgeStrategy"
     
    21492584)
    21502585)
    2151 *57 (CptPort
     2586*68 (CptPort
    21522587uid 298,0
    21532588ps "OnEdgeStrategy"
     
    21852620)
    21862621)
    2187 *58 (CptPort
     2622*69 (CptPort
    21882623uid 302,0
    21892624ps "OnEdgeStrategy"
     
    22382673stg "VerticalLayoutStrategy"
    22392674textVec [
    2240 *59 (Text
     2675*70 (Text
    22412676uid 309,0
    22422677va (VaSet
     
    22482683tm "BdLibraryNameMgr"
    22492684)
    2250 *60 (Text
     2685*71 (Text
    22512686uid 310,0
    22522687va (VaSet
     
    22582693tm "CptNameMgr"
    22592694)
    2260 *61 (Text
     2695*72 (Text
    22612696uid 311,0
    22622697va (VaSet
     
    23062741archFileType "UNKNOWN"
    23072742)
    2308 *62 (Net
     2743*73 (Net
    23092744uid 316,0
    23102745decl (Decl
     
    23202755font "Courier New,8,0"
    23212756)
    2322 xt "2000,36400,30000,37200"
    2323 st "SIGNAL wiz_addr       : std_logic_vector(9 DOWNTO 0)"
    2324 )
    2325 )
    2326 *63 (Net
     2757xt "-90000,54200,-58500,55000"
     2758st "SIGNAL wiz_addr              : std_logic_vector(9 DOWNTO 0)"
     2759)
     2760)
     2761*74 (Net
    23272762uid 322,0
    23282763decl (Decl
     
    23382773font "Courier New,8,0"
    23392774)
    2340 xt "2000,38000,30500,38800"
    2341 st "SIGNAL wiz_data       : std_logic_vector(15 DOWNTO 0)"
    2342 )
    2343 )
    2344 *64 (Net
     2775xt "-90000,55800,-58000,56600"
     2776st "SIGNAL wiz_data              : std_logic_vector(15 DOWNTO 0)"
     2777)
     2778)
     2779*75 (Net
    23452780uid 328,0
    23462781decl (Decl
     
    23562791font "Courier New,8,0"
    23572792)
    2358 xt "2000,39600,33500,40400"
    2359 st "SIGNAL wiz_rd         : std_logic                    := '1'"
    2360 )
    2361 )
    2362 *65 (Net
     2793xt "-90000,57400,-55000,58200"
     2794st "SIGNAL wiz_rd                : std_logic                    := '1'"
     2795)
     2796)
     2797*76 (Net
    23632798uid 334,0
    23642799decl (Decl
     
    23742809font "Courier New,8,0"
    23752810)
    2376 xt "2000,41200,33500,42000"
    2377 st "SIGNAL wiz_wr         : std_logic                    := '1'"
    2378 )
    2379 )
    2380 *66 (SaComponent
     2811xt "-90000,59000,-55000,59800"
     2812st "SIGNAL wiz_wr                : std_logic                    := '1'"
     2813)
     2814)
     2815*77 (SaComponent
    23812816uid 362,0
    23822817optionalChildren [
    2383 *67 (CptPort
     2818*78 (CptPort
    23842819uid 350,0
    23852820ps "OnEdgeStrategy"
     
    24172852)
    24182853)
    2419 *68 (CptPort
     2854*79 (CptPort
    24202855uid 354,0
    24212856ps "OnEdgeStrategy"
     
    24542889)
    24552890)
    2456 *69 (CptPort
     2891*80 (CptPort
    24572892uid 358,0
    24582893ps "OnEdgeStrategy"
     
    25082943stg "VerticalLayoutStrategy"
    25092944textVec [
    2510 *70 (Text
     2945*81 (Text
    25112946uid 365,0
    25122947va (VaSet
     
    25182953tm "BdLibraryNameMgr"
    25192954)
    2520 *71 (Text
     2955*82 (Text
    25212956uid 366,0
    25222957va (VaSet
     
    25282963tm "CptNameMgr"
    25292964)
    2530 *72 (Text
     2965*83 (Text
    25312966uid 367,0
    25322967va (VaSet
     
    25823017archFileType "UNKNOWN"
    25833018)
    2584 *73 (Net
     3019*84 (Net
    25853020uid 372,0
    25863021decl (Decl
     
    25963031font "Courier New,8,0"
    25973032)
    2598 xt "2000,34000,30000,34800"
    2599 st "SIGNAL sensor_cs      : std_logic_vector(3 DOWNTO 0)"
    2600 )
    2601 )
    2602 *74 (Net
     3033xt "-90000,51800,-58500,52600"
     3034st "SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0)"
     3035)
     3036)
     3037*85 (Net
    26033038uid 378,0
    26043039decl (Decl
     
    26133048font "Courier New,8,0"
    26143049)
    2615 xt "2000,33200,20000,34000"
    2616 st "SIGNAL sclk           : std_logic"
    2617 )
    2618 )
    2619 *75 (Net
     3050xt "-90000,51000,-68000,51800"
     3051st "SIGNAL sclk                  : std_logic"
     3052)
     3053)
     3054*86 (Net
    26203055uid 384,0
    26213056decl (Decl
     
    26323067font "Courier New,8,0"
    26333068)
    2634 xt "2000,34800,20000,35600"
    2635 st "SIGNAL sio            : std_logic"
    2636 )
    2637 )
    2638 *76 (SaComponent
     3069xt "-90000,52600,-68000,53400"
     3070st "SIGNAL sio                   : std_logic"
     3071)
     3072)
     3073*87 (SaComponent
    26393074uid 414,0
    26403075optionalChildren [
    2641 *77 (CptPort
     3076*88 (CptPort
    26423077uid 410,0
    26433078ps "OnEdgeStrategy"
     
    26943129stg "VerticalLayoutStrategy"
    26953130textVec [
    2696 *78 (Text
     3131*89 (Text
    26973132uid 417,0
    26983133va (VaSet
     
    27043139tm "BdLibraryNameMgr"
    27053140)
    2706 *79 (Text
     3141*90 (Text
    27073142uid 418,0
    27083143va (VaSet
     
    27143149tm "CptNameMgr"
    27153150)
    2716 *80 (Text
     3151*91 (Text
    27173152uid 419,0
    27183153va (VaSet
     
    27743209archFileType "UNKNOWN"
    27753210)
    2776 *81 (Net
     3211*92 (Net
    27773212uid 424,0
    27783213decl (Decl
     
    27893224font "Courier New,8,0"
    27903225)
    2791 xt "2000,35600,20000,36400"
    2792 st "SIGNAL trigger        : std_logic"
    2793 )
    2794 )
    2795 *82 (HdlText
     3226xt "-90000,53400,-68000,54200"
     3227st "SIGNAL trigger               : std_logic"
     3228)
     3229)
     3230*93 (HdlText
    27963231uid 430,0
    27973232optionalChildren [
    2798 *83 (EmbeddedText
     3233*94 (EmbeddedText
    27993234uid 436,0
    28003235commentText (CommentText
     
    28473282stg "VerticalLayoutStrategy"
    28483283textVec [
    2849 *84 (Text
     3284*95 (Text
    28503285uid 433,0
    28513286va (VaSet
     
    28573292tm "HdlTextNameMgr"
    28583293)
    2859 *85 (Text
     3294*96 (Text
    28603295uid 434,0
    28613296va (VaSet
     
    28833318viewiconposition 0
    28843319)
    2885 *86 (Net
     3320*97 (Net
    28863321uid 440,0
    28873322decl (Decl
     
    28993334font "Courier New,8,0"
    29003335)
    2901 xt "2000,26000,30000,26800"
    2902 st "SIGNAL board_id       : std_logic_vector(3 downto 0)"
    2903 )
    2904 )
    2905 *87 (Net
     3336xt "-90000,40600,-58500,41400"
     3337st "SIGNAL board_id              : std_logic_vector(3 downto 0)"
     3338)
     3339)
     3340*98 (Net
    29063341uid 448,0
    29073342decl (Decl
     
    29173352font "Courier New,8,0"
    29183353)
    2919 xt "2000,27600,30000,28400"
    2920 st "SIGNAL crate_id       : std_logic_vector(1 downto 0)"
    2921 )
    2922 )
    2923 *88 (SaComponent
     3354xt "-90000,43000,-58500,43800"
     3355st "SIGNAL crate_id              : std_logic_vector(1 downto 0)"
     3356)
     3357)
     3358*99 (SaComponent
    29243359uid 508,0
    29253360optionalChildren [
    2926 *89 (CptPort
     3361*100 (CptPort
    29273362uid 489,0
    29283363ps "OnEdgeStrategy"
     
    29603395)
    29613396)
    2962 *90 (CptPort
     3397*101 (CptPort
    29633398uid 493,0
    29643399ps "OnEdgeStrategy"
     
    29993434)
    30003435)
    3001 *91 (CptPort
     3436*102 (CptPort
    30023437uid 497,0
    30033438ps "OnEdgeStrategy"
     
    30373472)
    30383473)
    3039 *92 (CptPort
     3474*103 (CptPort
    30403475uid 501,0
    30413476ps "OnEdgeStrategy"
     
    30913526stg "VerticalLayoutStrategy"
    30923527textVec [
    3093 *93 (Text
     3528*104 (Text
    30943529uid 511,0
    30953530va (VaSet
     
    31013536tm "BdLibraryNameMgr"
    31023537)
    3103 *94 (Text
     3538*105 (Text
    31043539uid 512,0
    31053540va (VaSet
     
    31113546tm "CptNameMgr"
    31123547)
    3113 *95 (Text
     3548*106 (Text
    31143549uid 513,0
    31153550va (VaSet
     
    31653600archFileType "UNKNOWN"
    31663601)
    3167 *96 (HdlText
     3602*107 (HdlText
    31683603uid 518,0
    31693604optionalChildren [
    3170 *97 (EmbeddedText
     3605*108 (EmbeddedText
    31713606uid 524,0
    31723607commentText (CommentText
     
    32253660stg "VerticalLayoutStrategy"
    32263661textVec [
    3227 *98 (Text
     3662*109 (Text
    32283663uid 521,0
    32293664va (VaSet
     
    32353670tm "HdlTextNameMgr"
    32363671)
    3237 *99 (Text
     3672*110 (Text
    32383673uid 522,0
    32393674va (VaSet
     
    32613696viewiconposition 0
    32623697)
    3263 *100 (Net
     3698*111 (Net
    32643699uid 528,0
    32653700decl (Decl
     
    32753710font "Courier New,8,0"
    32763711)
    3277 xt "2000,25200,30000,26000"
    3278 st "SIGNAL adc_otr_array  : std_logic_vector(3 DOWNTO 0)"
    3279 )
    3280 )
    3281 *101 (Net
     3712xt "-90000,37400,-58500,38200"
     3713st "SIGNAL adc_otr_array         : std_logic_vector(3 DOWNTO 0)"
     3714)
     3715)
     3716*112 (Net
    32823717uid 536,0
    32833718decl (Decl
     
    32923727font "Courier New,8,0"
    32933728)
    3294 xt "2000,22800,25500,23600"
    3295 st "SIGNAL adc_data_array : adc_data_array_type"
    3296 )
    3297 )
    3298 *102 (Net
     3729xt "-90000,35000,-63000,35800"
     3730st "SIGNAL adc_data_array        : adc_data_array_type"
     3731)
     3732)
     3733*113 (Net
    32993734uid 544,0
    33003735decl (Decl
     
    33113746font "Courier New,8,0"
    33123747)
    3313 xt "2000,23600,20000,24400"
    3314 st "SIGNAL adc_oeb        : std_logic"
    3315 )
    3316 )
    3317 *103 (Net
     3748xt "-90000,35800,-68000,36600"
     3749st "SIGNAL adc_oeb               : std_logic"
     3750)
     3751)
     3752*114 (Net
    33183753uid 560,0
    33193754decl (Decl
     
    33303765font "Courier New,8,0"
    33313766)
    3332 xt "2000,24400,20000,25200"
    3333 st "SIGNAL adc_otr        : STD_LOGIC"
    3334 )
    3335 )
    3336 *104 (Net
     3767xt "-90000,36600,-68000,37400"
     3768st "SIGNAL adc_otr               : STD_LOGIC"
     3769)
     3770)
     3771*115 (Net
    33373772uid 568,0
    33383773decl (Decl
     
    33503785font "Courier New,8,0"
    33513786)
    3352 xt "2000,22000,30500,22800"
    3353 st "SIGNAL adc_data       : std_logic_vector(11 DOWNTO 0)"
    3354 )
    3355 )
    3356 *105 (Net
     3787xt "-90000,34200,-58000,35000"
     3788st "SIGNAL adc_data              : std_logic_vector(11 DOWNTO 0)"
     3789)
     3790)
     3791*116 (Net
    33573792uid 767,0
    33583793decl (Decl
     
    33683803font "Courier New,8,0"
    33693804)
    3370 xt "2000,40400,33500,41200"
    3371 st "SIGNAL wiz_reset      : std_logic                    := '1'"
    3372 )
    3373 )
    3374 *106 (Net
     3805xt "-90000,58200,-55000,59000"
     3806st "SIGNAL wiz_reset             : std_logic                    := '1'"
     3807)
     3808)
     3809*117 (Net
    33753810uid 775,0
    33763811decl (Decl
     
    33883823font "Courier New,8,0"
    33893824)
    3390 xt "2000,31600,39500,32400"
    3391 st "SIGNAL led            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
    3392 )
    3393 )
    3394 *107 (Net
     3825xt "-90000,47800,-49000,48600"
     3826st "SIGNAL led                   : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
     3827)
     3828)
     3829*118 (Net
    33953830uid 783,0
    33963831decl (Decl
     
    34063841font "Courier New,8,0"
    34073842)
    3408 xt "2000,37200,33500,38000"
    3409 st "SIGNAL wiz_cs         : std_logic                    := '1'"
    3410 )
    3411 )
    3412 *108 (Net
     3843xt "-90000,55000,-55000,55800"
     3844st "SIGNAL wiz_cs                : std_logic                    := '1'"
     3845)
     3846)
     3847*119 (Net
    34133848uid 791,0
    34143849decl (Decl
     
    34233858font "Courier New,8,0"
    34243859)
    3425 xt "2000,38800,20000,39600"
    3426 st "SIGNAL wiz_int        : std_logic"
    3427 )
    3428 )
    3429 *109 (Net
     3860xt "-90000,56600,-68000,57400"
     3861st "SIGNAL wiz_int               : std_logic"
     3862)
     3863)
     3864*120 (Net
    34303865uid 799,0
    34313866decl (Decl
     
    34403875font "Courier New,8,0"
    34413876)
    3442 xt "2000,28400,20000,29200"
    3443 st "SIGNAL dac_cs         : std_logic"
    3444 )
    3445 )
    3446 *110 (Net
     3877xt "-90000,43800,-68000,44600"
     3878st "SIGNAL dac_cs                : std_logic"
     3879)
     3880)
     3881*121 (Net
    34473882uid 807,0
    34483883decl (Decl
     
    34583893font "Courier New,8,0"
    34593894)
    3460 xt "2000,32400,33500,33200"
    3461 st "SIGNAL mosi           : std_logic                    := '0'"
    3462 )
    3463 )
    3464 *111 (Net
     3895xt "-90000,48600,-55000,49400"
     3896st "SIGNAL mosi                  : std_logic                    := '0'"
     3897)
     3898)
     3899*122 (Net
    34653900uid 815,0
    34663901decl (Decl
     
    34783913font "Courier New,8,0"
    34793914)
    3480 xt "2000,29200,47000,30000"
    3481 st "SIGNAL denable        : std_logic                    := '0' -- default domino wave off"
    3482 )
    3483 )
    3484 *112 (Net
     3915xt "-90000,44600,-41500,45400"
     3916st "SIGNAL denable               : std_logic                    := '0' -- default domino wave off"
     3917)
     3918)
     3919*123 (Net
    34853920uid 823,0
    34863921decl (Decl
     
    34953930font "Courier New,8,0"
    34963931)
    3497 xt "2000,15600,20000,16400"
    3498 st "SIGNAL CLK_25_PS      : std_logic"
    3499 )
    3500 )
    3501 *113 (Net
     3932xt "-90000,25400,-68000,26200"
     3933st "SIGNAL CLK_25_PS             : std_logic"
     3934)
     3935)
     3936*124 (Net
    35023937uid 831,0
    35033938decl (Decl
     
    35123947font "Courier New,8,0"
    35133948)
    3514 xt "2000,16400,20000,17200"
    3515 st "SIGNAL CLK_50         : std_logic"
    3516 )
    3517 )
    3518 *114 (Net
     3949xt "-90000,26200,-68000,27000"
     3950st "SIGNAL CLK_50                : std_logic"
     3951)
     3952)
     3953*125 (Net
    35193954uid 839,0
    35203955decl (Decl
     
    35313966font "Courier New,8,0"
    35323967)
    3533 xt "2000,30000,39500,30800"
    3534 st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')"
    3535 )
    3536 )
    3537 *115 (Net
     3968xt "-90000,45400,-49000,46200"
     3969st "SIGNAL drs_channel_id        : std_logic_vector(3 downto 0) := (others => '0')"
     3970)
     3971)
     3972*126 (Net
    35383973uid 847,0
    35393974decl (Decl
     
    35493984font "Courier New,8,0"
    35503985)
    3551 xt "2000,30800,33500,31600"
    3552 st "SIGNAL drs_dwrite     : std_logic                    := '1'"
    3553 )
    3554 )
    3555 *116 (Net
     3986xt "-90000,46200,-55000,47000"
     3987st "SIGNAL drs_dwrite            : std_logic                    := '1'"
     3988)
     3989)
     3990*127 (Net
    35563991uid 855,0
    35573992decl (Decl
     
    35674002font "Courier New,8,0"
    35684003)
    3569 xt "2000,17200,33500,18000"
    3570 st "SIGNAL RSRLOAD        : std_logic                    := '0'"
    3571 )
    3572 )
    3573 *117 (Net
     4004xt "-90000,28600,-55000,29400"
     4005st "SIGNAL RSRLOAD               : std_logic                    := '0'"
     4006)
     4007)
     4008*128 (Net
    35744009uid 863,0
    35754010decl (Decl
     
    35854020font "Courier New,8,0"
    35864021)
    3587 xt "2000,18000,33500,18800"
    3588 st "SIGNAL SRCLK          : std_logic                    := '0'"
    3589 )
    3590 )
    3591 *118 (Net
     4022xt "-90000,29400,-55000,30200"
     4023st "SIGNAL SRCLK                 : std_logic                    := '0'"
     4024)
     4025)
     4026*129 (Net
    35924027uid 871,0
    35934028decl (Decl
     
    36024037font "Courier New,8,0"
    36034038)
    3604 xt "2000,18800,20000,19600"
    3605 st "SIGNAL SROUT_in_0     : std_logic"
    3606 )
    3607 )
    3608 *119 (Net
     4039xt "-90000,31000,-68000,31800"
     4040st "SIGNAL SROUT_in_0            : std_logic"
     4041)
     4042)
     4043*130 (Net
    36094044uid 879,0
    36104045decl (Decl
     
    36194054font "Courier New,8,0"
    36204055)
    3621 xt "2000,19600,20000,20400"
    3622 st "SIGNAL SROUT_in_1     : std_logic"
    3623 )
    3624 )
    3625 *120 (Net
     4056xt "-90000,31800,-68000,32600"
     4057st "SIGNAL SROUT_in_1            : std_logic"
     4058)
     4059)
     4060*131 (Net
    36264061uid 887,0
    36274062decl (Decl
     
    36364071font "Courier New,8,0"
    36374072)
    3638 xt "2000,20400,20000,21200"
    3639 st "SIGNAL SROUT_in_2     : std_logic"
    3640 )
    3641 )
    3642 *121 (Net
     4073xt "-90000,32600,-68000,33400"
     4074st "SIGNAL SROUT_in_2            : std_logic"
     4075)
     4076)
     4077*132 (Net
    36434078uid 895,0
    36444079decl (Decl
     
    36534088font "Courier New,8,0"
    36544089)
    3655 xt "2000,21200,20000,22000"
    3656 st "SIGNAL SROUT_in_3     : std_logic"
    3657 )
    3658 )
    3659 *122 (Wire
     4090xt "-90000,33400,-68000,34200"
     4091st "SIGNAL SROUT_in_3            : std_logic"
     4092)
     4093)
     4094*133 (Net
     4095uid 1435,0
     4096decl (Decl
     4097n "SRIN_out"
     4098t "std_logic"
     4099o 34
     4100suid 40,0
     4101i "'0'"
     4102)
     4103declText (MLText
     4104uid 1436,0
     4105va (VaSet
     4106font "Courier New,8,0"
     4107)
     4108xt "-90000,30200,-55000,31000"
     4109st "SIGNAL SRIN_out              : std_logic                    := '0'"
     4110)
     4111)
     4112*134 (Net
     4113uid 1443,0
     4114decl (Decl
     4115n "amber"
     4116t "std_logic"
     4117o 35
     4118suid 41,0
     4119)
     4120declText (MLText
     4121uid 1444,0
     4122va (VaSet
     4123font "Courier New,8,0"
     4124)
     4125xt "-90000,39800,-68000,40600"
     4126st "SIGNAL amber                 : std_logic"
     4127)
     4128)
     4129*135 (Net
     4130uid 1451,0
     4131decl (Decl
     4132n "red"
     4133t "std_logic"
     4134o 36
     4135suid 42,0
     4136)
     4137declText (MLText
     4138uid 1452,0
     4139va (VaSet
     4140font "Courier New,8,0"
     4141)
     4142xt "-90000,50200,-68000,51000"
     4143st "SIGNAL red                   : std_logic"
     4144)
     4145)
     4146*136 (Net
     4147uid 1459,0
     4148decl (Decl
     4149n "green"
     4150t "std_logic"
     4151o 37
     4152suid 43,0
     4153)
     4154declText (MLText
     4155uid 1460,0
     4156va (VaSet
     4157font "Courier New,8,0"
     4158)
     4159xt "-90000,47000,-68000,47800"
     4160st "SIGNAL green                 : std_logic"
     4161)
     4162)
     4163*137 (Net
     4164uid 1467,0
     4165decl (Decl
     4166n "counter_result"
     4167t "std_logic_vector"
     4168b "(11 DOWNTO 0)"
     4169o 38
     4170suid 44,0
     4171)
     4172declText (MLText
     4173uid 1468,0
     4174va (VaSet
     4175font "Courier New,8,0"
     4176)
     4177xt "-90000,42200,-58000,43000"
     4178st "SIGNAL counter_result        : std_logic_vector(11 DOWNTO 0)"
     4179)
     4180)
     4181*138 (Net
     4182uid 1475,0
     4183decl (Decl
     4184n "alarm_refclk_too_low"
     4185t "std_logic"
     4186posAdd 0
     4187o 39
     4188suid 45,0
     4189)
     4190declText (MLText
     4191uid 1476,0
     4192va (VaSet
     4193font "Courier New,8,0"
     4194)
     4195xt "-90000,39000,-68000,39800"
     4196st "SIGNAL alarm_refclk_too_low  : std_logic"
     4197)
     4198)
     4199*139 (Net
     4200uid 1483,0
     4201decl (Decl
     4202n "alarm_refclk_too_high"
     4203t "std_logic"
     4204o 40
     4205suid 46,0
     4206)
     4207declText (MLText
     4208uid 1484,0
     4209va (VaSet
     4210font "Courier New,8,0"
     4211)
     4212xt "-90000,38200,-68000,39000"
     4213st "SIGNAL alarm_refclk_too_high : std_logic"
     4214)
     4215)
     4216*140 (HdlText
     4217uid 1491,0
     4218optionalChildren [
     4219*141 (EmbeddedText
     4220uid 1497,0
     4221commentText (CommentText
     4222uid 1498,0
     4223ps "CenterOffsetStrategy"
     4224shape (Rectangle
     4225uid 1499,0
     4226va (VaSet
     4227vasetType 1
     4228fg "65535,65535,65535"
     4229lineColor "0,0,32768"
     4230lineWidth 2
     4231)
     4232xt "27000,72000,41000,77000"
     4233)
     4234oxt "0,0,18000,5000"
     4235text (MLText
     4236uid 1500,0
     4237va (VaSet
     4238)
     4239xt "27200,72200,39400,77200"
     4240st "
     4241
     4242D_T_in(1 downto 0) <= \"00\";
     4243plllock_in(3 downto 0) <= \"1111\";
     4244SROUT_in_0 <= '1';
     4245SROUT_in_1 <= '0';
     4246SROUT_in_2 <= '1';
     4247SROUT_in_3 <= '0';
     4248
     4249"
     4250tm "HdlTextMgr"
     4251wrapOption 3
     4252visibleHeight 5000
     4253visibleWidth 14000
     4254)
     4255)
     4256)
     4257]
     4258shape (Rectangle
     4259uid 1492,0
     4260va (VaSet
     4261vasetType 1
     4262fg "65535,65535,37120"
     4263lineColor "0,0,32768"
     4264lineWidth 2
     4265)
     4266xt "27000,69000,35000,72000"
     4267)
     4268oxt "0,0,8000,10000"
     4269ttg (MlTextGroup
     4270uid 1493,0
     4271ps "CenterOffsetStrategy"
     4272stg "VerticalLayoutStrategy"
     4273textVec [
     4274*142 (Text
     4275uid 1494,0
     4276va (VaSet
     4277font "Arial,8,1"
     4278)
     4279xt "28150,69000,35250,70000"
     4280st "eb_mainTB_adc1"
     4281blo "28150,69800"
     4282tm "HdlTextNameMgr"
     4283)
     4284*143 (Text
     4285uid 1495,0
     4286va (VaSet
     4287font "Arial,8,1"
     4288)
     4289xt "28150,70000,28950,71000"
     4290st "3"
     4291blo "28150,70800"
     4292tm "HdlTextNumberMgr"
     4293)
     4294]
     4295)
     4296viewicon (ZoomableIcon
     4297uid 1496,0
     4298sl 0
     4299va (VaSet
     4300vasetType 1
     4301fg "49152,49152,49152"
     4302)
     4303xt "27250,70250,28750,71750"
     4304iconName "TextFile.png"
     4305iconMaskName "TextFile.msk"
     4306ftype 21
     4307)
     4308viewiconposition 0
     4309)
     4310*144 (Net
     4311uid 1501,0
     4312decl (Decl
     4313n "D_T_in"
     4314t "std_logic_vector"
     4315b "(1 DOWNTO 0)"
     4316o 41
     4317suid 47,0
     4318)
     4319declText (MLText
     4320uid 1502,0
     4321va (VaSet
     4322font "Courier New,8,0"
     4323)
     4324xt "-90000,27000,-58500,27800"
     4325st "SIGNAL D_T_in                : std_logic_vector(1 DOWNTO 0)"
     4326)
     4327)
     4328*145 (SaComponent
     4329uid 1509,0
     4330optionalChildren [
     4331*146 (CptPort
     4332uid 1519,0
     4333ps "OnEdgeStrategy"
     4334shape (Triangle
     4335uid 1520,0
     4336ro 90
     4337va (VaSet
     4338vasetType 1
     4339fg "0,65535,0"
     4340)
     4341xt "66000,78625,66750,79375"
     4342)
     4343tg (CPTG
     4344uid 1521,0
     4345ps "CptPortTextPlaceStrategy"
     4346stg "RightVerticalLayoutStrategy"
     4347f (Text
     4348uid 1522,0
     4349va (VaSet
     4350)
     4351xt "63700,78500,65000,79500"
     4352st "clk"
     4353ju 2
     4354blo "65000,79300"
     4355)
     4356)
     4357thePort (LogicalPort
     4358m 1
     4359decl (Decl
     4360n "clk"
     4361t "STD_LOGIC"
     4362o 1
     4363i "'0'"
     4364)
     4365)
     4366)
     4367*147 (CptPort
     4368uid 1523,0
     4369ps "OnEdgeStrategy"
     4370shape (Triangle
     4371uid 1524,0
     4372ro 90
     4373va (VaSet
     4374vasetType 1
     4375fg "0,65535,0"
     4376)
     4377xt "66000,79625,66750,80375"
     4378)
     4379tg (CPTG
     4380uid 1525,0
     4381ps "CptPortTextPlaceStrategy"
     4382stg "RightVerticalLayoutStrategy"
     4383f (Text
     4384uid 1526,0
     4385va (VaSet
     4386)
     4387xt "63700,79500,65000,80500"
     4388st "rst"
     4389ju 2
     4390blo "65000,80300"
     4391)
     4392)
     4393thePort (LogicalPort
     4394m 1
     4395decl (Decl
     4396n "rst"
     4397t "STD_LOGIC"
     4398o 2
     4399i "'0'"
     4400)
     4401)
     4402)
     4403]
     4404shape (Rectangle
     4405uid 1510,0
     4406va (VaSet
     4407vasetType 1
     4408fg "0,49152,49152"
     4409lineColor "0,0,50000"
     4410lineWidth 2
     4411)
     4412xt "55000,77000,66000,82000"
     4413)
     4414oxt "0,0,8000,10000"
     4415ttg (MlTextGroup
     4416uid 1511,0
     4417ps "CenterOffsetStrategy"
     4418stg "VerticalLayoutStrategy"
     4419textVec [
     4420*148 (Text
     4421uid 1512,0
     4422va (VaSet
     4423font "Arial,8,1"
     4424)
     4425xt "56150,78000,63850,79000"
     4426st "FACT_FAD_TB_lib"
     4427blo "56150,78800"
     4428tm "BdLibraryNameMgr"
     4429)
     4430*149 (Text
     4431uid 1513,0
     4432va (VaSet
     4433font "Arial,8,1"
     4434)
     4435xt "56150,79000,62850,80000"
     4436st "clock_generator"
     4437blo "56150,79800"
     4438tm "CptNameMgr"
     4439)
     4440*150 (Text
     4441uid 1514,0
     4442va (VaSet
     4443font "Arial,8,1"
     4444)
     4445xt "56150,80000,63150,81000"
     4446st "I_mainTB_clock1"
     4447blo "56150,80800"
     4448tm "InstanceNameMgr"
     4449)
     4450]
     4451)
     4452ga (GenericAssociation
     4453uid 1515,0
     4454ps "EdgeToEdgeStrategy"
     4455matrix (Matrix
     4456uid 1516,0
     4457text (MLText
     4458uid 1517,0
     4459va (VaSet
     4460font "Courier New,8,0"
     4461)
     4462xt "55000,82400,73000,84000"
     4463st "clock_period = 1 us    ( time ) 
     4464reset_time   = 1 us    ( time ) 
     4465"
     4466)
     4467header ""
     4468)
     4469elements [
     4470(GiElement
     4471name "clock_period"
     4472type "time"
     4473value "1 us"
     4474)
     4475(GiElement
     4476name "reset_time"
     4477type "time"
     4478value "1 us"
     4479)
     4480]
     4481)
     4482viewicon (ZoomableIcon
     4483uid 1518,0
     4484sl 0
     4485va (VaSet
     4486vasetType 1
     4487fg "49152,49152,49152"
     4488)
     4489xt "55250,80250,56750,81750"
     4490iconName "VhdlFileViewIcon.png"
     4491iconMaskName "VhdlFileViewIcon.msk"
     4492ftype 10
     4493)
     4494ordering 1
     4495viewiconposition 0
     4496portVis (PortSigDisplay
     4497)
     4498archFileType "UNKNOWN"
     4499)
     4500*151 (Net
     4501uid 1559,0
     4502decl (Decl
     4503n "plllock_in"
     4504t "std_logic_vector"
     4505b "(3 DOWNTO 0)"
     4506eolc "-- high level, if dominowave is running and DRS PLL locked"
     4507o 43
     4508suid 49,0
     4509)
     4510declText (MLText
     4511uid 1560,0
     4512va (VaSet
     4513font "Courier New,8,0"
     4514)
     4515xt "-90000,49400,-29000,50200"
     4516st "SIGNAL plllock_in            : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked"
     4517)
     4518)
     4519*152 (Net
     4520uid 1682,0
     4521lang 2
     4522decl (Decl
     4523n "ADC_CLK"
     4524t "std_logic"
     4525o 44
     4526suid 50,0
     4527)
     4528declText (MLText
     4529uid 1683,0
     4530va (VaSet
     4531font "Courier New,8,0"
     4532)
     4533xt "-90000,24600,-68000,25400"
     4534st "SIGNAL ADC_CLK               : std_logic"
     4535)
     4536)
     4537*153 (Net
     4538uid 2001,0
     4539decl (Decl
     4540n "REF_CLK"
     4541t "STD_LOGIC"
     4542o 42
     4543suid 51,0
     4544i "'0'"
     4545)
     4546declText (MLText
     4547uid 2002,0
     4548va (VaSet
     4549font "Courier New,8,0"
     4550)
     4551xt "-90000,27800,-55000,28600"
     4552st "SIGNAL REF_CLK               : STD_LOGIC                    := '0'"
     4553)
     4554)
     4555*154 (Wire
    36604556uid 286,0
    36614557shape (OrthoPolyLine
     
    36704566]
    36714567)
    3672 start &48
     4568start &59
    36734569end &27
    36744570sat 32
     
    36914587)
    36924588)
    3693 on &53
    3694 )
    3695 *123 (Wire
     4589on &64
     4590)
     4591*155 (Wire
    36964592uid 318,0
    36974593shape (OrthoPolyLine
     
    37084604)
    37094605start &19
    3710 end &55
     4606end &66
    37114607sat 32
    37124608eat 32
     
    37294625)
    37304626)
    3731 on &62
    3732 )
    3733 *124 (Wire
     4627on &73
     4628)
     4629*156 (Wire
    37344630uid 324,0
    37354631shape (OrthoPolyLine
     
    37464642)
    37474643start &20
    3748 end &56
     4644end &67
    37494645sat 32
    37504646eat 32
     
    37674663)
    37684664)
    3769 on &63
    3770 )
    3771 *125 (Wire
     4665on &74
     4666)
     4667*157 (Wire
    37724668uid 330,0
    37734669shape (OrthoPolyLine
     
    37834679)
    37844680start &23
    3785 end &57
     4681end &68
    37864682sat 32
    37874683eat 32
     
    38034699)
    38044700)
    3805 on &64
    3806 )
    3807 *126 (Wire
     4701on &75
     4702)
     4703*158 (Wire
    38084704uid 336,0
    38094705shape (OrthoPolyLine
     
    38194715)
    38204716start &22
    3821 end &58
     4717end &69
    38224718sat 32
    38234719eat 32
     
    38394735)
    38404736)
    3841 on &65
    3842 )
    3843 *127 (Wire
     4737on &76
     4738)
     4739*159 (Wire
    38444740uid 374,0
    38454741shape (OrthoPolyLine
     
    38584754)
    38594755start &41
    3860 end &69
     4756end &80
    38614757sat 32
    38624758eat 32
     
    38794775)
    38804776)
    3881 on &73
    3882 )
    3883 *128 (Wire
     4777on &84
     4778)
     4779*160 (Wire
    38844780uid 380,0
    38854781shape (OrthoPolyLine
     
    38954791)
    38964792start &38
    3897 end &67
     4793end &78
    38984794sat 32
    38994795eat 32
     
    39154811)
    39164812)
    3917 on &74
    3918 )
    3919 *129 (Wire
     4813on &85
     4814)
     4815*161 (Wire
    39204816uid 386,0
    39214817shape (OrthoPolyLine
     
    39314827)
    39324828start &39
    3933 end &68
     4829end &79
    39344830sat 32
    39354831eat 32
     
    39514847)
    39524848)
    3953 on &75
    3954 )
    3955 *130 (Wire
     4849on &86
     4850)
     4851*162 (Wire
    39564852uid 426,0
    39574853shape (OrthoPolyLine
     
    39664862]
    39674863)
    3968 start &77
     4864start &88
    39694865end &15
    39704866sat 32
     
    39864882)
    39874883)
    3988 on &81
    3989 )
    3990 *131 (Wire
     4884on &92
     4885)
     4886*163 (Wire
    39914887uid 442,0
    39924888shape (OrthoPolyLine
     
    40054901)
    40064902start &17
    4007 end &82
     4903end &93
    40084904sat 32
    40094905eat 2
     
    40264922)
    40274923)
    4028 on &86
    4029 )
    4030 *132 (Wire
     4924on &97
     4925)
     4926*164 (Wire
    40314927uid 450,0
    40324928shape (OrthoPolyLine
     
    40454941)
    40464942start &18
    4047 end &82
     4943end &93
    40484944sat 32
    40494945eat 2
     
    40664962)
    40674963)
    4068 on &87
    4069 )
    4070 *133 (Wire
     4964on &98
     4965)
     4966*165 (Wire
    40714967uid 530,0
    40724968shape (OrthoPolyLine
     
    40854981)
    40864982start &28
    4087 end &96
     4983end &107
    40884984sat 32
    40894985eat 2
     
    41065002)
    41075003)
    4108 on &100
    4109 )
    4110 *134 (Wire
     5004on &111
     5005)
     5006*166 (Wire
    41115007uid 538,0
    41125008shape (OrthoPolyLine
     
    41255021)
    41265022start &29
    4127 end &96
     5023end &107
    41285024sat 32
    41295025eat 2
     
    41465042)
    41475043)
    4148 on &101
    4149 )
    4150 *135 (Wire
     5044on &112
     5045)
     5046*167 (Wire
    41515047uid 546,0
    41525048shape (OrthoPolyLine
     
    41645060)
    41655061start &16
    4166 end &96
     5062end &107
    41675063sat 32
    41685064eat 1
     
    41845080)
    41855081)
    4186 on &102
    4187 )
    4188 *136 (Wire
     5082on &113
     5083)
     5084*168 (Wire
    41895085uid 554,0
    41905086shape (OrthoPolyLine
     
    41995095]
    42005096)
    4201 start &96
    4202 end &92
     5097start &107
     5098end &103
    42035099sat 2
    42045100eat 32
     
    42195115)
    42205116)
    4221 on &102
    4222 )
    4223 *137 (Wire
     5117on &113
     5118)
     5119*169 (Wire
    42245120uid 562,0
    42255121shape (OrthoPolyLine
     
    42345130]
    42355131)
    4236 start &91
    4237 end &96
     5132start &102
     5133end &107
    42385134sat 32
    42395135eat 1
     
    42545150)
    42555151)
    4256 on &103
    4257 )
    4258 *138 (Wire
     5152on &114
     5153)
     5154*170 (Wire
    42595155uid 570,0
    42605156shape (OrthoPolyLine
     
    42705166]
    42715167)
    4272 start &90
    4273 end &96
     5168start &101
     5169end &107
    42745170sat 32
    42755171eat 1
     
    42915187)
    42925188)
    4293 on &104
    4294 )
    4295 *139 (Wire
     5189on &115
     5190)
     5191*171 (Wire
    42965192uid 578,0
    42975193shape (OrthoPolyLine
     
    43005196vasetType 3
    43015197)
    4302 xt "25000,53000,29250,53000"
     5198xt "24000,53000,29250,53000"
    43035199pts [
    43045200"29250,53000"
    4305 "25000,53000"
    4306 ]
    4307 )
    4308 start &89
     5201"24000,53000"
     5202]
     5203)
     5204start &100
    43095205sat 32
    43105206eat 16
     
    43195215va (VaSet
    43205216)
    4321 xt "26250,52000,27550,53000"
    4322 st "clk"
    4323 blo "26250,52800"
     5217xt "25000,52000,29000,53000"
     5218st "ADC_CLK"
     5219blo "25000,52800"
    43245220tm "WireNameMgr"
    43255221)
    43265222)
    4327 on &53
    4328 )
    4329 *140 (Wire
     5223on &152
     5224)
     5225*172 (Wire
    43305226uid 769,0
    43315227shape (OrthoPolyLine
     
    43605256)
    43615257)
    4362 on &105
    4363 )
    4364 *141 (Wire
     5258on &116
     5259)
     5260*173 (Wire
    43655261uid 777,0
    43665262shape (OrthoPolyLine
     
    43975293)
    43985294)
    4399 on &106
    4400 )
    4401 *142 (Wire
     5295on &117
     5296)
     5297*174 (Wire
    44025298uid 785,0
    44035299shape (OrthoPolyLine
     
    44325328)
    44335329)
    4434 on &107
    4435 )
    4436 *143 (Wire
     5330on &118
     5331)
     5332*175 (Wire
    44375333uid 793,0
    44385334shape (OrthoPolyLine
     
    44675363)
    44685364)
    4469 on &108
    4470 )
    4471 *144 (Wire
     5365on &119
     5366)
     5367*176 (Wire
    44725368uid 801,0
    44735369shape (OrthoPolyLine
     
    45025398)
    45035399)
    4504 on &109
    4505 )
    4506 *145 (Wire
     5400on &120
     5401)
     5402*177 (Wire
    45075403uid 809,0
    45085404shape (OrthoPolyLine
     
    45375433)
    45385434)
    4539 on &110
    4540 )
    4541 *146 (Wire
     5435on &121
     5436)
     5437*178 (Wire
    45425438uid 817,0
    45435439shape (OrthoPolyLine
     
    45725468)
    45735469)
    4574 on &111
    4575 )
    4576 *147 (Wire
     5470on &122
     5471)
     5472*179 (Wire
    45775473uid 825,0
    45785474shape (OrthoPolyLine
     
    46075503)
    46085504)
    4609 on &112
    4610 )
    4611 *148 (Wire
     5505on &123
     5506)
     5507*180 (Wire
    46125508uid 833,0
    46135509shape (OrthoPolyLine
     
    46425538)
    46435539)
    4644 on &113
    4645 )
    4646 *149 (Wire
     5540on &124
     5541)
     5542*181 (Wire
    46475543uid 841,0
    46485544shape (OrthoPolyLine
     
    46795575)
    46805576)
    4681 on &114
    4682 )
    4683 *150 (Wire
     5577on &125
     5578)
     5579*182 (Wire
    46845580uid 849,0
    46855581shape (OrthoPolyLine
     
    47145610)
    47155611)
    4716 on &115
    4717 )
    4718 *151 (Wire
     5612on &126
     5613)
     5614*183 (Wire
    47195615uid 857,0
    47205616shape (OrthoPolyLine
     
    47495645)
    47505646)
    4751 on &116
    4752 )
    4753 *152 (Wire
     5647on &127
     5648)
     5649*184 (Wire
    47545650uid 865,0
    47555651shape (OrthoPolyLine
     
    47845680)
    47855681)
    4786 on &117
    4787 )
    4788 *153 (Wire
     5682on &128
     5683)
     5684*185 (Wire
    47895685uid 873,0
    47905686shape (OrthoPolyLine
     
    48195715)
    48205716)
    4821 on &118
    4822 )
    4823 *154 (Wire
     5717on &129
     5718)
     5719*186 (Wire
    48245720uid 881,0
    48255721shape (OrthoPolyLine
     
    48545750)
    48555751)
    4856 on &119
    4857 )
    4858 *155 (Wire
     5752on &130
     5753)
     5754*187 (Wire
    48595755uid 889,0
    48605756shape (OrthoPolyLine
     
    48895785)
    48905786)
    4891 on &120
    4892 )
    4893 *156 (Wire
     5787on &131
     5788)
     5789*188 (Wire
    48945790uid 897,0
    48955791shape (OrthoPolyLine
     
    49245820)
    49255821)
    4926 on &121
     5822on &132
     5823)
     5824*189 (Wire
     5825uid 1437,0
     5826shape (OrthoPolyLine
     5827uid 1438,0
     5828va (VaSet
     5829vasetType 3
     5830)
     5831xt "73000,72000,80250,72000"
     5832pts [
     5833"80250,72000"
     5834"73000,72000"
     5835]
     5836)
     5837start &53
     5838sat 32
     5839eat 16
     5840st 0
     5841sf 1
     5842si 0
     5843tg (WTG
     5844uid 1441,0
     5845ps "ConnStartEndStrategy"
     5846stg "STSignalDisplayStrategy"
     5847f (Text
     5848uid 1442,0
     5849va (VaSet
     5850)
     5851xt "76000,72000,79700,73000"
     5852st "SRIN_out"
     5853blo "76000,72800"
     5854tm "WireNameMgr"
     5855)
     5856)
     5857on &133
     5858)
     5859*190 (Wire
     5860uid 1445,0
     5861shape (OrthoPolyLine
     5862uid 1446,0
     5863va (VaSet
     5864vasetType 3
     5865)
     5866xt "109750,80000,115000,80000"
     5867pts [
     5868"109750,80000"
     5869"115000,80000"
     5870]
     5871)
     5872start &46
     5873sat 32
     5874eat 16
     5875st 0
     5876sf 1
     5877si 0
     5878tg (WTG
     5879uid 1449,0
     5880ps "ConnStartEndStrategy"
     5881stg "STSignalDisplayStrategy"
     5882f (Text
     5883uid 1450,0
     5884va (VaSet
     5885)
     5886xt "111000,79000,113500,80000"
     5887st "amber"
     5888blo "111000,79800"
     5889tm "WireNameMgr"
     5890)
     5891)
     5892on &134
     5893)
     5894*191 (Wire
     5895uid 1453,0
     5896shape (OrthoPolyLine
     5897uid 1454,0
     5898va (VaSet
     5899vasetType 3
     5900)
     5901xt "109750,79000,114000,79000"
     5902pts [
     5903"109750,79000"
     5904"114000,79000"
     5905]
     5906)
     5907start &52
     5908sat 32
     5909eat 16
     5910st 0
     5911sf 1
     5912si 0
     5913tg (WTG
     5914uid 1457,0
     5915ps "ConnStartEndStrategy"
     5916stg "STSignalDisplayStrategy"
     5917f (Text
     5918uid 1458,0
     5919va (VaSet
     5920)
     5921xt "111000,78000,112500,79000"
     5922st "red"
     5923blo "111000,78800"
     5924tm "WireNameMgr"
     5925)
     5926)
     5927on &135
     5928)
     5929*192 (Wire
     5930uid 1461,0
     5931shape (OrthoPolyLine
     5932uid 1462,0
     5933va (VaSet
     5934vasetType 3
     5935)
     5936xt "109750,78000,114000,78000"
     5937pts [
     5938"109750,78000"
     5939"114000,78000"
     5940]
     5941)
     5942start &50
     5943sat 32
     5944eat 16
     5945st 0
     5946sf 1
     5947si 0
     5948tg (WTG
     5949uid 1465,0
     5950ps "ConnStartEndStrategy"
     5951stg "STSignalDisplayStrategy"
     5952f (Text
     5953uid 1466,0
     5954va (VaSet
     5955)
     5956xt "111000,77000,113400,78000"
     5957st "green"
     5958blo "111000,77800"
     5959tm "WireNameMgr"
     5960)
     5961)
     5962on &136
     5963)
     5964*193 (Wire
     5965uid 1469,0
     5966shape (OrthoPolyLine
     5967uid 1470,0
     5968va (VaSet
     5969vasetType 3
     5970lineWidth 2
     5971)
     5972xt "109750,77000,121000,77000"
     5973pts [
     5974"109750,77000"
     5975"121000,77000"
     5976]
     5977)
     5978start &47
     5979sat 32
     5980eat 16
     5981sty 1
     5982st 0
     5983sf 1
     5984si 0
     5985tg (WTG
     5986uid 1473,0
     5987ps "ConnStartEndStrategy"
     5988stg "STSignalDisplayStrategy"
     5989f (Text
     5990uid 1474,0
     5991va (VaSet
     5992)
     5993xt "111000,76000,119600,77000"
     5994st "counter_result : (11:0)"
     5995blo "111000,76800"
     5996tm "WireNameMgr"
     5997)
     5998)
     5999on &137
     6000)
     6001*194 (Wire
     6002uid 1477,0
     6003shape (OrthoPolyLine
     6004uid 1478,0
     6005va (VaSet
     6006vasetType 3
     6007)
     6008xt "109750,75000,120000,75000"
     6009pts [
     6010"109750,75000"
     6011"120000,75000"
     6012]
     6013)
     6014start &45
     6015sat 32
     6016eat 16
     6017st 0
     6018sf 1
     6019si 0
     6020tg (WTG
     6021uid 1481,0
     6022ps "ConnStartEndStrategy"
     6023stg "STSignalDisplayStrategy"
     6024f (Text
     6025uid 1482,0
     6026va (VaSet
     6027)
     6028xt "111000,74000,119200,75000"
     6029st "alarm_refclk_too_low"
     6030blo "111000,74800"
     6031tm "WireNameMgr"
     6032)
     6033)
     6034on &138
     6035)
     6036*195 (Wire
     6037uid 1485,0
     6038shape (OrthoPolyLine
     6039uid 1486,0
     6040va (VaSet
     6041vasetType 3
     6042)
     6043xt "109750,74000,121000,74000"
     6044pts [
     6045"109750,74000"
     6046"121000,74000"
     6047]
     6048)
     6049start &44
     6050sat 32
     6051eat 16
     6052st 0
     6053sf 1
     6054si 0
     6055tg (WTG
     6056uid 1489,0
     6057ps "ConnStartEndStrategy"
     6058stg "STSignalDisplayStrategy"
     6059f (Text
     6060uid 1490,0
     6061va (VaSet
     6062)
     6063xt "111000,73000,119600,74000"
     6064st "alarm_refclk_too_high"
     6065blo "111000,73800"
     6066tm "WireNameMgr"
     6067)
     6068)
     6069on &139
     6070)
     6071*196 (Wire
     6072uid 1503,0
     6073shape (OrthoPolyLine
     6074uid 1504,0
     6075va (VaSet
     6076vasetType 3
     6077lineWidth 2
     6078)
     6079xt "73000,75000,80250,75000"
     6080pts [
     6081"73000,75000"
     6082"80250,75000"
     6083]
     6084)
     6085end &48
     6086sat 16
     6087eat 32
     6088sty 1
     6089st 0
     6090sf 1
     6091si 0
     6092tg (WTG
     6093uid 1507,0
     6094ps "ConnStartEndStrategy"
     6095stg "STSignalDisplayStrategy"
     6096f (Text
     6097uid 1508,0
     6098va (VaSet
     6099)
     6100xt "74000,74000,79500,75000"
     6101st "D_T_in : (1:0)"
     6102blo "74000,74800"
     6103tm "WireNameMgr"
     6104)
     6105)
     6106on &144
     6107)
     6108*197 (Wire
     6109uid 1529,0
     6110shape (OrthoPolyLine
     6111uid 1530,0
     6112va (VaSet
     6113vasetType 3
     6114)
     6115xt "66750,76000,80250,79000"
     6116pts [
     6117"66750,79000"
     6118"70000,79000"
     6119"70000,76000"
     6120"80250,76000"
     6121]
     6122)
     6123start &146
     6124end &49
     6125sat 32
     6126eat 32
     6127st 0
     6128sf 1
     6129si 0
     6130tg (WTG
     6131uid 1531,0
     6132ps "ConnStartEndStrategy"
     6133stg "STSignalDisplayStrategy"
     6134f (Text
     6135uid 1532,0
     6136va (VaSet
     6137)
     6138xt "68750,78000,72650,79000"
     6139st "REF_CLK"
     6140blo "68750,78800"
     6141tm "WireNameMgr"
     6142)
     6143)
     6144on &153
     6145)
     6146*198 (Wire
     6147uid 1533,0
     6148shape (OrthoPolyLine
     6149uid 1534,0
     6150va (VaSet
     6151vasetType 3
     6152)
     6153xt "35000,70000,45000,70000"
     6154pts [
     6155"35000,70000"
     6156"45000,70000"
     6157]
     6158)
     6159start &140
     6160sat 2
     6161eat 16
     6162st 0
     6163sf 1
     6164si 0
     6165tg (WTG
     6166uid 1539,0
     6167ps "ConnStartEndStrategy"
     6168stg "STSignalDisplayStrategy"
     6169f (Text
     6170uid 1540,0
     6171va (VaSet
     6172)
     6173xt "37000,69000,42500,70000"
     6174st "D_T_in : (1:0)"
     6175blo "37000,69800"
     6176tm "WireNameMgr"
     6177)
     6178)
     6179on &144
     6180)
     6181*199 (Wire
     6182uid 1561,0
     6183shape (OrthoPolyLine
     6184uid 1562,0
     6185va (VaSet
     6186vasetType 3
     6187lineWidth 2
     6188)
     6189xt "72000,77000,80250,77000"
     6190pts [
     6191"72000,77000"
     6192"80250,77000"
     6193]
     6194)
     6195end &51
     6196sat 16
     6197eat 32
     6198sty 1
     6199st 0
     6200sf 1
     6201si 0
     6202tg (WTG
     6203uid 1565,0
     6204ps "ConnStartEndStrategy"
     6205stg "STSignalDisplayStrategy"
     6206f (Text
     6207uid 1566,0
     6208va (VaSet
     6209)
     6210xt "73000,76000,79100,77000"
     6211st "plllock_in : (3:0)"
     6212blo "73000,76800"
     6213tm "WireNameMgr"
     6214)
     6215)
     6216on &151
     6217)
     6218*200 (Wire
     6219uid 1567,0
     6220shape (OrthoPolyLine
     6221uid 1568,0
     6222va (VaSet
     6223vasetType 3
     6224)
     6225xt "35000,71000,45000,71000"
     6226pts [
     6227"35000,71000"
     6228"45000,71000"
     6229]
     6230)
     6231start &140
     6232sat 2
     6233eat 16
     6234st 0
     6235sf 1
     6236si 0
     6237tg (WTG
     6238uid 1573,0
     6239ps "ConnStartEndStrategy"
     6240stg "STSignalDisplayStrategy"
     6241f (Text
     6242uid 1574,0
     6243va (VaSet
     6244)
     6245xt "37000,70000,43100,71000"
     6246st "plllock_in : (3:0)"
     6247blo "37000,70800"
     6248tm "WireNameMgr"
     6249)
     6250)
     6251on &151
     6252)
     6253*201 (Wire
     6254uid 1684,0
     6255shape (OrthoPolyLine
     6256uid 1685,0
     6257va (VaSet
     6258vasetType 3
     6259)
     6260xt "70000,24000,80250,24000"
     6261pts [
     6262"80250,24000"
     6263"70000,24000"
     6264]
     6265)
     6266start &54
     6267sat 32
     6268eat 16
     6269st 0
     6270sf 1
     6271si 0
     6272tg (WTG
     6273uid 1688,0
     6274ps "ConnStartEndStrategy"
     6275stg "STSignalDisplayStrategy"
     6276f (Text
     6277uid 1689,0
     6278va (VaSet
     6279)
     6280xt "71000,23000,75000,24000"
     6281st "ADC_CLK"
     6282blo "71000,23800"
     6283tm "WireNameMgr"
     6284)
     6285)
     6286on &152
    49276287)
    49286288]
     
    49386298color "26368,26368,26368"
    49396299)
    4940 packageList *157 (PackageList
     6300packageList *202 (PackageList
    49416301uid 41,0
    49426302stg "VerticalLayoutStrategy"
    49436303textVec [
    4944 *158 (Text
     6304*203 (Text
    49456305uid 42,0
    49466306va (VaSet
    49476307font "arial,8,1"
    49486308)
    4949 xt "0,0,5400,1000"
     6309xt "-87000,0,-81600,1000"
    49506310st "Package List"
    4951 blo "0,800"
    4952 )
    4953 *159 (MLText
     6311blo "-87000,800"
     6312)
     6313*204 (MLText
    49546314uid 43,0
    49556315va (VaSet
    49566316)
    4957 xt "0,1000,14500,11000"
     6317xt "-87000,1000,-72500,11000"
    49586318st "LIBRARY ieee;
    49596319USE ieee.std_logic_1164.all;
     
    49746334stg "VerticalLayoutStrategy"
    49756335textVec [
    4976 *160 (Text
     6336*205 (Text
    49776337uid 45,0
    49786338va (VaSet
     
    49846344blo "20000,800"
    49856345)
    4986 *161 (Text
     6346*206 (Text
    49876347uid 46,0
    49886348va (VaSet
     
    49946354blo "20000,1800"
    49956355)
    4996 *162 (MLText
     6356*207 (MLText
    49976357uid 47,0
    49986358va (VaSet
     
    50046364tm "BdCompilerDirectivesTextMgr"
    50056365)
    5006 *163 (Text
     6366*208 (Text
    50076367uid 48,0
    50086368va (VaSet
     
    50146374blo "20000,4800"
    50156375)
    5016 *164 (MLText
     6376*209 (MLText
    50176377uid 49,0
    50186378va (VaSet
     
    50226382tm "BdCompilerDirectivesTextMgr"
    50236383)
    5024 *165 (Text
     6384*210 (Text
    50256385uid 50,0
    50266386va (VaSet
     
    50326392blo "20000,5800"
    50336393)
    5034 *166 (MLText
     6394*211 (MLText
    50356395uid 51,0
    50366396va (VaSet
     
    50446404)
    50456405windowSize "0,22,1281,1024"
    5046 viewArea "18877,16600,102696,79721"
    5047 cachedDiagramExtent "0,0,146000,98000"
     6406viewArea "19400,45496,86243,97471"
     6407cachedDiagramExtent "-92000,0,146000,98000"
    50486408pageSetupInfo (PageSetupInfo
    50496409ptrCmd ""
     
    50566416)
    50576417hasePageBreakOrigin 1
    5058 pageBreakOrigin "0,0"
    5059 lastUid 1311,0
     6418pageBreakOrigin "-146000,0"
     6419lastUid 2004,0
    50606420defaultCommentText (CommentText
    50616421shape (Rectangle
     
    51196479stg "VerticalLayoutStrategy"
    51206480textVec [
    5121 *167 (Text
     6481*212 (Text
    51226482va (VaSet
    51236483font "Arial,8,1"
     
    51286488tm "BdLibraryNameMgr"
    51296489)
    5130 *168 (Text
     6490*213 (Text
    51316491va (VaSet
    51326492font "Arial,8,1"
     
    51376497tm "BlkNameMgr"
    51386498)
    5139 *169 (Text
     6499*214 (Text
    51406500va (VaSet
    51416501font "Arial,8,1"
     
    51886548stg "VerticalLayoutStrategy"
    51896549textVec [
    5190 *170 (Text
     6550*215 (Text
    51916551va (VaSet
    51926552font "Arial,8,1"
     
    51966556blo "550,4300"
    51976557)
    5198 *171 (Text
     6558*216 (Text
    51996559va (VaSet
    52006560font "Arial,8,1"
     
    52046564blo "550,5300"
    52056565)
    5206 *172 (Text
     6566*217 (Text
    52076567va (VaSet
    52086568font "Arial,8,1"
     
    52536613stg "VerticalLayoutStrategy"
    52546614textVec [
    5255 *173 (Text
     6615*218 (Text
    52566616va (VaSet
    52576617font "Arial,8,1"
     
    52626622tm "BdLibraryNameMgr"
    52636623)
    5264 *174 (Text
     6624*219 (Text
    52656625va (VaSet
    52666626font "Arial,8,1"
     
    52716631tm "CptNameMgr"
    52726632)
    5273 *175 (Text
     6633*220 (Text
    52746634va (VaSet
    52756635font "Arial,8,1"
     
    53256685stg "VerticalLayoutStrategy"
    53266686textVec [
    5327 *176 (Text
     6687*221 (Text
    53286688va (VaSet
    53296689font "Arial,8,1"
     
    53336693blo "500,4300"
    53346694)
    5335 *177 (Text
     6695*222 (Text
    53366696va (VaSet
    53376697font "Arial,8,1"
     
    53416701blo "500,5300"
    53426702)
    5343 *178 (Text
     6703*223 (Text
    53446704va (VaSet
    53456705font "Arial,8,1"
     
    53866746stg "VerticalLayoutStrategy"
    53876747textVec [
    5388 *179 (Text
     6748*224 (Text
    53896749va (VaSet
    53906750font "Arial,8,1"
     
    53946754blo "50,4300"
    53956755)
    5396 *180 (Text
     6756*225 (Text
    53976757va (VaSet
    53986758font "Arial,8,1"
     
    54026762blo "50,5300"
    54036763)
    5404 *181 (Text
     6764*226 (Text
    54056765va (VaSet
    54066766font "Arial,8,1"
     
    54436803stg "VerticalLayoutStrategy"
    54446804textVec [
    5445 *182 (Text
     6805*227 (Text
    54466806va (VaSet
    54476807font "Arial,8,1"
     
    54526812tm "HdlTextNameMgr"
    54536813)
    5454 *183 (Text
     6814*228 (Text
    54556815va (VaSet
    54566816font "Arial,8,1"
     
    58557215stg "VerticalLayoutStrategy"
    58567216textVec [
    5857 *184 (Text
     7217*229 (Text
    58587218va (VaSet
    58597219font "Arial,8,1"
     
    58637223blo "14100,20800"
    58647224)
    5865 *185 (MLText
     7225*230 (MLText
    58667226va (VaSet
    58677227)
     
    59157275stg "VerticalLayoutStrategy"
    59167276textVec [
    5917 *186 (Text
     7277*231 (Text
    59187278va (VaSet
    59197279font "Arial,8,1"
     
    59237283blo "14100,20800"
    59247284)
    5925 *187 (MLText
     7285*232 (MLText
    59267286va (VaSet
    59277287)
     
    60047364font "Arial,8,1"
    60057365)
    6006 xt "0,12600,5400,13600"
     7366xt "-92000,21600,-86600,22600"
    60077367st "Declarations"
    6008 blo "0,13400"
     7368blo "-92000,22400"
    60097369)
    60107370portLabel (Text
     
    60137373font "Arial,8,1"
    60147374)
    6015 xt "0,13600,2700,14600"
     7375xt "-92000,22600,-89300,23600"
    60167376st "Ports:"
    6017 blo "0,14400"
     7377blo "-92000,23400"
    60187378)
    60197379preUserLabel (Text
     
    60237383font "Arial,8,1"
    60247384)
    6025 xt "0,12600,3800,13600"
     7385xt "-92000,21600,-88200,22600"
    60267386st "Pre User:"
    6027 blo "0,13400"
     7387blo "-92000,22400"
    60287388)
    60297389preUserText (MLText
     
    60337393font "Courier New,8,0"
    60347394)
    6035 xt "0,12600,0,12600"
     7395xt "-92000,21600,-92000,21600"
    60367396tm "BdDeclarativeTextMgr"
    60377397)
     
    60417401font "Arial,8,1"
    60427402)
    6043 xt "0,14600,7100,15600"
     7403xt "-92000,23600,-84900,24600"
    60447404st "Diagram Signals:"
    6045 blo "0,15400"
     7405blo "-92000,24400"
    60467406)
    60477407postUserLabel (Text
     
    60517411font "Arial,8,1"
    60527412)
    6053 xt "0,12600,4700,13600"
     7413xt "-92000,21600,-87300,22600"
    60547414st "Post User:"
    6055 blo "0,13400"
     7415blo "-92000,22400"
    60567416)
    60577417postUserText (MLText
     
    60617421font "Courier New,8,0"
    60627422)
    6063 xt "0,12600,0,12600"
     7423xt "-92000,21600,-92000,21600"
    60647424tm "BdDeclarativeTextMgr"
    60657425)
     
    60677427commonDM (CommonDM
    60687428ldm (LogicalDM
    6069 suid 39,0
     7429suid 51,0
    60707430usingSuid 1
    6071 emptyRow *188 (LEmptyRow
     7431emptyRow *233 (LEmptyRow
    60727432)
    60737433uid 54,0
    60747434optionalChildren [
    6075 *189 (RefLabelRowHdr
    6076 )
    6077 *190 (TitleRowHdr
    6078 )
    6079 *191 (FilterRowHdr
    6080 )
    6081 *192 (RefLabelColHdr
     7435*234 (RefLabelRowHdr
     7436)
     7437*235 (TitleRowHdr
     7438)
     7439*236 (FilterRowHdr
     7440)
     7441*237 (RefLabelColHdr
    60827442tm "RefLabelColHdrMgr"
    60837443)
    6084 *193 (RowExpandColHdr
     7444*238 (RowExpandColHdr
    60857445tm "RowExpandColHdrMgr"
    60867446)
    6087 *194 (GroupColHdr
     7447*239 (GroupColHdr
    60887448tm "GroupColHdrMgr"
    60897449)
    6090 *195 (NameColHdr
     7450*240 (NameColHdr
    60917451tm "BlockDiagramNameColHdrMgr"
    60927452)
    6093 *196 (ModeColHdr
     7453*241 (ModeColHdr
    60947454tm "BlockDiagramModeColHdrMgr"
    60957455)
    6096 *197 (TypeColHdr
     7456*242 (TypeColHdr
    60977457tm "BlockDiagramTypeColHdrMgr"
    60987458)
    6099 *198 (BoundsColHdr
     7459*243 (BoundsColHdr
    61007460tm "BlockDiagramBoundsColHdrMgr"
    61017461)
    6102 *199 (InitColHdr
     7462*244 (InitColHdr
    61037463tm "BlockDiagramInitColHdrMgr"
    61047464)
    6105 *200 (EolColHdr
     7465*245 (EolColHdr
    61067466tm "BlockDiagramEolColHdrMgr"
    61077467)
    6108 *201 (LeafLogPort
     7468*246 (LeafLogPort
    61097469port (LogicalPort
    61107470m 4
     
    61207480uid 340,0
    61217481)
    6122 *202 (LeafLogPort
     7482*247 (LeafLogPort
    61237483port (LogicalPort
    61247484m 4
     
    61337493uid 342,0
    61347494)
    6135 *203 (LeafLogPort
     7495*248 (LeafLogPort
    61367496port (LogicalPort
    61377497m 4
     
    61467506uid 344,0
    61477507)
    6148 *204 (LeafLogPort
     7508*249 (LeafLogPort
    61497509port (LogicalPort
    61507510m 4
     
    61597519uid 346,0
    61607520)
    6161 *205 (LeafLogPort
     7521*250 (LeafLogPort
    61627522port (LogicalPort
    61637523m 4
     
    61727532uid 348,0
    61737533)
    6174 *206 (LeafLogPort
     7534*251 (LeafLogPort
    61757535port (LogicalPort
    61767536m 4
     
    61857545uid 404,0
    61867546)
    6187 *207 (LeafLogPort
     7547*252 (LeafLogPort
    61887548port (LogicalPort
    61897549m 4
     
    61977557uid 406,0
    61987558)
    6199 *208 (LeafLogPort
     7559*253 (LeafLogPort
    62007560port (LogicalPort
    62017561m 4
     
    62117571uid 408,0
    62127572)
    6213 *209 (LeafLogPort
     7573*254 (LeafLogPort
    62147574port (LogicalPort
    62157575m 4
     
    62257585uid 456,0
    62267586)
    6227 *210 (LeafLogPort
     7587*255 (LeafLogPort
    62287588port (LogicalPort
    62297589m 4
     
    62407600uid 458,0
    62417601)
    6242 *211 (LeafLogPort
     7602*256 (LeafLogPort
    62437603port (LogicalPort
    62447604m 4
     
    62537613uid 460,0
    62547614)
    6255 *212 (LeafLogPort
     7615*257 (LeafLogPort
    62567616port (LogicalPort
    62577617m 4
     
    62667626uid 584,0
    62677627)
    6268 *213 (LeafLogPort
     7628*258 (LeafLogPort
    62697629port (LogicalPort
    62707630m 4
     
    62787638uid 586,0
    62797639)
    6280 *214 (LeafLogPort
     7640*259 (LeafLogPort
    62817641port (LogicalPort
    62827642m 4
     
    62927652uid 588,0
    62937653)
    6294 *215 (LeafLogPort
     7654*260 (LeafLogPort
    62957655port (LogicalPort
    62967656m 4
     
    63067666uid 590,0
    63077667)
    6308 *216 (LeafLogPort
     7668*261 (LeafLogPort
    63097669port (LogicalPort
    63107670m 4
     
    63217681uid 592,0
    63227682)
    6323 *217 (LeafLogPort
     7683*262 (LeafLogPort
    63247684port (LogicalPort
    63257685m 4
     
    63347694uid 903,0
    63357695)
    6336 *218 (LeafLogPort
     7696*263 (LeafLogPort
    63377697port (LogicalPort
    63387698m 4
     
    63497709uid 905,0
    63507710)
    6351 *219 (LeafLogPort
     7711*264 (LeafLogPort
    63527712port (LogicalPort
    63537713m 4
     
    63627722uid 907,0
    63637723)
    6364 *220 (LeafLogPort
     7724*265 (LeafLogPort
    63657725port (LogicalPort
    63667726m 4
     
    63747734uid 909,0
    63757735)
    6376 *221 (LeafLogPort
     7736*266 (LeafLogPort
    63777737port (LogicalPort
    63787738m 4
     
    63867746uid 911,0
    63877747)
    6388 *222 (LeafLogPort
     7748*267 (LeafLogPort
    63897749port (LogicalPort
    63907750m 4
     
    63997759uid 913,0
    64007760)
    6401 *223 (LeafLogPort
     7761*268 (LeafLogPort
    64027762port (LogicalPort
    64037763m 4
     
    64147774uid 915,0
    64157775)
    6416 *224 (LeafLogPort
     7776*269 (LeafLogPort
    64177777port (LogicalPort
    64187778m 4
     
    64267786uid 917,0
    64277787)
    6428 *225 (LeafLogPort
     7788*270 (LeafLogPort
    64297789port (LogicalPort
    64307790m 4
     
    64387798uid 919,0
    64397799)
    6440 *226 (LeafLogPort
     7800*271 (LeafLogPort
    64417801port (LogicalPort
    64427802m 4
     
    64527812uid 921,0
    64537813)
    6454 *227 (LeafLogPort
     7814*272 (LeafLogPort
    64557815port (LogicalPort
    64567816m 4
     
    64657825uid 923,0
    64667826)
    6467 *228 (LeafLogPort
     7827*273 (LeafLogPort
    64687828port (LogicalPort
    64697829m 4
     
    64787838uid 925,0
    64797839)
    6480 *229 (LeafLogPort
     7840*274 (LeafLogPort
    64817841port (LogicalPort
    64827842m 4
     
    64917851uid 927,0
    64927852)
    6493 *230 (LeafLogPort
     7853*275 (LeafLogPort
    64947854port (LogicalPort
    64957855m 4
     
    65037863uid 929,0
    65047864)
    6505 *231 (LeafLogPort
     7865*276 (LeafLogPort
    65067866port (LogicalPort
    65077867m 4
     
    65157875uid 931,0
    65167876)
    6517 *232 (LeafLogPort
     7877*277 (LeafLogPort
    65187878port (LogicalPort
    65197879m 4
     
    65277887uid 933,0
    65287888)
    6529 *233 (LeafLogPort
     7889*278 (LeafLogPort
    65307890port (LogicalPort
    65317891m 4
     
    65387898)
    65397899uid 935,0
     7900)
     7901*279 (LeafLogPort
     7902port (LogicalPort
     7903m 4
     7904decl (Decl
     7905n "SRIN_out"
     7906t "std_logic"
     7907o 34
     7908suid 40,0
     7909i "'0'"
     7910)
     7911)
     7912uid 1541,0
     7913)
     7914*280 (LeafLogPort
     7915port (LogicalPort
     7916m 4
     7917decl (Decl
     7918n "amber"
     7919t "std_logic"
     7920o 35
     7921suid 41,0
     7922)
     7923)
     7924uid 1543,0
     7925)
     7926*281 (LeafLogPort
     7927port (LogicalPort
     7928m 4
     7929decl (Decl
     7930n "red"
     7931t "std_logic"
     7932o 36
     7933suid 42,0
     7934)
     7935)
     7936uid 1545,0
     7937)
     7938*282 (LeafLogPort
     7939port (LogicalPort
     7940m 4
     7941decl (Decl
     7942n "green"
     7943t "std_logic"
     7944o 37
     7945suid 43,0
     7946)
     7947)
     7948uid 1547,0
     7949)
     7950*283 (LeafLogPort
     7951port (LogicalPort
     7952m 4
     7953decl (Decl
     7954n "counter_result"
     7955t "std_logic_vector"
     7956b "(11 DOWNTO 0)"
     7957o 38
     7958suid 44,0
     7959)
     7960)
     7961uid 1549,0
     7962)
     7963*284 (LeafLogPort
     7964port (LogicalPort
     7965m 4
     7966decl (Decl
     7967n "alarm_refclk_too_low"
     7968t "std_logic"
     7969posAdd 0
     7970o 39
     7971suid 45,0
     7972)
     7973)
     7974uid 1551,0
     7975)
     7976*285 (LeafLogPort
     7977port (LogicalPort
     7978m 4
     7979decl (Decl
     7980n "alarm_refclk_too_high"
     7981t "std_logic"
     7982o 40
     7983suid 46,0
     7984)
     7985)
     7986uid 1553,0
     7987)
     7988*286 (LeafLogPort
     7989port (LogicalPort
     7990m 4
     7991decl (Decl
     7992n "D_T_in"
     7993t "std_logic_vector"
     7994b "(1 DOWNTO 0)"
     7995o 41
     7996suid 47,0
     7997)
     7998)
     7999uid 1555,0
     8000)
     8001*287 (LeafLogPort
     8002port (LogicalPort
     8003m 4
     8004decl (Decl
     8005n "plllock_in"
     8006t "std_logic_vector"
     8007b "(3 DOWNTO 0)"
     8008eolc "-- high level, if dominowave is running and DRS PLL locked"
     8009o 43
     8010suid 49,0
     8011)
     8012)
     8013uid 1575,0
     8014)
     8015*288 (LeafLogPort
     8016port (LogicalPort
     8017lang 2
     8018m 4
     8019decl (Decl
     8020n "ADC_CLK"
     8021t "std_logic"
     8022o 44
     8023suid 50,0
     8024)
     8025)
     8026uid 1690,0
     8027)
     8028*289 (LeafLogPort
     8029port (LogicalPort
     8030m 4
     8031decl (Decl
     8032n "REF_CLK"
     8033t "STD_LOGIC"
     8034o 42
     8035suid 51,0
     8036i "'0'"
     8037)
     8038)
     8039uid 2003,0
    65408040)
    65418041]
     
    65468046uid 67,0
    65478047optionalChildren [
    6548 *234 (Sheet
     8048*290 (Sheet
    65498049sheetRow (SheetRow
    65508050headerVa (MVa
     
    65638063font "Tahoma,10,0"
    65648064)
    6565 emptyMRCItem *235 (MRCItem
    6566 litem &188
    6567 pos 33
     8065emptyMRCItem *291 (MRCItem
     8066litem &233
     8067pos 44
    65688068dimension 20
    65698069)
    65708070uid 69,0
    65718071optionalChildren [
    6572 *236 (MRCItem
    6573 litem &189
     8072*292 (MRCItem
     8073litem &234
    65748074pos 0
    65758075dimension 20
    65768076uid 70,0
    65778077)
    6578 *237 (MRCItem
    6579 litem &190
     8078*293 (MRCItem
     8079litem &235
    65808080pos 1
    65818081dimension 23
    65828082uid 71,0
    65838083)
    6584 *238 (MRCItem
    6585 litem &191
     8084*294 (MRCItem
     8085litem &236
    65868086pos 2
    65878087hidden 1
     
    65898089uid 72,0
    65908090)
    6591 *239 (MRCItem
    6592 litem &201
     8091*295 (MRCItem
     8092litem &246
    65938093pos 0
    65948094dimension 20
    65958095uid 341,0
    65968096)
    6597 *240 (MRCItem
    6598 litem &202
     8097*296 (MRCItem
     8098litem &247
    65998099pos 1
    66008100dimension 20
    66018101uid 343,0
    66028102)
    6603 *241 (MRCItem
    6604 litem &203
     8103*297 (MRCItem
     8104litem &248
    66058105pos 2
    66068106dimension 20
    66078107uid 345,0
    66088108)
    6609 *242 (MRCItem
    6610 litem &204
     8109*298 (MRCItem
     8110litem &249
    66118111pos 3
    66128112dimension 20
    66138113uid 347,0
    66148114)
    6615 *243 (MRCItem
    6616 litem &205
     8115*299 (MRCItem
     8116litem &250
    66178117pos 4
    66188118dimension 20
    66198119uid 349,0
    66208120)
    6621 *244 (MRCItem
    6622 litem &206
     8121*300 (MRCItem
     8122litem &251
    66238123pos 5
    66248124dimension 20
    66258125uid 405,0
    66268126)
    6627 *245 (MRCItem
    6628 litem &207
     8127*301 (MRCItem
     8128litem &252
    66298129pos 6
    66308130dimension 20
    66318131uid 407,0
    66328132)
    6633 *246 (MRCItem
    6634 litem &208
     8133*302 (MRCItem
     8134litem &253
    66358135pos 7
    66368136dimension 20
    66378137uid 409,0
    66388138)
    6639 *247 (MRCItem
    6640 litem &209
     8139*303 (MRCItem
     8140litem &254
    66418141pos 8
    66428142dimension 20
    66438143uid 457,0
    66448144)
    6645 *248 (MRCItem
    6646 litem &210
     8145*304 (MRCItem
     8146litem &255
    66478147pos 9
    66488148dimension 20
    66498149uid 459,0
    66508150)
    6651 *249 (MRCItem
    6652 litem &211
     8151*305 (MRCItem
     8152litem &256
    66538153pos 10
    66548154dimension 20
    66558155uid 461,0
    66568156)
    6657 *250 (MRCItem
    6658 litem &212
     8157*306 (MRCItem
     8158litem &257
    66598159pos 11
    66608160dimension 20
    66618161uid 585,0
    66628162)
    6663 *251 (MRCItem
    6664 litem &213
     8163*307 (MRCItem
     8164litem &258
    66658165pos 12
    66668166dimension 20
    66678167uid 587,0
    66688168)
    6669 *252 (MRCItem
    6670 litem &214
     8169*308 (MRCItem
     8170litem &259
    66718171pos 13
    66728172dimension 20
    66738173uid 589,0
    66748174)
    6675 *253 (MRCItem
    6676 litem &215
     8175*309 (MRCItem
     8176litem &260
    66778177pos 14
    66788178dimension 20
    66798179uid 591,0
    66808180)
    6681 *254 (MRCItem
    6682 litem &216
     8181*310 (MRCItem
     8182litem &261
    66838183pos 15
    66848184dimension 20
    66858185uid 593,0
    66868186)
    6687 *255 (MRCItem
    6688 litem &217
     8187*311 (MRCItem
     8188litem &262
    66898189pos 16
    66908190dimension 20
    66918191uid 904,0
    66928192)
    6693 *256 (MRCItem
    6694 litem &218
     8193*312 (MRCItem
     8194litem &263
    66958195pos 17
    66968196dimension 20
    66978197uid 906,0
    66988198)
    6699 *257 (MRCItem
    6700 litem &219
     8199*313 (MRCItem
     8200litem &264
    67018201pos 18
    67028202dimension 20
    67038203uid 908,0
    67048204)
    6705 *258 (MRCItem
    6706 litem &220
     8205*314 (MRCItem
     8206litem &265
    67078207pos 19
    67088208dimension 20
    67098209uid 910,0
    67108210)
    6711 *259 (MRCItem
    6712 litem &221
     8211*315 (MRCItem
     8212litem &266
    67138213pos 20
    67148214dimension 20
    67158215uid 912,0
    67168216)
    6717 *260 (MRCItem
    6718 litem &222
     8217*316 (MRCItem
     8218litem &267
    67198219pos 21
    67208220dimension 20
    67218221uid 914,0
    67228222)
    6723 *261 (MRCItem
    6724 litem &223
     8223*317 (MRCItem
     8224litem &268
    67258225pos 22
    67268226dimension 20
    67278227uid 916,0
    67288228)
    6729 *262 (MRCItem
    6730 litem &224
     8229*318 (MRCItem
     8230litem &269
    67318231pos 23
    67328232dimension 20
    67338233uid 918,0
    67348234)
    6735 *263 (MRCItem
    6736 litem &225
     8235*319 (MRCItem
     8236litem &270
    67378237pos 24
    67388238dimension 20
    67398239uid 920,0
    67408240)
    6741 *264 (MRCItem
    6742 litem &226
     8241*320 (MRCItem
     8242litem &271
    67438243pos 25
    67448244dimension 20
    67458245uid 922,0
    67468246)
    6747 *265 (MRCItem
    6748 litem &227
     8247*321 (MRCItem
     8248litem &272
    67498249pos 26
    67508250dimension 20
    67518251uid 924,0
    67528252)
    6753 *266 (MRCItem
    6754 litem &228
     8253*322 (MRCItem
     8254litem &273
    67558255pos 27
    67568256dimension 20
    67578257uid 926,0
    67588258)
    6759 *267 (MRCItem
    6760 litem &229
     8259*323 (MRCItem
     8260litem &274
    67618261pos 28
    67628262dimension 20
    67638263uid 928,0
    67648264)
    6765 *268 (MRCItem
    6766 litem &230
     8265*324 (MRCItem
     8266litem &275
    67678267pos 29
    67688268dimension 20
    67698269uid 930,0
    67708270)
    6771 *269 (MRCItem
    6772 litem &231
     8271*325 (MRCItem
     8272litem &276
    67738273pos 30
    67748274dimension 20
    67758275uid 932,0
    67768276)
    6777 *270 (MRCItem
    6778 litem &232
     8277*326 (MRCItem
     8278litem &277
    67798279pos 31
    67808280dimension 20
    67818281uid 934,0
    67828282)
    6783 *271 (MRCItem
    6784 litem &233
     8283*327 (MRCItem
     8284litem &278
    67858285pos 32
    67868286dimension 20
    67878287uid 936,0
     8288)
     8289*328 (MRCItem
     8290litem &279
     8291pos 33
     8292dimension 20
     8293uid 1542,0
     8294)
     8295*329 (MRCItem
     8296litem &280
     8297pos 34
     8298dimension 20
     8299uid 1544,0
     8300)
     8301*330 (MRCItem
     8302litem &281
     8303pos 35
     8304dimension 20
     8305uid 1546,0
     8306)
     8307*331 (MRCItem
     8308litem &282
     8309pos 36
     8310dimension 20
     8311uid 1548,0
     8312)
     8313*332 (MRCItem
     8314litem &283
     8315pos 37
     8316dimension 20
     8317uid 1550,0
     8318)
     8319*333 (MRCItem
     8320litem &284
     8321pos 38
     8322dimension 20
     8323uid 1552,0
     8324)
     8325*334 (MRCItem
     8326litem &285
     8327pos 39
     8328dimension 20
     8329uid 1554,0
     8330)
     8331*335 (MRCItem
     8332litem &286
     8333pos 40
     8334dimension 20
     8335uid 1556,0
     8336)
     8337*336 (MRCItem
     8338litem &287
     8339pos 41
     8340dimension 20
     8341uid 1576,0
     8342)
     8343*337 (MRCItem
     8344litem &288
     8345pos 42
     8346dimension 20
     8347uid 1691,0
     8348)
     8349*338 (MRCItem
     8350litem &289
     8351pos 43
     8352dimension 20
     8353uid 2004,0
    67888354)
    67898355]
     
    67988364uid 73,0
    67998365optionalChildren [
    6800 *272 (MRCItem
    6801 litem &192
     8366*339 (MRCItem
     8367litem &237
    68028368pos 0
    68038369dimension 20
    68048370uid 74,0
    68058371)
    6806 *273 (MRCItem
    6807 litem &194
     8372*340 (MRCItem
     8373litem &239
    68088374pos 1
    68098375dimension 50
    68108376uid 75,0
    68118377)
    6812 *274 (MRCItem
    6813 litem &195
     8378*341 (MRCItem
     8379litem &240
    68148380pos 2
    68158381dimension 100
    68168382uid 76,0
    68178383)
    6818 *275 (MRCItem
    6819 litem &196
     8384*342 (MRCItem
     8385litem &241
    68208386pos 3
    68218387dimension 50
    68228388uid 77,0
    68238389)
    6824 *276 (MRCItem
    6825 litem &197
     8390*343 (MRCItem
     8391litem &242
    68268392pos 4
    68278393dimension 100
    68288394uid 78,0
    68298395)
    6830 *277 (MRCItem
    6831 litem &198
     8396*344 (MRCItem
     8397litem &243
    68328398pos 5
    68338399dimension 100
    68348400uid 79,0
    68358401)
    6836 *278 (MRCItem
    6837 litem &199
     8402*345 (MRCItem
     8403litem &244
    68388404pos 6
    68398405dimension 50
    68408406uid 80,0
    68418407)
    6842 *279 (MRCItem
    6843 litem &200
     8408*346 (MRCItem
     8409litem &245
    68448410pos 7
    68458411dimension 80
     
    68618427genericsCommonDM (CommonDM
    68628428ldm (LogicalDM
    6863 emptyRow *280 (LEmptyRow
     8429emptyRow *347 (LEmptyRow
    68648430)
    68658431uid 83,0
    68668432optionalChildren [
    6867 *281 (RefLabelRowHdr
    6868 )
    6869 *282 (TitleRowHdr
    6870 )
    6871 *283 (FilterRowHdr
    6872 )
    6873 *284 (RefLabelColHdr
     8433*348 (RefLabelRowHdr
     8434)
     8435*349 (TitleRowHdr
     8436)
     8437*350 (FilterRowHdr
     8438)
     8439*351 (RefLabelColHdr
    68748440tm "RefLabelColHdrMgr"
    68758441)
    6876 *285 (RowExpandColHdr
     8442*352 (RowExpandColHdr
    68778443tm "RowExpandColHdrMgr"
    68788444)
    6879 *286 (GroupColHdr
     8445*353 (GroupColHdr
    68808446tm "GroupColHdrMgr"
    68818447)
    6882 *287 (NameColHdr
     8448*354 (NameColHdr
    68838449tm "GenericNameColHdrMgr"
    68848450)
    6885 *288 (TypeColHdr
     8451*355 (TypeColHdr
    68868452tm "GenericTypeColHdrMgr"
    68878453)
    6888 *289 (InitColHdr
     8454*356 (InitColHdr
    68898455tm "GenericValueColHdrMgr"
    68908456)
    6891 *290 (PragmaColHdr
     8457*357 (PragmaColHdr
    68928458tm "GenericPragmaColHdrMgr"
    68938459)
    6894 *291 (EolColHdr
     8460*358 (EolColHdr
    68958461tm "GenericEolColHdrMgr"
    68968462)
     
    69028468uid 95,0
    69038469optionalChildren [
    6904 *292 (Sheet
     8470*359 (Sheet
    69058471sheetRow (SheetRow
    69068472headerVa (MVa
     
    69198485font "Tahoma,10,0"
    69208486)
    6921 emptyMRCItem *293 (MRCItem
    6922 litem &280
     8487emptyMRCItem *360 (MRCItem
     8488litem &347
    69238489pos 0
    69248490dimension 20
     
    69268492uid 97,0
    69278493optionalChildren [
    6928 *294 (MRCItem
    6929 litem &281
     8494*361 (MRCItem
     8495litem &348
    69308496pos 0
    69318497dimension 20
    69328498uid 98,0
    69338499)
    6934 *295 (MRCItem
    6935 litem &282
     8500*362 (MRCItem
     8501litem &349
    69368502pos 1
    69378503dimension 23
    69388504uid 99,0
    69398505)
    6940 *296 (MRCItem
    6941 litem &283
     8506*363 (MRCItem
     8507litem &350
    69428508pos 2
    69438509hidden 1
     
    69568522uid 101,0
    69578523optionalChildren [
    6958 *297 (MRCItem
    6959 litem &284
     8524*364 (MRCItem
     8525litem &351
    69608526pos 0
    69618527dimension 20
    69628528uid 102,0
    69638529)
    6964 *298 (MRCItem
    6965 litem &286
     8530*365 (MRCItem
     8531litem &353
    69668532pos 1
    69678533dimension 50
    69688534uid 103,0
    69698535)
    6970 *299 (MRCItem
    6971 litem &287
     8536*366 (MRCItem
     8537litem &354
    69728538pos 2
    69738539dimension 100
    69748540uid 104,0
    69758541)
    6976 *300 (MRCItem
    6977 litem &288
     8542*367 (MRCItem
     8543litem &355
    69788544pos 3
    69798545dimension 100
    69808546uid 105,0
    69818547)
    6982 *301 (MRCItem
    6983 litem &289
     8548*368 (MRCItem
     8549litem &356
    69848550pos 4
    69858551dimension 50
    69868552uid 106,0
    69878553)
    6988 *302 (MRCItem
    6989 litem &290
     8554*369 (MRCItem
     8555litem &357
    69908556pos 5
    69918557dimension 50
    69928558uid 107,0
    69938559)
    6994 *303 (MRCItem
    6995 litem &291
     8560*370 (MRCItem
     8561litem &358
    69968562pos 6
    69978563dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/w5300_emulator/symbol.sb

    r9912 r10180  
    3131ldm (LogicalDM
    3232ordering 1
    33 suid 4,0
     33suid 5,0
    3434usingSuid 1
    3535emptyRow *1 (LEmptyRow
     
    7575n "addr"
    7676t "std_logic_vector"
    77 b "(9 downto 0)"
     77b "(9 DOWNTO 0)"
    7878preAdd 0
    7979posAdd 0
    80 o 1
     80o 2
    8181suid 1,0
    8282)
     
    9090n "data"
    9191t "std_logic_vector"
    92 b "(15 downto 0)"
     92b "(15 DOWNTO 0)"
    9393preAdd 0
    9494posAdd 0
    95 o 2
     95o 3
    9696suid 2,0
    9797)
     
    106106preAdd 0
    107107posAdd 0
    108 o 3
     108o 4
    109109suid 3,0
    110110)
     
    119119preAdd 0
    120120posAdd 0
    121 o 4
     121o 5
    122122suid 4,0
    123123)
    124124)
    125125uid 77,0
     126)
     127*18 (LogPort
     128port (LogicalPort
     129m 1
     130decl (Decl
     131n "int"
     132t "std_logic"
     133o 1
     134suid 5,0
     135i "'0'"
     136)
     137)
     138uid 169,0
    126139)
    127140]
     
    132145uid 97,0
    133146optionalChildren [
    134 *18 (Sheet
     147*19 (Sheet
    135148sheetRow (SheetRow
    136149headerVa (MVa
     
    149162font "Tahoma,10,0"
    150163)
    151 emptyMRCItem *19 (MRCItem
     164emptyMRCItem *20 (MRCItem
    152165litem &1
    153166pos 3
     
    156169uid 99,0
    157170optionalChildren [
    158 *20 (MRCItem
     171*21 (MRCItem
    159172litem &2
    160173pos 0
     
    162175uid 100,0
    163176)
    164 *21 (MRCItem
     177*22 (MRCItem
    165178litem &3
    166179pos 1
     
    168181uid 101,0
    169182)
    170 *22 (MRCItem
     183*23 (MRCItem
    171184litem &4
    172185pos 2
     
    175188uid 102,0
    176189)
    177 *23 (MRCItem
     190*24 (MRCItem
    178191litem &14
    179192pos 0
     
    181194uid 72,0
    182195)
    183 *24 (MRCItem
     196*25 (MRCItem
    184197litem &15
    185198pos 1
     
    187200uid 74,0
    188201)
    189 *25 (MRCItem
     202*26 (MRCItem
    190203litem &16
    191204pos 2
     
    193206uid 76,0
    194207)
    195 *26 (MRCItem
     208*27 (MRCItem
    196209litem &17
    197210pos 3
    198211dimension 20
    199212uid 78,0
     213)
     214*28 (MRCItem
     215litem &18
     216pos 4
     217dimension 20
     218uid 170,0
    200219)
    201220]
     
    210229uid 103,0
    211230optionalChildren [
    212 *27 (MRCItem
     231*29 (MRCItem
    213232litem &5
    214233pos 0
     
    216235uid 104,0
    217236)
    218 *28 (MRCItem
     237*30 (MRCItem
    219238litem &7
    220239pos 1
     
    222241uid 105,0
    223242)
    224 *29 (MRCItem
     243*31 (MRCItem
    225244litem &8
    226245pos 2
     
    228247uid 106,0
    229248)
    230 *30 (MRCItem
     249*32 (MRCItem
    231250litem &9
    232251pos 3
     
    234253uid 107,0
    235254)
    236 *31 (MRCItem
     255*33 (MRCItem
    237256litem &10
    238257pos 4
     
    240259uid 108,0
    241260)
    242 *32 (MRCItem
     261*34 (MRCItem
    243262litem &11
    244263pos 5
     
    246265uid 109,0
    247266)
    248 *33 (MRCItem
     267*35 (MRCItem
    249268litem &12
    250269pos 6
     
    252271uid 110,0
    253272)
    254 *34 (MRCItem
     273*36 (MRCItem
    255274litem &13
    256275pos 7
     
    273292genericsCommonDM (CommonDM
    274293ldm (LogicalDM
    275 emptyRow *35 (LEmptyRow
     294emptyRow *37 (LEmptyRow
    276295)
    277296uid 113,0
    278297optionalChildren [
    279 *36 (RefLabelRowHdr
    280 )
    281 *37 (TitleRowHdr
    282 )
    283 *38 (FilterRowHdr
    284 )
    285 *39 (RefLabelColHdr
     298*38 (RefLabelRowHdr
     299)
     300*39 (TitleRowHdr
     301)
     302*40 (FilterRowHdr
     303)
     304*41 (RefLabelColHdr
    286305tm "RefLabelColHdrMgr"
    287306)
    288 *40 (RowExpandColHdr
     307*42 (RowExpandColHdr
    289308tm "RowExpandColHdrMgr"
    290309)
    291 *41 (GroupColHdr
     310*43 (GroupColHdr
    292311tm "GroupColHdrMgr"
    293312)
    294 *42 (NameColHdr
     313*44 (NameColHdr
    295314tm "GenericNameColHdrMgr"
    296315)
    297 *43 (TypeColHdr
     316*45 (TypeColHdr
    298317tm "GenericTypeColHdrMgr"
    299318)
    300 *44 (InitColHdr
     319*46 (InitColHdr
    301320tm "GenericValueColHdrMgr"
    302321)
    303 *45 (PragmaColHdr
     322*47 (PragmaColHdr
    304323tm "GenericPragmaColHdrMgr"
    305324)
    306 *46 (EolColHdr
     325*48 (EolColHdr
    307326tm "GenericEolColHdrMgr"
    308327)
     
    314333uid 125,0
    315334optionalChildren [
    316 *47 (Sheet
     335*49 (Sheet
    317336sheetRow (SheetRow
    318337headerVa (MVa
     
    331350font "Tahoma,10,0"
    332351)
    333 emptyMRCItem *48 (MRCItem
    334 litem &35
     352emptyMRCItem *50 (MRCItem
     353litem &37
    335354pos 3
    336355dimension 20
     
    338357uid 127,0
    339358optionalChildren [
    340 *49 (MRCItem
    341 litem &36
     359*51 (MRCItem
     360litem &38
    342361pos 0
    343362dimension 20
    344363uid 128,0
    345364)
    346 *50 (MRCItem
    347 litem &37
     365*52 (MRCItem
     366litem &39
    348367pos 1
    349368dimension 23
    350369uid 129,0
    351370)
    352 *51 (MRCItem
    353 litem &38
     371*53 (MRCItem
     372litem &40
    354373pos 2
    355374hidden 1
     
    368387uid 131,0
    369388optionalChildren [
    370 *52 (MRCItem
    371 litem &39
     389*54 (MRCItem
     390litem &41
    372391pos 0
    373392dimension 20
    374393uid 132,0
    375394)
    376 *53 (MRCItem
    377 litem &41
     395*55 (MRCItem
     396litem &43
    378397pos 1
    379398dimension 50
    380399uid 133,0
    381400)
    382 *54 (MRCItem
    383 litem &42
     401*56 (MRCItem
     402litem &44
    384403pos 2
    385404dimension 100
    386405uid 134,0
    387406)
    388 *55 (MRCItem
    389 litem &43
     407*57 (MRCItem
     408litem &45
    390409pos 3
    391410dimension 100
    392411uid 135,0
    393412)
    394 *56 (MRCItem
    395 litem &44
     413*58 (MRCItem
     414litem &46
    396415pos 4
    397416dimension 50
    398417uid 136,0
    399418)
    400 *57 (MRCItem
    401 litem &45
     419*59 (MRCItem
     420litem &47
    402421pos 5
    403422dimension 50
    404423uid 137,0
    405424)
    406 *58 (MRCItem
    407 litem &46
     425*60 (MRCItem
     426litem &48
    408427pos 6
    409428dimension 80
     
    428447(vvPair
    429448variable "HDLDir"
    430 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
     449value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
    431450)
    432451(vvPair
    433452variable "HDSDir"
    434 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
     453value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    435454)
    436455(vvPair
    437456variable "SideDataDesignDir"
    438 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.info"
     457value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.info"
    439458)
    440459(vvPair
    441460variable "SideDataUserDir"
    442 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.user"
     461value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.user"
    443462)
    444463(vvPair
    445464variable "SourceDir"
    446 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
     465value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    447466)
    448467(vvPair
     
    456475(vvPair
    457476variable "config"
    458 value "%(unit)_config"
     477value "%(unit)_%(view)_config"
    459478)
    460479(vvPair
    461480variable "d"
    462 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
     481value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
    463482)
    464483(vvPair
    465484variable "d_logical"
    466 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
     485value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
    467486)
    468487(vvPair
    469488variable "date"
    470 value "23.06.2010"
     489value "25.02.2011"
    471490)
    472491(vvPair
    473492variable "day"
    474 value "Mi"
     493value "Fr"
    475494)
    476495(vvPair
    477496variable "day_long"
    478 value "Mittwoch"
     497value "Freitag"
    479498)
    480499(vvPair
    481500variable "dd"
    482 value "23"
     501value "25"
    483502)
    484503(vvPair
     
    508527(vvPair
    509528variable "host"
    510 value "EEPC8"
     529value "E5B-LABOR6"
    511530)
    512531(vvPair
     
    519538)
    520539(vvPair
     540variable "library_downstream_HdsLintPlugin"
     541value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
     542)
     543(vvPair
     544variable "library_downstream_ISEPARInvoke"
     545value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     546)
     547(vvPair
     548variable "library_downstream_ImpactInvoke"
     549value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     550)
     551(vvPair
     552variable "library_downstream_ModelSimCompiler"
     553value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
     554)
     555(vvPair
     556variable "library_downstream_XSTDataPrep"
     557value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     558)
     559(vvPair
    521560variable "mm"
    522 value "06"
     561value "02"
    523562)
    524563(vvPair
     
    528567(vvPair
    529568variable "month"
    530 value "Jun"
     569value "Feb"
    531570)
    532571(vvPair
    533572variable "month_long"
    534 value "Juni"
     573value "Februar"
    535574)
    536575(vvPair
    537576variable "p"
    538 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
     577value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
    539578)
    540579(vvPair
    541580variable "p_logical"
    542 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
     581value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
    543582)
    544583(vvPair
     
    564603(vvPair
    565604variable "task_ModelSimPath"
    566 value "$HDS_HOME/../Modeltech/win32"
     605value "C:\\modeltech_6.6a\\win32"
    567606)
    568607(vvPair
     
    572611(vvPair
    573612variable "task_PrecisionRTLPath"
    574 value "$HDS_HOME/../Precision/Mgc_home/bin"
     613value "<TBD>"
    575614)
    576615(vvPair
     
    596635(vvPair
    597636variable "time"
    598 value "10:45:51"
     637value "13:51:16"
    599638)
    600639(vvPair
     
    604643(vvPair
    605644variable "user"
    606 value "Benjamin Krumm"
     645value "dneise"
    607646)
    608647(vvPair
     
    616655(vvPair
    617656variable "year"
    618 value "2010"
     657value "2011"
    619658)
    620659(vvPair
    621660variable "yy"
    622 value "10"
     661value "11"
    623662)
    624663]
     
    627666uid 82,0
    628667optionalChildren [
    629 *59 (SymbolBody
     668*61 (SymbolBody
    630669uid 8,0
    631670optionalChildren [
    632 *60 (CptPort
     671*62 (CptPort
    633672uid 48,0
    634673ps "OnEdgeStrategy"
     
    661700font "Courier New,8,0"
    662701)
    663 xt "2000,11000,26500,11800"
    664 st "addr : IN     std_logic_vector (9 downto 0) ;"
     702xt "2000,11800,26500,12600"
     703st "addr : IN     std_logic_vector (9 DOWNTO 0) ;
     704"
    665705)
    666706thePort (LogicalPort
     
    668708n "addr"
    669709t "std_logic_vector"
    670 b "(9 downto 0)"
     710b "(9 DOWNTO 0)"
    671711preAdd 0
    672712posAdd 0
    673 o 1
     713o 2
    674714suid 1,0
    675715)
    676716)
    677717)
    678 *61 (CptPort
     718*63 (CptPort
    679719uid 53,0
    680720ps "OnEdgeStrategy"
     
    707747font "Courier New,8,0"
    708748)
    709 xt "2000,11800,27000,12600"
    710 st "data : INOUT  std_logic_vector (15 downto 0) ;"
     749xt "2000,12600,27000,13400"
     750st "data : INOUT  std_logic_vector (15 DOWNTO 0) ;
     751"
    711752)
    712753thePort (LogicalPort
     
    715756n "data"
    716757t "std_logic_vector"
    717 b "(15 downto 0)"
     758b "(15 DOWNTO 0)"
    718759preAdd 0
    719760posAdd 0
    720 o 2
     761o 3
    721762suid 2,0
    722763)
    723764)
    724765)
    725 *62 (CptPort
     766*64 (CptPort
    726767uid 58,0
    727768ps "OnEdgeStrategy"
     
    754795font "Courier New,8,0"
    755796)
    756 xt "2000,12600,16500,13400"
    757 st "rd   : IN     std_logic  ;"
     797xt "2000,13400,16500,14200"
     798st "rd   : IN     std_logic  ;
     799"
    758800)
    759801thePort (LogicalPort
     
    763805preAdd 0
    764806posAdd 0
    765 o 3
     807o 4
    766808suid 3,0
    767809)
    768810)
    769811)
    770 *63 (CptPort
     812*65 (CptPort
    771813uid 63,0
    772814ps "OnEdgeStrategy"
     
    799841font "Courier New,8,0"
    800842)
    801 xt "2000,13400,15500,14200"
    802 st "wr   : IN     std_logic "
     843xt "2000,14200,15500,15000"
     844st "wr   : IN     std_logic
     845"
    803846)
    804847thePort (LogicalPort
     
    808851preAdd 0
    809852posAdd 0
    810 o 4
     853o 5
    811854suid 4,0
    812855)
    813856)
    814857)
     858*66 (CptPort
     859uid 163,0
     860ps "OnEdgeStrategy"
     861shape (Triangle
     862uid 164,0
     863ro 90
     864va (VaSet
     865vasetType 1
     866fg "0,65535,0"
     867)
     868xt "39000,1625,39750,2375"
     869)
     870tg (CPTG
     871uid 165,0
     872ps "CptPortTextPlaceStrategy"
     873stg "RightVerticalLayoutStrategy"
     874f (Text
     875uid 166,0
     876va (VaSet
     877)
     878xt "36800,1500,38000,2500"
     879st "int"
     880ju 2
     881blo "38000,2300"
     882tm "CptPortNameMgr"
     883)
     884t (Text
     885uid 167,0
     886va (VaSet
     887)
     888xt "36800,2500,38000,3500"
     889st "'0'"
     890ju 2
     891blo "38000,3300"
     892tm "InitValueDelayMgr"
     893)
     894)
     895dt (MLText
     896uid 168,0
     897va (VaSet
     898font "Courier New,8,0"
     899)
     900xt "2000,11000,20000,11800"
     901st "int  : OUT    std_logic  := '0' ;
     902"
     903)
     904thePort (LogicalPort
     905m 1
     906decl (Decl
     907n "int"
     908t "std_logic"
     909o 1
     910suid 5,0
     911i "'0'"
     912)
     913)
     914)
    815915]
    816916shape (Rectangle
     917uid 162,0
    817918va (VaSet
    818919vasetType 1
     
    846947)
    847948)
    848 gi *64 (GenericInterface
     949gi *67 (GenericInterface
    849950uid 13,0
    850951ps "CenterOffsetStrategy"
     
    873974)
    874975)
    875 *65 (Grouping
     976*68 (Grouping
    876977uid 16,0
    877978optionalChildren [
    878 *66 (CommentText
     979*69 (CommentText
    879980uid 18,0
    880981shape (Rectangle
     
    9071008titleBlock 1
    9081009)
    909 *67 (CommentText
     1010*70 (CommentText
    9101011uid 21,0
    9111012shape (Rectangle
     
    9381039titleBlock 1
    9391040)
    940 *68 (CommentText
     1041*71 (CommentText
    9411042uid 24,0
    9421043shape (Rectangle
     
    9691070titleBlock 1
    9701071)
    971 *69 (CommentText
     1072*72 (CommentText
    9721073uid 27,0
    9731074shape (Rectangle
     
    10001101titleBlock 1
    10011102)
    1002 *70 (CommentText
     1103*73 (CommentText
    10031104uid 30,0
    10041105shape (Rectangle
     
    10301131titleBlock 1
    10311132)
    1032 *71 (CommentText
     1133*74 (CommentText
    10331134uid 33,0
    10341135shape (Rectangle
     
    10611162titleBlock 1
    10621163)
    1063 *72 (CommentText
     1164*75 (CommentText
    10641165uid 36,0
    10651166shape (Rectangle
     
    10931194titleBlock 1
    10941195)
    1095 *73 (CommentText
     1196*76 (CommentText
    10961197uid 39,0
    10971198shape (Rectangle
     
    11241225titleBlock 1
    11251226)
    1126 *74 (CommentText
     1227*77 (CommentText
    11271228uid 42,0
    11281229shape (Rectangle
     
    11551256titleBlock 1
    11561257)
    1157 *75 (CommentText
     1258*78 (CommentText
    11581259uid 45,0
    11591260shape (Rectangle
     
    11991300oxt "14000,66000,55000,71000"
    12001301)
    1201 *76 (CommentText
     1302*79 (CommentText
    12021303uid 68,0
    12031304shape (Rectangle
     
    12421343color "26368,26368,26368"
    12431344)
    1244 packageList *77 (PackageList
     1345packageList *80 (PackageList
    12451346uid 79,0
    12461347stg "VerticalLayoutStrategy"
    12471348textVec [
    1248 *78 (Text
     1349*81 (Text
    12491350uid 80,0
    12501351va (VaSet
     
    12551356blo "0,1800"
    12561357)
    1257 *79 (MLText
     1358*82 (MLText
    12581359uid 81,0
    12591360va (VaSet
     
    13561457)
    13571458)
    1358 gi *80 (GenericInterface
     1459gi *83 (GenericInterface
    13591460ps "CenterOffsetStrategy"
    13601461matrix (Matrix
     
    14531554)
    14541555)
    1455 DeclarativeBlock *81 (SymDeclBlock
     1556DeclarativeBlock *84 (SymDeclBlock
    14561557uid 1,0
    14571558stg "SymDeclLayoutStrategy"
     
    14791580font "Arial,8,1"
    14801581)
    1481 xt "0,14200,2400,15200"
     1582xt "0,15000,2400,16000"
    14821583st "User:"
    1483 blo "0,15000"
     1584blo "0,15800"
    14841585)
    14851586internalLabel (Text
     
    14981599font "Courier New,8,0"
    14991600)
    1500 xt "2000,15200,2000,15200"
     1601xt "2000,16000,2000,16000"
    15011602tm "SyDeclarativeTextMgr"
    15021603)
     
    15111612)
    15121613)
    1513 lastUid 138,0
     1614lastUid 170,0
    15141615activeModelName "Symbol:CDM"
    15151616)
Note: See TracChangeset for help on using the changeset viewer.