Ignore:
Timestamp:
03/04/11 10:16:36 (14 years ago)
Author:
neise
Message:
new data format implemented.
setting of DAC during run is possible.
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib
Files:
12 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/REFCLK_counter_tester_beha.vhd

    r10129 r10225  
    1919      alarm_refclk_too_low  : IN     std_logic;
    2020      counter_result        : IN     std_logic_vector (11 DOWNTO 0);
     21      clk                   : out   std_logic;
    2122      refclk_in             : OUT    std_logic
    2223   );
     
    2829--
    2930ARCHITECTURE beha OF REFCLK_counter_tester IS
    30   constant REFCLK_PERIOD : time := 1012ns;
     31constant REFCLK_PERIOD : time := 1012ns;
     32constant clock_period : time := 20ns;
     33
     34signal refclk_i : std_logic := '0';
     35signal refclk_en : std_logic;
    3136 
    3237BEGIN
     38  refclk_in <= refclk_i and refclk_en;
    3339 
    34   clock_gen_proc: process
     40  clk_en_proc: process
     41  begin
     42    refclk_en <= '1';
     43    wait for 4500 us;
     44    refclk_en <= '0';
     45    wait;
     46  end process clk_en_proc;
     47 
     48    clock_gen_proc: process
     49  begin
     50    clk <= '0';
     51    wait for clock_period / 2;
     52    clk <= '1';
     53    wait for clock_period / 2;
     54  end process clock_gen_proc;
     55 
     56 
     57  ref_clock_gen_proc: process
    3558    begin
    36       refclk_in <= '0';
     59      refclk_i <= '0';
    3760      wait for REFCLK_PERIOD / 2;
    38       refclk_in <= '1';
     61      refclk_i <= '1';
    3962      wait for REFCLK_PERIOD / 2;
    40     end process clock_gen_proc;
     63    end process ref_clock_gen_proc;
    4164 
    4265END ARCHITECTURE beha;
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/fad_main_tb_struct.vhd

    r10180 r10225  
    22--
    33-- Created:
    4 --          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 16:10:14 25.02.2011
    6 --
    7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     4--          by - daqct3.UNKNOWN (IHP110)
     5--          at - 18:33:01 02.03.2011
     6--
     7-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
    88--
    99LIBRARY ieee;
     
    2020--
    2121-- Created:
    22 --          by - dneise.UNKNOWN (E5B-LABOR6)
    23 --          at - 16:10:15 25.02.2011
    24 --
    25 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     22--          by - daqct3.UNKNOWN (IHP110)
     23--          at - 18:33:01 02.03.2011
     24--
     25-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
    2626--
    2727LIBRARY ieee;
     
    182182   COMPONENT w5300_emulator
    183183   PORT (
    184       int  : OUT    std_logic  := '0';
     184      int  : OUT    std_logic  := '1';
    185185      addr : IN     std_logic_vector (9 DOWNTO 0);
    186186      data : INOUT  std_logic_vector (15 DOWNTO 0);
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/refclk_counter_tb_struct.vhd

    r10129 r10225  
    22--
    33-- Created:
    4 --          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 09:29:21 04.02.2011
     4--          by - daqct3.UNKNOWN (IHP110)
     5--          at - 18:11:15 03.03.2011
    66--
    7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     7-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
    88--
    99
     
    2222--
    2323-- Created:
    24 --          by - dneise.UNKNOWN (E5B-LABOR6)
    25 --          at - 09:29:21 04.02.2011
     24--          by - daqct3.UNKNOWN (IHP110)
     25--          at - 18:11:15 03.03.2011
    2626--
    27 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     27-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
    2828--
    2929LIBRARY ieee;
     
    6464      alarm_refclk_too_low  : IN     std_logic ;
    6565      counter_result        : IN     std_logic_vector (11 DOWNTO 0);
     66      clk                   : OUT    std_logic ;
    6667      refclk_in             : OUT    std_logic
    67    );
    68    END COMPONENT;
    69    COMPONENT clock_generator
    70    GENERIC (
    71       clock_period : time := 20 ns;
    72       reset_time   : time := 50 ns
    73    );
    74    PORT (
    75       clk : OUT    std_logic  := '0';
    76       rst : OUT    std_logic  := '0'
    7768   );
    7869   END COMPONENT;
     
    8273   FOR ALL : REFCLK_counter USE ENTITY FACT_FAD_lib.REFCLK_counter;
    8374   FOR ALL : REFCLK_counter_tester USE ENTITY FACT_FAD_TB_lib.REFCLK_counter_tester;
    84    FOR ALL : clock_generator USE ENTITY FACT_FAD_TB_lib.clock_generator;
    8575   -- pragma synthesis_on
    8676
     
    10292         alarm_refclk_too_low  => alarm_refclk_too_low,
    10393         counter_result        => counter_result,
     94         clk                   => clk,
    10495         refclk_in             => refclk_in
    105       );
    106    U_2 : clock_generator
    107       GENERIC MAP (
    108          clock_period => 20 ns,
    109          reset_time   => 50 ns
    110       )
    111       PORT MAP (
    112          clk => clk,
    113          rst => OPEN
    11496      );
    11597
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/w5300_emulator_beha.vhd

    r10180 r10225  
    1717ENTITY w5300_emulator IS
    1818   PORT(
    19                 int : out       std_logic := '0';
     19                  int : out     std_logic := '1';
    2020      addr : in     std_logic_vector (9 DOWNTO 0);
    2121      data : inout  std_logic_vector (15 DOWNTO 0);
     
    4343  set_proc : process
    4444  begin
     45        FIFOR_CNT <= 0;
    4546    RSR_0 <= X"0000";
    4647    RSR_1 <= X"0000";
    47     wait for 250 us;
     48    wait for 150 us;
    4849    RSR_1 <= X"0001";
    49     wait for 200 us;
     50    wait for 100 us;
    5051    RSR_1 <= X"0002";
     52    wait for 500 us;
     53                FIFOR_CNT <= 1;
     54        wait for 100 us;
     55                FIFOR_CNT <= 2;
     56        wait for 200 us;
     57                FIFOR_CNT <= 3;
     58        wait for 200 ns;
     59                RSR_1 <= X"0000";
     60        wait for 2 ms;
     61                RSR_1 <= X"0002";
     62                FIFOR_CNT <= 2;
     63               
     64        wait for 6 ms;
     65        int <= '0';
     66         
     67--      wait for 1 ms;
     68--              RSR_1 <= X"0000";
     69--              FIFOR_CNT <= 3;
    5170    wait;
    5271  end process set_proc;
     
    7392        if (FIFOR_CNT = 0) then
    7493          data_temp <= X"1800";
    75           FIFOR_CNT <= 1;
     94         
    7695        elsif (FIFOR_CNT = 1) then
    7796          data_temp <= X"2200";
    78           FIFOR_CNT <= 2;
     97         
    7998        elsif (FIFOR_CNT = 2) then
    8099          data_temp <= X"A000";
    81           FIFOR_CNT <= 3;
     100         
    82101
    83102          elsif (FIFOR_CNT = 3) then
    84                 data_temp <= X"B000";
     103                data_temp <= X"A000";
    85104          end if;
    86105      else
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/.xrf/fad_main_tb_struct.xrf

    r10180 r10225  
    351351DESIGN fad_main_tb
    352352VIEW struct.bd
    353 GRAPHIC 306,0 181 0
     353GRAPHIC 2336,0 181 0
    354354DESIGN w5300_emulator
    355355VIEW beha
     
    388388DESIGN fad_main_tb
    389389VIEW struct.bd
    390 GRAPHIC 306,0 198 0
     390GRAPHIC 2336,0 198 0
    391391DESIGN fad_main_tb
    392392VIEW struct.bd
     
    607607DESIGN fad_main_tb
    608608VIEW struct.bd
    609 GRAPHIC 306,0 324 0
     609GRAPHIC 2336,0 324 0
    610610DESIGN fad_main_tb
    611611VIEW struct.bd
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/.xrf/refclk_counter_tb_struct.xrf

    r10180 r10225  
    6969DESIGN @r@e@f@c@l@k_counter_tb
    7070VIEW struct.bd
    71 GRAPHIC 101,0 65 0
     71GRAPHIC 661,0 65 0
    7272DESIGN @r@e@f@c@l@k_counter_tb
    7373VIEW struct.bd
    74 GRAPHIC 284,0 68 0
    75 DESIGN clock_generator
    76 VIEW symbol.sb
    77 GRAPHIC 14,0 69 1
    78 DESIGN clock_generator
    79 VIEW @behavioral
    80 GRAPHIC 48,0 74 0
    81 DESIGN clock_generator
    82 VIEW @behavioral
    83 GRAPHIC 53,0 75 0
     74GRAPHIC 101,0 66 0
    8475LIBRARY FACT_FAD_TB_lib
     76DESIGN @r@e@f@c@l@k_counter_tb
     77VIEW struct.bd
     78NO_GRAPHIC 69
     79DESIGN @r@e@f@c@l@k_counter_tb
     80VIEW struct.bd
     81GRAPHIC 33,0 72 0
     82DESIGN @r@e@f@c@l@k_counter_tb
     83VIEW struct.bd
     84GRAPHIC 83,0 73 0
     85DESIGN @r@e@f@c@l@k_counter_tb
     86VIEW struct.bd
     87NO_GRAPHIC 76
    8588DESIGN @r@e@f@c@l@k_counter_tb
    8689VIEW struct.bd
     
    8891DESIGN @r@e@f@c@l@k_counter_tb
    8992VIEW struct.bd
    90 GRAPHIC 33,0 81 0
     93GRAPHIC 33,0 80 0
    9194DESIGN @r@e@f@c@l@k_counter_tb
    9295VIEW struct.bd
    93 GRAPHIC 83,0 82 0
     96GRAPHIC 69,0 82 0
    9497DESIGN @r@e@f@c@l@k_counter_tb
    9598VIEW struct.bd
    96 GRAPHIC 284,0 83 0
     99GRAPHIC 77,0 83 0
    97100DESIGN @r@e@f@c@l@k_counter_tb
    98101VIEW struct.bd
    99 NO_GRAPHIC 86
     102GRAPHIC 45,0 84 0
    100103DESIGN @r@e@f@c@l@k_counter_tb
    101104VIEW struct.bd
    102 NO_GRAPHIC 88
     105GRAPHIC 53,0 85 0
    103106DESIGN @r@e@f@c@l@k_counter_tb
    104107VIEW struct.bd
    105 GRAPHIC 33,0 90 0
     108GRAPHIC 61,0 86 0
    106109DESIGN @r@e@f@c@l@k_counter_tb
    107110VIEW struct.bd
    108 GRAPHIC 69,0 92 0
     111GRAPHIC 83,0 88 0
    109112DESIGN @r@e@f@c@l@k_counter_tb
    110113VIEW struct.bd
    111 GRAPHIC 77,0 93 0
    112 DESIGN @r@e@f@c@l@k_counter_tb
    113 VIEW struct.bd
    114 GRAPHIC 45,0 94 0
    115 DESIGN @r@e@f@c@l@k_counter_tb
    116 VIEW struct.bd
    117 GRAPHIC 53,0 95 0
    118 DESIGN @r@e@f@c@l@k_counter_tb
    119 VIEW struct.bd
    120 GRAPHIC 61,0 96 0
    121 DESIGN @r@e@f@c@l@k_counter_tb
    122 VIEW struct.bd
    123 GRAPHIC 83,0 98 0
    124 DESIGN @r@e@f@c@l@k_counter_tb
    125 VIEW struct.bd
    126 GRAPHIC 284,0 105 0
    127 DESIGN @r@e@f@c@l@k_counter_tb
    128 VIEW struct.bd
    129 GRAPHIC 291,0 106 1
    130 DESIGN @r@e@f@c@l@k_counter_tb
    131 VIEW struct.bd
    132 GRAPHIC 296,0 111 0
    133 DESIGN @r@e@f@c@l@k_counter_tb
    134 VIEW struct.bd
    135 NO_GRAPHIC 115
     114NO_GRAPHIC 97
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/@r@e@f@c@l@k_counter_tb/struct.bd

    r10180 r10225  
    4242uid 83,0
    4343)
    44 (Instance
    45 name "U_2"
    46 duLibraryName "FACT_FAD_TB_lib"
    47 duName "clock_generator"
    48 elements [
    49 (GiElement
    50 name "clock_period"
    51 type "time"
    52 value "20 ns"
    53 )
    54 (GiElement
    55 name "reset_time"
    56 type "time"
    57 value "50 ns"
    58 )
    59 ]
    60 mwi 0
    61 uid 284,0
    62 )
    6344]
    6445libraryRefs [
     
    6849)
    6950version "29.1"
    70 appVersion "2009.2 (Build 10)"
     51appVersion "2009.1 (Build 12)"
    7152noEmbeddedEditors 1
    7253model (BlockDiag
     
    7556(vvPair
    7657variable "HDLDir"
    77 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
     58value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
    7859)
    7960(vvPair
    8061variable "HDSDir"
    81 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     62value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    8263)
    8364(vvPair
    8465variable "SideDataDesignDir"
    85 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.info"
     66value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.info"
    8667)
    8768(vvPair
    8869variable "SideDataUserDir"
    89 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.user"
     70value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.user"
    9071)
    9172(vvPair
    9273variable "SourceDir"
    93 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     74value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    9475)
    9576(vvPair
     
    10788(vvPair
    10889variable "d"
    109 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb"
     90value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb"
    11091)
    11192(vvPair
    11293variable "d_logical"
    113 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb"
     94value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb"
    11495)
    11596(vvPair
    11697variable "date"
    117 value "04.02.2011"
     98value "03.03.2011"
    11899)
    119100(vvPair
    120101variable "day"
    121 value "Fr"
     102value "Do"
    122103)
    123104(vvPair
    124105variable "day_long"
    125 value "Freitag"
     106value "Donnerstag"
    126107)
    127108(vvPair
    128109variable "dd"
    129 value "04"
     110value "03"
    130111)
    131112(vvPair
     
    155136(vvPair
    156137variable "host"
    157 value "E5B-LABOR6"
     138value "IHP110"
    158139)
    159140(vvPair
     
    166147)
    167148(vvPair
     149variable "library_downstream_HdsLintPlugin"
     150value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
     151)
     152(vvPair
    168153variable "library_downstream_ISEPARInvoke"
    169154value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     
    183168(vvPair
    184169variable "mm"
    185 value "02"
     170value "03"
    186171)
    187172(vvPair
     
    191176(vvPair
    192177variable "month"
    193 value "Feb"
     178value "Mrz"
    194179)
    195180(vvPair
    196181variable "month_long"
    197 value "Februar"
     182value "März"
    198183)
    199184(vvPair
    200185variable "p"
    201 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd"
     186value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd"
    202187)
    203188(vvPair
    204189variable "p_logical"
    205 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb\\struct.bd"
     190value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb\\struct.bd"
    206191)
    207192(vvPair
     
    227212(vvPair
    228213variable "task_ModelSimPath"
    229 value "C:\\modeltech_6.6a\\win32"
     214value "D:\\modeltech_6.5e\\win32"
    230215)
    231216(vvPair
     
    259244(vvPair
    260245variable "time"
    261 value "09:29:18"
     246value "18:11:04"
    262247)
    263248(vvPair
     
    267252(vvPair
    268253variable "user"
    269 value "dneise"
     254value "daqct3"
    270255)
    271256(vvPair
    272257variable "version"
    273 value "2009.2 (Build 10)"
     258value "2009.1 (Build 12)"
    274259)
    275260(vvPair
     
    655640lineWidth 2
    656641)
    657 xt "37000,9000,52000,13000"
    658 )
     642xt "37000,9000,52000,14000"
     643)
     644oxt "37000,9000,52000,13000"
    659645ttg (MlTextGroup
    660646uid 85,0
     
    718704fg "49152,49152,49152"
    719705)
    720 xt "37250,11250,38750,12750"
     706xt "37250,12250,38750,13750"
    721707iconName "VhdlFileViewIcon.png"
    722708iconMaskName "VhdlFileViewIcon.msk"
     
    729715"alarm_refclk_too_low"
    730716"counter_result"
     717"clk"
    731718"refclk_in"
    732719]
     
    753740bg "0,0,32768"
    754741)
    755 xt "29200,48000,38800,49000"
     742xt "29200,48000,40300,49000"
    756743st "
    757744by %user on %dd %month %year
     
    784771bg "0,0,32768"
    785772)
    786 xt "46200,44000,49200,45000"
     773xt "46200,44000,49500,45000"
    787774st "
    788775Project:
     
    815802bg "0,0,32768"
    816803)
    817 xt "29200,46000,39200,47000"
     804xt "29200,46000,40100,47000"
    818805st "
    819806<enter diagram title here>
     
    846833bg "0,0,32768"
    847834)
    848 xt "25200,46000,27300,47000"
     835xt "25200,46000,27500,47000"
    849836st "
    850837Title:
     
    877864bg "0,0,32768"
    878865)
    879 xt "46200,45200,55400,46200"
     866xt "46200,45200,56000,46200"
    880867st "
    881868<enter comments here>
     
    907894bg "0,0,32768"
    908895)
    909 xt "50200,44000,54700,45000"
     896xt "50200,44000,54900,45000"
    910897st "
    911898%project_name
     
    937924fg "32768,0,0"
    938925)
    939 xt "32150,44500,38850,45500"
     926xt "32200,44500,38800,45500"
    940927st "
    941928<company name>
     
    969956bg "0,0,32768"
    970957)
    971 xt "25200,47000,27300,48000"
     958xt "25200,47000,27500,48000"
    972959st "
    973960Path:
     
    1000987bg "0,0,32768"
    1001988)
    1002 xt "25200,48000,27900,49000"
     989xt "25200,48000,28300,49000"
    1003990st "
    1004991Edited:
     
    10311018bg "0,0,32768"
    10321019)
    1033 xt "29200,47000,44500,48000"
     1020xt "29200,47000,46200,48000"
    10341021st "
    10351022%library/%unit/%view
     
    10571044oxt "14000,66000,55000,71000"
    10581045)
    1059 *30 (SaComponent
    1060 uid 284,0
    1061 optionalChildren [
    1062 *31 (CptPort
    1063 uid 273,0
    1064 ps "OnEdgeStrategy"
    1065 shape (Triangle
    1066 uid 274,0
    1067 ro 90
    1068 va (VaSet
    1069 vasetType 1
    1070 fg "0,65535,0"
    1071 )
    1072 xt "11000,24625,11750,25375"
    1073 )
    1074 tg (CPTG
    1075 uid 275,0
    1076 ps "CptPortTextPlaceStrategy"
    1077 stg "RightVerticalLayoutStrategy"
    1078 f (Text
    1079 uid 276,0
    1080 va (VaSet
    1081 )
    1082 xt "8700,24500,10000,25500"
    1083 st "clk"
    1084 ju 2
    1085 blo "10000,25300"
    1086 )
    1087 )
    1088 thePort (LogicalPort
    1089 m 1
    1090 decl (Decl
    1091 n "clk"
    1092 t "std_logic"
    1093 preAdd 0
    1094 posAdd 0
    1095 o 1
    1096 suid 1,0
    1097 i "'0'"
    1098 )
    1099 )
    1100 )
    1101 *32 (CptPort
    1102 uid 277,0
    1103 ps "OnEdgeStrategy"
    1104 shape (Triangle
    1105 uid 278,0
    1106 ro 90
    1107 va (VaSet
    1108 vasetType 1
    1109 fg "0,65535,0"
    1110 )
    1111 xt "11000,25625,11750,26375"
    1112 )
    1113 tg (CPTG
    1114 uid 279,0
    1115 ps "CptPortTextPlaceStrategy"
    1116 stg "RightVerticalLayoutStrategy"
    1117 f (Text
    1118 uid 280,0
    1119 va (VaSet
    1120 )
    1121 xt "8700,25500,10000,26500"
    1122 st "rst"
    1123 ju 2
    1124 blo "10000,26300"
    1125 )
    1126 )
    1127 thePort (LogicalPort
    1128 m 1
    1129 decl (Decl
    1130 n "rst"
    1131 t "std_logic"
    1132 preAdd 0
    1133 posAdd 0
    1134 o 2
    1135 suid 2,0
    1136 i "'0'"
    1137 )
    1138 )
    1139 )
    1140 ]
    1141 shape (Rectangle
    1142 uid 285,0
    1143 va (VaSet
    1144 vasetType 1
    1145 fg "0,49152,49152"
    1146 lineColor "0,0,50000"
    1147 lineWidth 2
    1148 )
    1149 xt "3000,24000,11000,28000"
    1150 )
    1151 oxt "22000,15000,30000,19000"
    1152 ttg (MlTextGroup
    1153 uid 286,0
    1154 ps "CenterOffsetStrategy"
    1155 stg "VerticalLayoutStrategy"
    1156 textVec [
    1157 *33 (Text
    1158 uid 287,0
    1159 va (VaSet
    1160 font "Arial,8,1"
    1161 )
    1162 xt "3150,28000,10850,29000"
    1163 st "FACT_FAD_TB_lib"
    1164 blo "3150,28800"
    1165 tm "BdLibraryNameMgr"
    1166 )
    1167 *34 (Text
    1168 uid 288,0
    1169 va (VaSet
    1170 font "Arial,8,1"
    1171 )
    1172 xt "3150,29000,9850,30000"
    1173 st "clock_generator"
    1174 blo "3150,29800"
    1175 tm "CptNameMgr"
    1176 )
    1177 *35 (Text
    1178 uid 289,0
    1179 va (VaSet
    1180 font "Arial,8,1"
    1181 )
    1182 xt "3150,30000,4950,31000"
    1183 st "U_2"
    1184 blo "3150,30800"
    1185 tm "InstanceNameMgr"
    1186 )
    1187 ]
    1188 )
    1189 ga (GenericAssociation
    1190 uid 290,0
    1191 ps "EdgeToEdgeStrategy"
    1192 matrix (Matrix
    1193 uid 291,0
    1194 text (MLText
    1195 uid 292,0
    1196 va (VaSet
    1197 font "Courier New,8,0"
    1198 )
    1199 xt "0,22400,18500,24000"
    1200 st "clock_period = 20 ns    ( time ) 
    1201 reset_time   = 50 ns    ( time )  "
    1202 )
    1203 header ""
    1204 )
    1205 elements [
    1206 (GiElement
    1207 name "clock_period"
    1208 type "time"
    1209 value "20 ns"
    1210 )
    1211 (GiElement
    1212 name "reset_time"
    1213 type "time"
    1214 value "50 ns"
    1215 )
    1216 ]
    1217 )
    1218 viewicon (ZoomableIcon
    1219 uid 293,0
    1220 sl 0
    1221 va (VaSet
    1222 vasetType 1
    1223 fg "49152,49152,49152"
    1224 )
    1225 xt "3250,26250,4750,27750"
    1226 iconName "VhdlFileViewIcon.png"
    1227 iconMaskName "VhdlFileViewIcon.msk"
    1228 ftype 10
    1229 )
    1230 ordering 1
    1231 viewiconposition 0
    1232 portVis (PortSigDisplay
    1233 )
    1234 archFileType "UNKNOWN"
    1235 )
    1236 *36 (Wire
     1046*30 (Wire
    12371047uid 45,0
    12381048shape (OrthoPolyLine
     
    12711081on &10
    12721082)
    1273 *37 (Wire
     1083*31 (Wire
    12741084uid 53,0
    12751085shape (OrthoPolyLine
     
    13061116on &11
    13071117)
    1308 *38 (Wire
     1118*32 (Wire
    13091119uid 61,0
    13101120shape (OrthoPolyLine
     
    13411151on &12
    13421152)
    1343 *39 (Wire
     1153*33 (Wire
    13441154uid 69,0
    13451155shape (OrthoPolyLine
     
    13761186on &13
    13771187)
    1378 *40 (Wire
     1188*34 (Wire
    13791189uid 77,0
    13801190shape (OrthoPolyLine
     
    14111221on &14
    14121222)
    1413 *41 (Wire
     1223*35 (Wire
    14141224uid 101,0
    14151225shape (OrthoPolyLine
     
    14461256on &14
    14471257)
    1448 *42 (Wire
     1258*36 (Wire
    14491259uid 109,0
    14501260shape (OrthoPolyLine
     
    14831293on &10
    14841294)
    1485 *43 (Wire
     1295*37 (Wire
    14861296uid 117,0
    14871297shape (OrthoPolyLine
     
    15181328on &11
    15191329)
    1520 *44 (Wire
     1330*38 (Wire
    15211331uid 125,0
    15221332shape (OrthoPolyLine
     
    15531363on &12
    15541364)
    1555 *45 (Wire
    1556 uid 296,0
     1365*39 (Wire
     1366uid 661,0
    15571367shape (OrthoPolyLine
    1558 uid 297,0
     1368uid 662,0
    15591369va (VaSet
    15601370vasetType 3
    15611371)
    1562 xt "11750,25000,16000,25000"
     1372xt "31000,12000,37000,12000"
    15631373pts [
    1564 "11750,25000"
    1565 "16000,25000"
    1566 ]
    1567 )
    1568 start &31
    1569 sat 32
     1374"37000,12000"
     1375"31000,12000"
     1376]
     1377)
     1378start &15
     1379sat 2
    15701380eat 16
    15711381st 0
     
    15731383si 0
    15741384tg (WTG
    1575 uid 300,0
     1385uid 667,0
    15761386ps "ConnStartEndStrategy"
    15771387stg "STSignalDisplayStrategy"
    15781388f (Text
    1579 uid 301,0
    1580 va (VaSet
    1581 )
    1582 xt "13000,24000,14300,25000"
     1389uid 668,0
     1390va (VaSet
     1391)
     1392xt "32000,11000,33500,12000"
    15831393st "clk"
    1584 blo "13000,24800"
     1394blo "32000,11800"
    15851395tm "WireNameMgr"
    15861396)
     
    16001410color "26368,26368,26368"
    16011411)
    1602 packageList *46 (PackageList
     1412packageList *40 (PackageList
    16031413uid 175,0
    16041414stg "VerticalLayoutStrategy"
    16051415textVec [
    1606 *47 (Text
     1416*41 (Text
    16071417uid 176,0
    16081418va (VaSet
     
    16131423blo "0,800"
    16141424)
    1615 *48 (MLText
     1425*42 (MLText
    16161426uid 177,0
    16171427va (VaSet
    16181428)
    1619 xt "0,1000,15000,7000"
     1429xt "0,1000,16700,7000"
    16201430st "LIBRARY ieee;
    16211431USE ieee.std_logic_1164.ALL;
     
    16321442stg "VerticalLayoutStrategy"
    16331443textVec [
    1634 *49 (Text
     1444*43 (Text
    16351445uid 179,0
    16361446va (VaSet
     
    16421452blo "20000,800"
    16431453)
    1644 *50 (Text
     1454*44 (Text
    16451455uid 180,0
    16461456va (VaSet
     
    16521462blo "20000,1800"
    16531463)
    1654 *51 (MLText
     1464*45 (MLText
    16551465uid 181,0
    16561466va (VaSet
    16571467isHidden 1
    16581468)
    1659 xt "20000,2000,27500,4000"
     1469xt "20000,2000,28200,4000"
    16601470st "`resetall
    16611471`timescale 1ns/10ps"
    16621472tm "BdCompilerDirectivesTextMgr"
    16631473)
    1664 *52 (Text
     1474*46 (Text
    16651475uid 182,0
    16661476va (VaSet
     
    16721482blo "20000,4800"
    16731483)
    1674 *53 (MLText
     1484*47 (MLText
    16751485uid 183,0
    16761486va (VaSet
     
    16801490tm "BdCompilerDirectivesTextMgr"
    16811491)
    1682 *54 (Text
     1492*48 (Text
    16831493uid 184,0
    16841494va (VaSet
     
    16901500blo "20000,5800"
    16911501)
    1692 *55 (MLText
     1502*49 (MLText
    16931503uid 185,0
    16941504va (VaSet
     
    17011511associable 1
    17021512)
    1703 windowSize "0,22,1281,1024"
    1704 viewArea "-5800,-2000,55738,45850"
     1513windowSize "0,22,1283,1024"
     1514viewArea "-11900,-2000,49812,46372"
    17051515cachedDiagramExtent "-6400,0,66000,49000"
    17061516hasePageBreakOrigin 1
    17071517pageBreakOrigin "-7000,0"
    1708 lastUid 609,0
     1518lastUid 827,0
    17091519defaultCommentText (CommentText
    17101520shape (Rectangle
     
    17211531fg "0,0,32768"
    17221532)
    1723 xt "200,200,2000,1200"
     1533xt "200,200,2400,1200"
    17241534st "
    17251535Text
     
    17681578stg "VerticalLayoutStrategy"
    17691579textVec [
    1770 *56 (Text
     1580*50 (Text
    17711581va (VaSet
    17721582font "Arial,8,1"
     
    17771587tm "BdLibraryNameMgr"
    17781588)
    1779 *57 (Text
     1589*51 (Text
    17801590va (VaSet
    17811591font "Arial,8,1"
     
    17861596tm "BlkNameMgr"
    17871597)
    1788 *58 (Text
     1598*52 (Text
    17891599va (VaSet
    17901600font "Arial,8,1"
     
    18241634)
    18251635defaultMWComponent (MWC
     1636shape (Rectangle
     1637va (VaSet
     1638vasetType 1
     1639fg "0,65535,0"
     1640lineColor "0,32896,0"
     1641lineWidth 2
     1642)
     1643xt "0,0,8000,10000"
     1644)
     1645ttg (MlTextGroup
     1646ps "CenterOffsetStrategy"
     1647stg "VerticalLayoutStrategy"
     1648textVec [
     1649*53 (Text
     1650va (VaSet
     1651font "Arial,8,1"
     1652)
     1653xt "550,3500,3450,4500"
     1654st "Library"
     1655blo "550,4300"
     1656)
     1657*54 (Text
     1658va (VaSet
     1659font "Arial,8,1"
     1660)
     1661xt "550,4500,7450,5500"
     1662st "MWComponent"
     1663blo "550,5300"
     1664)
     1665*55 (Text
     1666va (VaSet
     1667font "Arial,8,1"
     1668)
     1669xt "550,5500,2350,6500"
     1670st "U_0"
     1671blo "550,6300"
     1672tm "InstanceNameMgr"
     1673)
     1674]
     1675)
     1676ga (GenericAssociation
     1677ps "EdgeToEdgeStrategy"
     1678matrix (Matrix
     1679text (MLText
     1680va (VaSet
     1681font "Courier New,8,0"
     1682)
     1683xt "-6450,1500,-6450,1500"
     1684)
     1685header ""
     1686)
     1687elements [
     1688]
     1689)
     1690portVis (PortSigDisplay
     1691)
     1692prms (Property
     1693pclass "params"
     1694pname "params"
     1695ptn "String"
     1696)
     1697visOptions (mwParamsVisibilityOptions
     1698)
     1699)
     1700defaultSaComponent (SaComponent
     1701shape (Rectangle
     1702va (VaSet
     1703vasetType 1
     1704fg "0,65535,0"
     1705lineColor "0,32896,0"
     1706lineWidth 2
     1707)
     1708xt "0,0,8000,10000"
     1709)
     1710ttg (MlTextGroup
     1711ps "CenterOffsetStrategy"
     1712stg "VerticalLayoutStrategy"
     1713textVec [
     1714*56 (Text
     1715va (VaSet
     1716font "Arial,8,1"
     1717)
     1718xt "900,3500,3800,4500"
     1719st "Library"
     1720blo "900,4300"
     1721tm "BdLibraryNameMgr"
     1722)
     1723*57 (Text
     1724va (VaSet
     1725font "Arial,8,1"
     1726)
     1727xt "900,4500,7100,5500"
     1728st "SaComponent"
     1729blo "900,5300"
     1730tm "CptNameMgr"
     1731)
     1732*58 (Text
     1733va (VaSet
     1734font "Arial,8,1"
     1735)
     1736xt "900,5500,2700,6500"
     1737st "U_0"
     1738blo "900,6300"
     1739tm "InstanceNameMgr"
     1740)
     1741]
     1742)
     1743ga (GenericAssociation
     1744ps "EdgeToEdgeStrategy"
     1745matrix (Matrix
     1746text (MLText
     1747va (VaSet
     1748font "Courier New,8,0"
     1749)
     1750xt "-6100,1500,-6100,1500"
     1751)
     1752header ""
     1753)
     1754elements [
     1755]
     1756)
     1757viewicon (ZoomableIcon
     1758sl 0
     1759va (VaSet
     1760vasetType 1
     1761fg "49152,49152,49152"
     1762)
     1763xt "0,0,1500,1500"
     1764iconName "UnknownFile.png"
     1765iconMaskName "UnknownFile.msk"
     1766)
     1767viewiconposition 0
     1768portVis (PortSigDisplay
     1769)
     1770archFileType "UNKNOWN"
     1771)
     1772defaultVhdlComponent (VhdlComponent
    18261773shape (Rectangle
    18271774va (VaSet
     
    18411788font "Arial,8,1"
    18421789)
    1843 xt "550,3500,3450,4500"
     1790xt "500,3500,3400,4500"
    18441791st "Library"
    1845 blo "550,4300"
     1792blo "500,4300"
    18461793)
    18471794*60 (Text
     
    18491796font "Arial,8,1"
    18501797)
    1851 xt "550,4500,7450,5500"
    1852 st "MWComponent"
    1853 blo "550,5300"
     1798xt "500,4500,7500,5500"
     1799st "VhdlComponent"
     1800blo "500,5300"
    18541801)
    18551802*61 (Text
     
    18571804font "Arial,8,1"
    18581805)
    1859 xt "550,5500,2350,6500"
     1806xt "500,5500,2300,6500"
    18601807st "U_0"
    1861 blo "550,6300"
     1808blo "500,6300"
    18621809tm "InstanceNameMgr"
    18631810)
     
    18711818font "Courier New,8,0"
    18721819)
    1873 xt "-6450,1500,-6450,1500"
     1820xt "-6500,1500,-6500,1500"
    18741821)
    18751822header ""
     
    18801827portVis (PortSigDisplay
    18811828)
    1882 prms (Property
    1883 pclass "params"
    1884 pname "params"
    1885 ptn "String"
    1886 )
    1887 visOptions (mwParamsVisibilityOptions
    1888 )
    1889 )
    1890 defaultSaComponent (SaComponent
     1829entityPath ""
     1830archName ""
     1831archPath ""
     1832)
     1833defaultVerilogComponent (VerilogComponent
    18911834shape (Rectangle
    18921835va (VaSet
     
    18961839lineWidth 2
    18971840)
    1898 xt "0,0,8000,10000"
     1841xt "-450,0,8450,10000"
    18991842)
    19001843ttg (MlTextGroup
     
    19061849font "Arial,8,1"
    19071850)
    1908 xt "900,3500,3800,4500"
     1851xt "50,3500,2950,4500"
    19091852st "Library"
    1910 blo "900,4300"
    1911 tm "BdLibraryNameMgr"
     1853blo "50,4300"
    19121854)
    19131855*63 (Text
     
    19151857font "Arial,8,1"
    19161858)
    1917 xt "900,4500,7100,5500"
    1918 st "SaComponent"
    1919 blo "900,5300"
    1920 tm "CptNameMgr"
     1859xt "50,4500,7950,5500"
     1860st "VerilogComponent"
     1861blo "50,5300"
    19211862)
    19221863*64 (Text
     
    19241865font "Arial,8,1"
    19251866)
    1926 xt "900,5500,2700,6500"
     1867xt "50,5500,1850,6500"
    19271868st "U_0"
    1928 blo "900,6300"
     1869blo "50,6300"
    19291870tm "InstanceNameMgr"
    19301871)
     
    19381879font "Courier New,8,0"
    19391880)
    1940 xt "-6100,1500,-6100,1500"
     1881xt "-6950,1500,-6950,1500"
    19411882)
    19421883header ""
     
    19451886]
    19461887)
    1947 viewicon (ZoomableIcon
    1948 sl 0
    1949 va (VaSet
    1950 vasetType 1
    1951 fg "49152,49152,49152"
    1952 )
    1953 xt "0,0,1500,1500"
    1954 iconName "UnknownFile.png"
    1955 iconMaskName "UnknownFile.msk"
    1956 )
    1957 viewiconposition 0
    1958 portVis (PortSigDisplay
    1959 )
    1960 archFileType "UNKNOWN"
    1961 )
    1962 defaultVhdlComponent (VhdlComponent
     1888entityPath ""
     1889)
     1890defaultHdlText (HdlText
    19631891shape (Rectangle
    19641892va (VaSet
    19651893vasetType 1
    1966 fg "0,65535,0"
    1967 lineColor "0,32896,0"
     1894fg "65535,65535,37120"
     1895lineColor "0,0,32768"
    19681896lineWidth 2
    19691897)
     
    19781906font "Arial,8,1"
    19791907)
    1980 xt "500,3500,3400,4500"
    1981 st "Library"
    1982 blo "500,4300"
    1983 )
    1984 *66 (Text
    1985 va (VaSet
    1986 font "Arial,8,1"
    1987 )
    1988 xt "500,4500,7500,5500"
    1989 st "VhdlComponent"
    1990 blo "500,5300"
    1991 )
    1992 *67 (Text
    1993 va (VaSet
    1994 font "Arial,8,1"
    1995 )
    1996 xt "500,5500,2300,6500"
    1997 st "U_0"
    1998 blo "500,6300"
    1999 tm "InstanceNameMgr"
    2000 )
    2001 ]
    2002 )
    2003 ga (GenericAssociation
    2004 ps "EdgeToEdgeStrategy"
    2005 matrix (Matrix
    2006 text (MLText
    2007 va (VaSet
    2008 font "Courier New,8,0"
    2009 )
    2010 xt "-6500,1500,-6500,1500"
    2011 )
    2012 header ""
    2013 )
    2014 elements [
    2015 ]
    2016 )
    2017 portVis (PortSigDisplay
    2018 )
    2019 entityPath ""
    2020 archName ""
    2021 archPath ""
    2022 )
    2023 defaultVerilogComponent (VerilogComponent
    2024 shape (Rectangle
    2025 va (VaSet
    2026 vasetType 1
    2027 fg "0,65535,0"
    2028 lineColor "0,32896,0"
    2029 lineWidth 2
    2030 )
    2031 xt "-450,0,8450,10000"
    2032 )
    2033 ttg (MlTextGroup
    2034 ps "CenterOffsetStrategy"
    2035 stg "VerticalLayoutStrategy"
    2036 textVec [
    2037 *68 (Text
    2038 va (VaSet
    2039 font "Arial,8,1"
    2040 )
    2041 xt "50,3500,2950,4500"
    2042 st "Library"
    2043 blo "50,4300"
    2044 )
    2045 *69 (Text
    2046 va (VaSet
    2047 font "Arial,8,1"
    2048 )
    2049 xt "50,4500,7950,5500"
    2050 st "VerilogComponent"
    2051 blo "50,5300"
    2052 )
    2053 *70 (Text
    2054 va (VaSet
    2055 font "Arial,8,1"
    2056 )
    2057 xt "50,5500,1850,6500"
    2058 st "U_0"
    2059 blo "50,6300"
    2060 tm "InstanceNameMgr"
    2061 )
    2062 ]
    2063 )
    2064 ga (GenericAssociation
    2065 ps "EdgeToEdgeStrategy"
    2066 matrix (Matrix
    2067 text (MLText
    2068 va (VaSet
    2069 font "Courier New,8,0"
    2070 )
    2071 xt "-6950,1500,-6950,1500"
    2072 )
    2073 header ""
    2074 )
    2075 elements [
    2076 ]
    2077 )
    2078 entityPath ""
    2079 )
    2080 defaultHdlText (HdlText
    2081 shape (Rectangle
    2082 va (VaSet
    2083 vasetType 1
    2084 fg "65535,65535,37120"
    2085 lineColor "0,0,32768"
    2086 lineWidth 2
    2087 )
    2088 xt "0,0,8000,10000"
    2089 )
    2090 ttg (MlTextGroup
    2091 ps "CenterOffsetStrategy"
    2092 stg "VerticalLayoutStrategy"
    2093 textVec [
    2094 *71 (Text
    2095 va (VaSet
    2096 font "Arial,8,1"
    2097 )
    20981908xt "3150,4000,4850,5000"
    20991909st "eb1"
     
    21011911tm "HdlTextNameMgr"
    21021912)
    2103 *72 (Text
     1913*66 (Text
    21041914va (VaSet
    21051915font "Arial,8,1"
     
    21391949va (VaSet
    21401950)
    2141 xt "200,200,2000,1200"
     1951xt "200,200,2400,1200"
    21421952st "
    21431953Text
     
    24772287va (VaSet
    24782288)
    2479 xt "0,-1100,12600,-100"
     2289xt "0,-1100,12900,-100"
    24802290st "g0: FOR i IN 0 TO n GENERATE"
    24812291tm "FrameTitleTextMgr"
     
    25042314stg "VerticalLayoutStrategy"
    25052315textVec [
    2506 *73 (Text
     2316*67 (Text
    25072317va (VaSet
    25082318font "Arial,8,1"
     
    25122322blo "14100,20800"
    25132323)
    2514 *74 (MLText
     2324*68 (MLText
    25152325va (VaSet
    25162326)
     
    25372347va (VaSet
    25382348)
    2539 xt "0,-1100,7400,-100"
     2349xt "0,-1100,7700,-100"
    25402350st "b0: BLOCK (guard)"
    25412351tm "FrameTitleTextMgr"
     
    25642374stg "VerticalLayoutStrategy"
    25652375textVec [
    2566 *75 (Text
     2376*69 (Text
    25672377va (VaSet
    25682378font "Arial,8,1"
     
    25722382blo "14100,20800"
    25732383)
    2574 *76 (MLText
     2384*70 (MLText
    25752385va (VaSet
    25762386)
     
    27182528suid 6,0
    27192529usingSuid 1
    2720 emptyRow *77 (LEmptyRow
     2530emptyRow *71 (LEmptyRow
    27212531)
    27222532uid 188,0
    27232533optionalChildren [
    2724 *78 (RefLabelRowHdr
    2725 )
    2726 *79 (TitleRowHdr
    2727 )
    2728 *80 (FilterRowHdr
    2729 )
    2730 *81 (RefLabelColHdr
     2534*72 (RefLabelRowHdr
     2535)
     2536*73 (TitleRowHdr
     2537)
     2538*74 (FilterRowHdr
     2539)
     2540*75 (RefLabelColHdr
    27312541tm "RefLabelColHdrMgr"
    27322542)
    2733 *82 (RowExpandColHdr
     2543*76 (RowExpandColHdr
    27342544tm "RowExpandColHdrMgr"
    27352545)
    2736 *83 (GroupColHdr
     2546*77 (GroupColHdr
    27372547tm "GroupColHdrMgr"
    27382548)
    2739 *84 (NameColHdr
     2549*78 (NameColHdr
    27402550tm "BlockDiagramNameColHdrMgr"
    27412551)
    2742 *85 (ModeColHdr
     2552*79 (ModeColHdr
    27432553tm "BlockDiagramModeColHdrMgr"
    27442554)
    2745 *86 (TypeColHdr
     2555*80 (TypeColHdr
    27462556tm "BlockDiagramTypeColHdrMgr"
    27472557)
    2748 *87 (BoundsColHdr
     2558*81 (BoundsColHdr
    27492559tm "BlockDiagramBoundsColHdrMgr"
    27502560)
    2751 *88 (InitColHdr
     2561*82 (InitColHdr
    27522562tm "BlockDiagramInitColHdrMgr"
    27532563)
    2754 *89 (EolColHdr
     2564*83 (EolColHdr
    27552565tm "BlockDiagramEolColHdrMgr"
    27562566)
    2757 *90 (LeafLogPort
     2567*84 (LeafLogPort
    27582568port (LogicalPort
    27592569lang 10
     
    27692579uid 165,0
    27702580)
    2771 *91 (LeafLogPort
     2581*85 (LeafLogPort
    27722582port (LogicalPort
    27732583lang 10
     
    27822592uid 167,0
    27832593)
    2784 *92 (LeafLogPort
     2594*86 (LeafLogPort
    27852595port (LogicalPort
    27862596lang 10
     
    27952605uid 169,0
    27962606)
    2797 *93 (LeafLogPort
     2607*87 (LeafLogPort
    27982608port (LogicalPort
    27992609m 4
     
    28102620uid 171,0
    28112621)
    2812 *94 (LeafLogPort
     2622*88 (LeafLogPort
    28132623port (LogicalPort
    28142624m 4
     
    28292639uid 201,0
    28302640optionalChildren [
    2831 *95 (Sheet
     2641*89 (Sheet
    28322642sheetRow (SheetRow
    28332643headerVa (MVa
     
    28462656font "Tahoma,10,0"
    28472657)
    2848 emptyMRCItem *96 (MRCItem
    2849 litem &77
     2658emptyMRCItem *90 (MRCItem
     2659litem &71
    28502660pos 5
    28512661dimension 20
     
    28532663uid 203,0
    28542664optionalChildren [
    2855 *97 (MRCItem
    2856 litem &78
     2665*91 (MRCItem
     2666litem &72
    28572667pos 0
    28582668dimension 20
    28592669uid 204,0
    28602670)
    2861 *98 (MRCItem
    2862 litem &79
     2671*92 (MRCItem
     2672litem &73
    28632673pos 1
    28642674dimension 23
    28652675uid 205,0
    28662676)
    2867 *99 (MRCItem
    2868 litem &80
     2677*93 (MRCItem
     2678litem &74
    28692679pos 2
    28702680hidden 1
     
    28722682uid 206,0
    28732683)
    2874 *100 (MRCItem
    2875 litem &90
     2684*94 (MRCItem
     2685litem &84
    28762686pos 0
    28772687dimension 20
    28782688uid 166,0
    28792689)
    2880 *101 (MRCItem
    2881 litem &91
     2690*95 (MRCItem
     2691litem &85
    28822692pos 1
    28832693dimension 20
    28842694uid 168,0
    28852695)
    2886 *102 (MRCItem
    2887 litem &92
     2696*96 (MRCItem
     2697litem &86
    28882698pos 2
    28892699dimension 20
    28902700uid 170,0
    28912701)
    2892 *103 (MRCItem
    2893 litem &93
     2702*97 (MRCItem
     2703litem &87
    28942704pos 3
    28952705dimension 20
    28962706uid 172,0
    28972707)
    2898 *104 (MRCItem
    2899 litem &94
     2708*98 (MRCItem
     2709litem &88
    29002710pos 4
    29012711dimension 20
     
    29132723uid 207,0
    29142724optionalChildren [
    2915 *105 (MRCItem
    2916 litem &81
     2725*99 (MRCItem
     2726litem &75
    29172727pos 0
    29182728dimension 20
    29192729uid 208,0
    29202730)
    2921 *106 (MRCItem
    2922 litem &83
     2731*100 (MRCItem
     2732litem &77
    29232733pos 1
    29242734dimension 50
    29252735uid 209,0
    29262736)
    2927 *107 (MRCItem
    2928 litem &84
     2737*101 (MRCItem
     2738litem &78
    29292739pos 2
    29302740dimension 100
    29312741uid 210,0
    29322742)
    2933 *108 (MRCItem
    2934 litem &85
     2743*102 (MRCItem
     2744litem &79
    29352745pos 3
    29362746dimension 50
    29372747uid 211,0
    29382748)
    2939 *109 (MRCItem
    2940 litem &86
     2749*103 (MRCItem
     2750litem &80
    29412751pos 4
    29422752dimension 100
    29432753uid 212,0
    29442754)
    2945 *110 (MRCItem
    2946 litem &87
     2755*104 (MRCItem
     2756litem &81
    29472757pos 5
    29482758dimension 100
    29492759uid 213,0
    29502760)
    2951 *111 (MRCItem
    2952 litem &88
     2761*105 (MRCItem
     2762litem &82
    29532763pos 6
    29542764dimension 50
    29552765uid 214,0
    29562766)
    2957 *112 (MRCItem
    2958 litem &89
     2767*106 (MRCItem
     2768litem &83
    29592769pos 7
    29602770dimension 80
     
    29762786genericsCommonDM (CommonDM
    29772787ldm (LogicalDM
    2978 emptyRow *113 (LEmptyRow
     2788emptyRow *107 (LEmptyRow
    29792789)
    29802790uid 217,0
    29812791optionalChildren [
    2982 *114 (RefLabelRowHdr
    2983 )
    2984 *115 (TitleRowHdr
    2985 )
    2986 *116 (FilterRowHdr
    2987 )
    2988 *117 (RefLabelColHdr
     2792*108 (RefLabelRowHdr
     2793)
     2794*109 (TitleRowHdr
     2795)
     2796*110 (FilterRowHdr
     2797)
     2798*111 (RefLabelColHdr
    29892799tm "RefLabelColHdrMgr"
    29902800)
    2991 *118 (RowExpandColHdr
     2801*112 (RowExpandColHdr
    29922802tm "RowExpandColHdrMgr"
    29932803)
    2994 *119 (GroupColHdr
     2804*113 (GroupColHdr
    29952805tm "GroupColHdrMgr"
    29962806)
    2997 *120 (NameColHdr
     2807*114 (NameColHdr
    29982808tm "GenericNameColHdrMgr"
    29992809)
    3000 *121 (TypeColHdr
     2810*115 (TypeColHdr
    30012811tm "GenericTypeColHdrMgr"
    30022812)
    3003 *122 (InitColHdr
     2813*116 (InitColHdr
    30042814tm "GenericValueColHdrMgr"
    30052815)
    3006 *123 (PragmaColHdr
     2816*117 (PragmaColHdr
    30072817tm "GenericPragmaColHdrMgr"
    30082818)
    3009 *124 (EolColHdr
     2819*118 (EolColHdr
    30102820tm "GenericEolColHdrMgr"
    30112821)
    3012 *125 (LogGeneric
     2822*119 (LogGeneric
    30132823generic (GiElement
    30142824name "FREQ_UPPER_LIMIT"
     
    30182828uid 9,0
    30192829)
    3020 *126 (LogGeneric
     2830*120 (LogGeneric
    30212831generic (GiElement
    30222832name "FREQ_LOWER_LIMIT"
     
    30332843uid 229,0
    30342844optionalChildren [
    3035 *127 (Sheet
     2845*121 (Sheet
    30362846sheetRow (SheetRow
    30372847headerVa (MVa
     
    30502860font "Tahoma,10,0"
    30512861)
    3052 emptyMRCItem *128 (MRCItem
    3053 litem &113
     2862emptyMRCItem *122 (MRCItem
     2863litem &107
    30542864pos 2
    30552865dimension 20
     
    30572867uid 231,0
    30582868optionalChildren [
    3059 *129 (MRCItem
    3060 litem &114
     2869*123 (MRCItem
     2870litem &108
    30612871pos 0
    30622872dimension 20
    30632873uid 232,0
    30642874)
    3065 *130 (MRCItem
    3066 litem &115
     2875*124 (MRCItem
     2876litem &109
    30672877pos 1
    30682878dimension 23
    30692879uid 233,0
    30702880)
    3071 *131 (MRCItem
    3072 litem &116
     2881*125 (MRCItem
     2882litem &110
    30732883pos 2
    30742884hidden 1
     
    30762886uid 234,0
    30772887)
    3078 *132 (MRCItem
    3079 litem &125
     2888*126 (MRCItem
     2889litem &119
    30802890pos 0
    30812891dimension 20
    30822892uid 10,0
    30832893)
    3084 *133 (MRCItem
    3085 litem &126
     2894*127 (MRCItem
     2895litem &120
    30862896pos 1
    30872897dimension 20
     
    30992909uid 235,0
    31002910optionalChildren [
    3101 *134 (MRCItem
    3102 litem &117
     2911*128 (MRCItem
     2912litem &111
    31032913pos 0
    31042914dimension 20
    31052915uid 236,0
    31062916)
    3107 *135 (MRCItem
    3108 litem &119
     2917*129 (MRCItem
     2918litem &113
    31092919pos 1
    31102920dimension 50
    31112921uid 237,0
    31122922)
    3113 *136 (MRCItem
    3114 litem &120
     2923*130 (MRCItem
     2924litem &114
    31152925pos 2
    31162926dimension 100
    31172927uid 238,0
    31182928)
    3119 *137 (MRCItem
    3120 litem &121
     2929*131 (MRCItem
     2930litem &115
    31212931pos 3
    31222932dimension 100
    31232933uid 239,0
    31242934)
    3125 *138 (MRCItem
    3126 litem &122
     2935*132 (MRCItem
     2936litem &116
    31272937pos 4
    31282938dimension 50
    31292939uid 240,0
    31302940)
    3131 *139 (MRCItem
    3132 litem &123
     2941*133 (MRCItem
     2942litem &117
    31332943pos 5
    31342944dimension 50
    31352945uid 241,0
    31362946)
    3137 *140 (MRCItem
    3138 litem &124
     2947*134 (MRCItem
     2948litem &118
    31392949pos 6
    31402950dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/@r@e@f@c@l@k_counter_tester/interface

    r10180 r10225  
    2929)
    3030version "24.1"
    31 appVersion "2009.2 (Build 10)"
     31appVersion "2009.1 (Build 12)"
    3232model (Symbol
    3333commonDM (CommonDM
    3434ldm (LogicalDM
    3535ordering 1
    36 suid 33,0
     36suid 68,0
    3737usingSuid 1
    3838emptyRow *1 (LEmptyRow
     
    8080t "std_logic"
    8181o 1
    82 suid 30,0
    83 )
    84 )
    85 uid 492,0
     82suid 64,0
     83)
     84)
     85uid 896,0
    8686)
    8787*15 (LogPort
     
    9292t "std_logic"
    9393o 2
    94 suid 31,0
    95 )
    96 )
    97 uid 494,0
     94suid 65,0
     95)
     96)
     97uid 898,0
    9898)
    9999*16 (LogPort
     100port (LogicalPort
     101m 1
     102decl (Decl
     103n "clk"
     104t "std_logic"
     105preAdd 0
     106posAdd 0
     107o 4
     108suid 66,0
     109)
     110)
     111uid 900,0
     112)
     113*17 (LogPort
    100114port (LogicalPort
    101115lang 10
     
    105119b "(11 DOWNTO 0)"
    106120o 3
    107 suid 32,0
    108 )
    109 )
    110 uid 496,0
    111 )
    112 *17 (LogPort
     121suid 67,0
     122)
     123)
     124uid 902,0
     125)
     126*18 (LogPort
    113127port (LogicalPort
    114128m 1
     
    116130n "refclk_in"
    117131t "std_logic"
    118 o 4
    119 suid 33,0
    120 )
    121 )
    122 uid 498,0
     132o 5
     133suid 68,0
     134)
     135)
     136uid 904,0
    123137)
    124138]
     
    129143uid 101,0
    130144optionalChildren [
    131 *18 (Sheet
     145*19 (Sheet
    132146sheetRow (SheetRow
    133147headerVa (MVa
     
    146160font "Tahoma,10,0"
    147161)
    148 emptyMRCItem *19 (MRCItem
     162emptyMRCItem *20 (MRCItem
    149163litem &1
    150164pos 3
     
    153167uid 103,0
    154168optionalChildren [
    155 *20 (MRCItem
     169*21 (MRCItem
    156170litem &2
    157171pos 0
     
    159173uid 104,0
    160174)
    161 *21 (MRCItem
     175*22 (MRCItem
    162176litem &3
    163177pos 1
     
    165179uid 105,0
    166180)
    167 *22 (MRCItem
     181*23 (MRCItem
    168182litem &4
    169183pos 2
     
    172186uid 106,0
    173187)
    174 *23 (MRCItem
     188*24 (MRCItem
    175189litem &14
    176190pos 0
    177191dimension 20
    178 uid 493,0
    179 )
    180 *24 (MRCItem
     192uid 897,0
     193)
     194*25 (MRCItem
    181195litem &15
    182196pos 1
    183197dimension 20
    184 uid 495,0
    185 )
    186 *25 (MRCItem
     198uid 899,0
     199)
     200*26 (MRCItem
    187201litem &16
    188202pos 2
    189203dimension 20
    190 uid 497,0
    191 )
    192 *26 (MRCItem
     204uid 901,0
     205)
     206*27 (MRCItem
    193207litem &17
    194208pos 3
    195209dimension 20
    196 uid 499,0
     210uid 903,0
     211)
     212*28 (MRCItem
     213litem &18
     214pos 4
     215dimension 20
     216uid 905,0
    197217)
    198218]
     
    207227uid 107,0
    208228optionalChildren [
    209 *27 (MRCItem
     229*29 (MRCItem
    210230litem &5
    211231pos 0
     
    213233uid 108,0
    214234)
    215 *28 (MRCItem
     235*30 (MRCItem
    216236litem &7
    217237pos 1
     
    219239uid 109,0
    220240)
    221 *29 (MRCItem
     241*31 (MRCItem
    222242litem &8
    223243pos 2
     
    225245uid 110,0
    226246)
    227 *30 (MRCItem
     247*32 (MRCItem
    228248litem &9
    229249pos 3
     
    231251uid 111,0
    232252)
    233 *31 (MRCItem
     253*33 (MRCItem
    234254litem &10
    235255pos 4
     
    237257uid 112,0
    238258)
    239 *32 (MRCItem
     259*34 (MRCItem
    240260litem &11
    241261pos 5
     
    243263uid 113,0
    244264)
    245 *33 (MRCItem
     265*35 (MRCItem
    246266litem &12
    247267pos 6
     
    249269uid 114,0
    250270)
    251 *34 (MRCItem
     271*36 (MRCItem
    252272litem &13
    253273pos 7
     
    270290genericsCommonDM (CommonDM
    271291ldm (LogicalDM
    272 emptyRow *35 (LEmptyRow
     292emptyRow *37 (LEmptyRow
    273293)
    274294uid 117,0
    275295optionalChildren [
    276 *36 (RefLabelRowHdr
    277 )
    278 *37 (TitleRowHdr
    279 )
    280 *38 (FilterRowHdr
    281 )
    282 *39 (RefLabelColHdr
     296*38 (RefLabelRowHdr
     297)
     298*39 (TitleRowHdr
     299)
     300*40 (FilterRowHdr
     301)
     302*41 (RefLabelColHdr
    283303tm "RefLabelColHdrMgr"
    284304)
    285 *40 (RowExpandColHdr
     305*42 (RowExpandColHdr
    286306tm "RowExpandColHdrMgr"
    287307)
    288 *41 (GroupColHdr
     308*43 (GroupColHdr
    289309tm "GroupColHdrMgr"
    290310)
    291 *42 (NameColHdr
     311*44 (NameColHdr
    292312tm "GenericNameColHdrMgr"
    293313)
    294 *43 (TypeColHdr
     314*45 (TypeColHdr
    295315tm "GenericTypeColHdrMgr"
    296316)
    297 *44 (InitColHdr
     317*46 (InitColHdr
    298318tm "GenericValueColHdrMgr"
    299319)
    300 *45 (PragmaColHdr
     320*47 (PragmaColHdr
    301321tm "GenericPragmaColHdrMgr"
    302322)
    303 *46 (EolColHdr
     323*48 (EolColHdr
    304324tm "GenericEolColHdrMgr"
    305325)
     
    311331uid 129,0
    312332optionalChildren [
    313 *47 (Sheet
     333*49 (Sheet
    314334sheetRow (SheetRow
    315335headerVa (MVa
     
    328348font "Tahoma,10,0"
    329349)
    330 emptyMRCItem *48 (MRCItem
    331 litem &35
     350emptyMRCItem *50 (MRCItem
     351litem &37
    332352pos 3
    333353dimension 20
     
    335355uid 131,0
    336356optionalChildren [
    337 *49 (MRCItem
    338 litem &36
     357*51 (MRCItem
     358litem &38
    339359pos 0
    340360dimension 20
    341361uid 132,0
    342362)
    343 *50 (MRCItem
    344 litem &37
     363*52 (MRCItem
     364litem &39
    345365pos 1
    346366dimension 23
    347367uid 133,0
    348368)
    349 *51 (MRCItem
    350 litem &38
     369*53 (MRCItem
     370litem &40
    351371pos 2
    352372hidden 1
     
    365385uid 135,0
    366386optionalChildren [
    367 *52 (MRCItem
    368 litem &39
     387*54 (MRCItem
     388litem &41
    369389pos 0
    370390dimension 20
    371391uid 136,0
    372392)
    373 *53 (MRCItem
    374 litem &41
     393*55 (MRCItem
     394litem &43
    375395pos 1
    376396dimension 50
    377397uid 137,0
    378398)
    379 *54 (MRCItem
    380 litem &42
     399*56 (MRCItem
     400litem &44
    381401pos 2
    382402dimension 100
    383403uid 138,0
    384404)
    385 *55 (MRCItem
    386 litem &43
     405*57 (MRCItem
     406litem &45
    387407pos 3
    388408dimension 100
    389409uid 139,0
    390410)
    391 *56 (MRCItem
    392 litem &44
     411*58 (MRCItem
     412litem &46
    393413pos 4
    394414dimension 50
    395415uid 140,0
    396416)
    397 *57 (MRCItem
    398 litem &45
     417*59 (MRCItem
     418litem &47
    399419pos 5
    400420dimension 50
    401421uid 141,0
    402422)
    403 *58 (MRCItem
    404 litem &46
     423*60 (MRCItem
     424litem &48
    405425pos 6
    406426dimension 80
     
    425445(vvPair
    426446variable "HDLDir"
    427 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
     447value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
    428448)
    429449(vvPair
    430450variable "HDSDir"
    431 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     451value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    432452)
    433453(vvPair
    434454variable "SideDataDesignDir"
    435 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.info"
     455value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.info"
    436456)
    437457(vvPair
    438458variable "SideDataUserDir"
    439 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.user"
     459value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.user"
    440460)
    441461(vvPair
    442462variable "SourceDir"
    443 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     463value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    444464)
    445465(vvPair
     
    457477(vvPair
    458478variable "d"
    459 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester"
     479value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester"
    460480)
    461481(vvPair
    462482variable "d_logical"
    463 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester"
     483value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester"
    464484)
    465485(vvPair
    466486variable "date"
    467 value "04.02.2011"
     487value "03.03.2011"
    468488)
    469489(vvPair
    470490variable "day"
    471 value "Fr"
     491value "Do"
    472492)
    473493(vvPair
    474494variable "day_long"
    475 value "Freitag"
     495value "Donnerstag"
    476496)
    477497(vvPair
    478498variable "dd"
    479 value "04"
     499value "03"
    480500)
    481501(vvPair
     
    505525(vvPair
    506526variable "host"
    507 value "E5B-LABOR6"
     527value "IHP110"
    508528)
    509529(vvPair
     
    516536)
    517537(vvPair
     538variable "library_downstream_HdsLintPlugin"
     539value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
     540)
     541(vvPair
    518542variable "library_downstream_ISEPARInvoke"
    519543value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
     
    533557(vvPair
    534558variable "mm"
    535 value "02"
     559value "03"
    536560)
    537561(vvPair
     
    541565(vvPair
    542566variable "month"
    543 value "Feb"
     567value "Mrz"
    544568)
    545569(vvPair
    546570variable "month_long"
    547 value "Februar"
     571value "März"
    548572)
    549573(vvPair
    550574variable "p"
    551 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface"
     575value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface"
    552576)
    553577(vvPair
    554578variable "p_logical"
    555 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester\\interface"
     579value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester\\interface"
    556580)
    557581(vvPair
     
    577601(vvPair
    578602variable "task_ModelSimPath"
    579 value "C:\\modeltech_6.6a\\win32"
     603value "D:\\modeltech_6.5e\\win32"
    580604)
    581605(vvPair
     
    609633(vvPair
    610634variable "time"
    611 value "09:29:18"
     635value "18:11:04"
    612636)
    613637(vvPair
     
    617641(vvPair
    618642variable "user"
    619 value "dneise"
     643value "daqct3"
    620644)
    621645(vvPair
    622646variable "version"
    623 value "2009.2 (Build 10)"
     647value "2009.1 (Build 12)"
    624648)
    625649(vvPair
     
    640664uid 86,0
    641665optionalChildren [
    642 *59 (SymbolBody
     666*61 (SymbolBody
    643667uid 8,0
    644668optionalChildren [
    645 *60 (CptPort
    646 uid 472,0
     669*62 (CptPort
     670uid 871,0
    647671ps "OnEdgeStrategy"
    648672shape (Triangle
    649 uid 473,0
     673uid 872,0
    650674ro 270
    651675va (VaSet
     
    656680)
    657681tg (CPTG
    658 uid 474,0
     682uid 873,0
    659683ps "CptPortTextPlaceStrategy"
    660684stg "RightVerticalLayoutStrategy"
    661685f (Text
    662 uid 475,0
    663 va (VaSet
    664 )
    665 xt "20400,7500,29000,8500"
     686uid 874,0
     687va (VaSet
     688)
     689xt "19000,7500,29000,8500"
    666690st "alarm_refclk_too_high"
    667691ju 2
     
    671695)
    672696dt (MLText
    673 uid 476,0
    674 va (VaSet
    675 font "Courier New,8,0"
     697uid 875,0
     698va (VaSet
    676699)
    677700)
     
    682705t "std_logic"
    683706o 1
    684 suid 30,0
    685 )
    686 )
    687 )
    688 *61 (CptPort
    689 uid 477,0
     707suid 64,0
     708)
     709)
     710)
     711*63 (CptPort
     712uid 876,0
    690713ps "OnEdgeStrategy"
    691714shape (Triangle
    692 uid 478,0
     715uid 877,0
    693716ro 270
    694717va (VaSet
     
    699722)
    700723tg (CPTG
    701 uid 479,0
     724uid 878,0
    702725ps "CptPortTextPlaceStrategy"
    703726stg "RightVerticalLayoutStrategy"
    704727f (Text
    705 uid 480,0
    706 va (VaSet
    707 )
    708 xt "20800,8500,29000,9500"
     728uid 879,0
     729va (VaSet
     730)
     731xt "19400,8500,29000,9500"
    709732st "alarm_refclk_too_low"
    710733ju 2
     
    714737)
    715738dt (MLText
    716 uid 481,0
    717 va (VaSet
    718 font "Courier New,8,0"
     739uid 880,0
     740va (VaSet
    719741)
    720742)
     
    725747t "std_logic"
    726748o 2
    727 suid 31,0
    728 )
    729 )
    730 )
    731 *62 (CptPort
    732 uid 482,0
     749suid 65,0
     750)
     751)
     752)
     753*64 (CptPort
     754uid 881,0
    733755ps "OnEdgeStrategy"
    734756shape (Triangle
    735 uid 483,0
     757uid 882,0
    736758ro 270
    737759va (VaSet
     
    739761fg "0,65535,0"
    740762)
     763xt "14250,8625,15000,9375"
     764)
     765tg (CPTG
     766uid 883,0
     767ps "CptPortTextPlaceStrategy"
     768stg "VerticalLayoutStrategy"
     769f (Text
     770uid 884,0
     771va (VaSet
     772)
     773xt "16000,8500,17500,9500"
     774st "clk"
     775blo "16000,9300"
     776tm "CptPortNameMgr"
     777)
     778)
     779dt (MLText
     780uid 885,0
     781va (VaSet
     782)
     783)
     784thePort (LogicalPort
     785m 1
     786decl (Decl
     787n "clk"
     788t "std_logic"
     789preAdd 0
     790posAdd 0
     791o 4
     792suid 66,0
     793)
     794)
     795)
     796*65 (CptPort
     797uid 886,0
     798ps "OnEdgeStrategy"
     799shape (Triangle
     800uid 887,0
     801ro 270
     802va (VaSet
     803vasetType 1
     804fg "0,65535,0"
     805)
    741806xt "30000,6625,30750,7375"
    742807)
    743808tg (CPTG
    744 uid 484,0
     809uid 888,0
    745810ps "CptPortTextPlaceStrategy"
    746811stg "RightVerticalLayoutStrategy"
    747812f (Text
    748 uid 485,0
    749 va (VaSet
    750 )
    751 xt "23400,6500,29000,7500"
     813uid 889,0
     814va (VaSet
     815)
     816xt "22600,6500,29000,7500"
    752817st "counter_result"
    753818ju 2
     
    757822)
    758823dt (MLText
    759 uid 486,0
    760 va (VaSet
    761 font "Courier New,8,0"
     824uid 890,0
     825va (VaSet
    762826)
    763827)
     
    769833b "(11 DOWNTO 0)"
    770834o 3
    771 suid 32,0
    772 )
    773 )
    774 )
    775 *63 (CptPort
    776 uid 487,0
     835suid 67,0
     836)
     837)
     838)
     839*66 (CptPort
     840uid 891,0
    777841ps "OnEdgeStrategy"
    778842shape (Triangle
    779 uid 488,0
     843uid 892,0
    780844ro 270
    781845va (VaSet
     
    786850)
    787851tg (CPTG
    788 uid 489,0
     852uid 893,0
    789853ps "CptPortTextPlaceStrategy"
    790854stg "VerticalLayoutStrategy"
    791855f (Text
    792 uid 490,0
    793 va (VaSet
    794 )
    795 xt "16000,7500,19200,8500"
     856uid 894,0
     857va (VaSet
     858)
     859xt "16000,7500,19800,8500"
    796860st "refclk_in"
    797861blo "16000,8300"
     
    800864)
    801865dt (MLText
    802 uid 491,0
    803 va (VaSet
    804 font "Courier New,8,0"
     866uid 895,0
     867va (VaSet
    805868)
    806869)
     
    810873n "refclk_in"
    811874t "std_logic"
    812 o 4
    813 suid 33,0
     875o 5
     876suid 68,0
    814877)
    815878)
     
    824887lineWidth 2
    825888)
    826 xt "15000,6000,30000,10000"
    827 )
     889xt "15000,6000,30000,11000"
     890)
     891oxt "15000,6000,30000,10000"
    828892biTextGroup (BiTextGroup
    829893uid 10,0
     
    835899font "Arial,8,1"
    836900)
    837 xt "17350,7000,25050,8000"
     901xt "17350,7500,25050,8500"
    838902st "FACT_FAD_TB_lib"
    839 blo "17350,7800"
     903blo "17350,8300"
    840904)
    841905second (Text
     
    844908font "Arial,8,1"
    845909)
    846 xt "17350,8000,27650,9000"
     910xt "17350,8500,27650,9500"
    847911st "REFCLK_counter_tester"
    848 blo "17350,8800"
    849 )
    850 )
    851 gi *64 (GenericInterface
     912blo "17350,9300"
     913)
     914)
     915gi *67 (GenericInterface
    852916uid 13,0
    853917ps "CenterOffsetStrategy"
     
    859923font "Courier New,8,0"
    860924)
    861 xt "-1500,4000,10000,4800"
     925xt "-1500,4500,10000,5300"
    862926st "Generic Declarations"
    863927)
     
    878942)
    879943)
    880 *65 (Grouping
     944*68 (Grouping
    881945uid 16,0
    882946optionalChildren [
    883 *66 (CommentText
     947*69 (CommentText
    884948uid 18,0
    885949shape (Rectangle
     
    899963bg "0,0,32768"
    900964)
    901 xt "36200,48000,45800,49000"
     965xt "36200,48000,47300,49000"
    902966st "
    903967by %user on %dd %month %year
     
    912976titleBlock 1
    913977)
    914 *67 (CommentText
     978*70 (CommentText
    915979uid 21,0
    916980shape (Rectangle
     
    930994bg "0,0,32768"
    931995)
    932 xt "53200,44000,56200,45000"
     996xt "53200,44000,56500,45000"
    933997st "
    934998Project:
     
    9431007titleBlock 1
    9441008)
    945 *68 (CommentText
     1009*71 (CommentText
    9461010uid 24,0
    9471011shape (Rectangle
     
    9611025bg "0,0,32768"
    9621026)
    963 xt "36200,46000,46200,47000"
     1027xt "36200,46000,47100,47000"
    9641028st "
    9651029<enter diagram title here>
     
    9741038titleBlock 1
    9751039)
    976 *69 (CommentText
     1040*72 (CommentText
    9771041uid 27,0
    9781042shape (Rectangle
     
    9921056bg "0,0,32768"
    9931057)
    994 xt "32200,46000,34300,47000"
     1058xt "32200,46000,34500,47000"
    9951059st "
    9961060Title:
     
    10051069titleBlock 1
    10061070)
    1007 *70 (CommentText
     1071*73 (CommentText
    10081072uid 30,0
    10091073shape (Rectangle
     
    10231087bg "0,0,32768"
    10241088)
    1025 xt "53200,45200,62400,46200"
     1089xt "53200,45200,63000,46200"
    10261090st "
    10271091<enter comments here>
     
    10351099titleBlock 1
    10361100)
    1037 *71 (CommentText
     1101*74 (CommentText
    10381102uid 33,0
    10391103shape (Rectangle
     
    10531117bg "0,0,32768"
    10541118)
    1055 xt "57200,44000,61700,45000"
     1119xt "57200,44000,61900,45000"
    10561120st "
    10571121%project_name
     
    10661130titleBlock 1
    10671131)
    1068 *72 (CommentText
     1132*75 (CommentText
    10691133uid 36,0
    10701134shape (Rectangle
     
    10831147fg "32768,0,0"
    10841148)
    1085 xt "39150,44500,45850,45500"
     1149xt "39200,44500,45800,45500"
    10861150st "
    10871151<company name>
     
    10971161titleBlock 1
    10981162)
    1099 *73 (CommentText
     1163*76 (CommentText
    11001164uid 39,0
    11011165shape (Rectangle
     
    11151179bg "0,0,32768"
    11161180)
    1117 xt "32200,47000,34300,48000"
     1181xt "32200,47000,34500,48000"
    11181182st "
    11191183Path:
     
    11281192titleBlock 1
    11291193)
    1130 *74 (CommentText
     1194*77 (CommentText
    11311195uid 42,0
    11321196shape (Rectangle
     
    11461210bg "0,0,32768"
    11471211)
    1148 xt "32200,48000,34900,49000"
     1212xt "32200,48000,35300,49000"
    11491213st "
    11501214Edited:
     
    11591223titleBlock 1
    11601224)
    1161 *75 (CommentText
     1225*78 (CommentText
    11621226uid 45,0
    11631227shape (Rectangle
     
    11771241bg "0,0,32768"
    11781242)
    1179 xt "36200,47000,52800,48000"
     1243xt "36200,47000,44700,48000"
    11801244st "
    11811245%library/%unit/%view
     
    12151279color "26368,26368,26368"
    12161280)
    1217 packageList *76 (PackageList
     1281packageList *79 (PackageList
    12181282uid 48,0
    12191283stg "VerticalLayoutStrategy"
    12201284textVec [
    1221 *77 (Text
     1285*80 (Text
    12221286uid 49,0
    12231287va (VaSet
     
    12281292blo "0,800"
    12291293)
    1230 *78 (MLText
     1294*81 (MLText
    12311295uid 50,0
    12321296va (VaSet
    12331297)
    1234 xt "0,1000,15000,7000"
     1298xt "0,1000,16700,7000"
    12351299st "LIBRARY ieee;
    12361300USE ieee.std_logic_1164.ALL;
     
    12611325fg "0,0,32768"
    12621326)
    1263 xt "200,200,2000,1200"
     1327xt "200,200,2400,1200"
    12641328st "
    12651329Text
     
    13291393)
    13301394)
    1331 gi *79 (GenericInterface
     1395gi *82 (GenericInterface
    13321396ps "CenterOffsetStrategy"
    13331397matrix (Matrix
     
    14261490)
    14271491)
    1428 DeclarativeBlock *80 (SymDeclBlock
     1492DeclarativeBlock *83 (SymDeclBlock
    14291493uid 1,0
    14301494stg "SymDeclLayoutStrategy"
     
    14841548)
    14851549)
    1486 lastUid 499,0
     1550lastUid 905,0
    14871551activeModelName "Symbol:CDM"
    14881552)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd

    r10180 r10225  
    6363)
    6464(Instance
    65 name "I_mainTB_w5300"
    66 duLibraryName "FACT_FAD_TB_lib"
    67 duName "w5300_emulator"
    68 elements [
    69 ]
    70 mwi 0
    71 uid 306,0
    72 )
    73 (Instance
    7465name "I_mainTB_max6662"
    7566duLibraryName "FACT_FAD_TB_lib"
     
    137128uid 1509,0
    138129)
     130(Instance
     131name "I_mainTB_w5300"
     132duLibraryName "FACT_FAD_TB_lib"
     133duName "w5300_emulator"
     134elements [
     135]
     136mwi 0
     137uid 2336,0
     138)
    139139]
    140140embeddedInstances [
     
    159159)
    160160version "29.1"
    161 appVersion "2009.2 (Build 10)"
     161appVersion "2009.1 (Build 12)"
    162162noEmbeddedEditors 1
    163163model (BlockDiag
     
    166166(vvPair
    167167variable "HDLDir"
    168 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
     168value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
    169169)
    170170(vvPair
    171171variable "HDSDir"
    172 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     172value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    173173)
    174174(vvPair
    175175variable "SideDataDesignDir"
    176 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"
     176value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"
    177177)
    178178(vvPair
    179179variable "SideDataUserDir"
    180 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"
     180value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"
    181181)
    182182(vvPair
    183183variable "SourceDir"
    184 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     184value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    185185)
    186186(vvPair
     
    198198(vvPair
    199199variable "d"
    200 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
     200value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
    201201)
    202202(vvPair
    203203variable "d_logical"
    204 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
     204value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
    205205)
    206206(vvPair
    207207variable "date"
    208 value "25.02.2011"
     208value "02.03.2011"
    209209)
    210210(vvPair
    211211variable "day"
    212 value "Fr"
     212value "Mi"
    213213)
    214214(vvPair
    215215variable "day_long"
    216 value "Freitag"
     216value "Mittwoch"
    217217)
    218218(vvPair
    219219variable "dd"
    220 value "25"
     220value "02"
    221221)
    222222(vvPair
     
    246246(vvPair
    247247variable "host"
    248 value "E5B-LABOR6"
     248value "IHP110"
    249249)
    250250(vvPair
     
    278278(vvPair
    279279variable "mm"
    280 value "02"
     280value "03"
    281281)
    282282(vvPair
     
    286286(vvPair
    287287variable "month"
    288 value "Feb"
     288value "Mrz"
    289289)
    290290(vvPair
    291291variable "month_long"
    292 value "Februar"
     292value "März"
    293293)
    294294(vvPair
    295295variable "p"
    296 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
     296value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
    297297)
    298298(vvPair
    299299variable "p_logical"
    300 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
     300value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
    301301)
    302302(vvPair
     
    322322(vvPair
    323323variable "task_ModelSimPath"
    324 value "C:\\modeltech_6.6a\\win32"
     324value "D:\\modeltech_6.5e\\win32"
    325325)
    326326(vvPair
     
    354354(vvPair
    355355variable "time"
    356 value "13:51:45"
     356value "15:31:34"
    357357)
    358358(vvPair
     
    362362(vvPair
    363363variable "user"
    364 value "dneise"
     364value "daqct3"
    365365)
    366366(vvPair
    367367variable "version"
    368 value "2009.2 (Build 10)"
     368value "2009.1 (Build 12)"
    369369)
    370370(vvPair
     
    406406bg "0,0,32768"
    407407)
    408 xt "109200,97000,118800,98000"
     408xt "109200,97000,119000,98000"
    409409st "
    410410by %user on %dd %month %year
     
    13741374fg "0,65535,0"
    13751375)
    1376 xt "80250,62625,81000,63375"
     1376xt "80250,66625,81000,67375"
    13771377)
    13781378tg (CPTG
     
    13841384va (VaSet
    13851385)
    1386 xt "82000,62500,86300,63500"
     1386xt "82000,66500,86300,67500"
    13871387st "drs_dwrite"
    1388 blo "82000,63300"
     1388blo "82000,67300"
    13891389)
    13901390)
     
    15821582fg "0,65535,0"
    15831583)
    1584 xt "80250,65625,81000,66375"
     1584xt "80250,64625,81000,65375"
    15851585)
    15861586tg (CPTG
     
    15921592va (VaSet
    15931593)
    1594 xt "82000,65500,85000,66500"
     1594xt "82000,64500,85000,65500"
    15951595st "SRCLK"
    1596 blo "82000,66300"
     1596blo "82000,65300"
    15971597)
    15981598)
     
    17971797shape (Triangle
    17981798uid 230,0
    1799 ro 90
     1799ro 270
    18001800va (VaSet
    18011801vasetType 1
    18021802fg "0,65535,0"
    18031803)
    1804 xt "109000,70625,109750,71375"
     1804xt "80250,65625,81000,66375"
    18051805)
    18061806tg (CPTG
    18071807uid 231,0
    18081808ps "CptPortTextPlaceStrategy"
    1809 stg "RightVerticalLayoutStrategy"
     1809stg "VerticalLayoutStrategy"
    18101810f (Text
    18111811uid 232,0
    18121812va (VaSet
    18131813)
    1814 xt "105000,70500,108000,71500"
     1814xt "82000,65500,85000,66500"
    18151815st "denable"
    1816 ju 2
    1817 blo "108000,71300"
     1816blo "82000,66300"
    18181817)
    18191818)
     
    25062505)
    25072506)
    2508 *65 (SaComponent
    2509 uid 306,0
    2510 optionalChildren [
    2511 *66 (CptPort
    2512 uid 290,0
    2513 ps "OnEdgeStrategy"
    2514 shape (Triangle
    2515 uid 291,0
    2516 ro 90
    2517 va (VaSet
    2518 vasetType 1
    2519 fg "0,65535,0"
    2520 )
    2521 xt "122250,20625,123000,21375"
    2522 )
    2523 tg (CPTG
    2524 uid 292,0
    2525 ps "CptPortTextPlaceStrategy"
    2526 stg "VerticalLayoutStrategy"
    2527 f (Text
    2528 uid 293,0
    2529 va (VaSet
    2530 )
    2531 xt "124000,20500,128500,21500"
    2532 st "addr : (9:0)"
    2533 blo "124000,21300"
    2534 )
    2535 )
    2536 thePort (LogicalPort
    2537 decl (Decl
    2538 n "addr"
    2539 t "std_logic_vector"
    2540 b "(9 DOWNTO 0)"
    2541 preAdd 0
    2542 posAdd 0
    2543 o 2
    2544 suid 1,0
    2545 )
    2546 )
    2547 )
    2548 *67 (CptPort
    2549 uid 294,0
    2550 ps "OnEdgeStrategy"
    2551 shape (Diamond
    2552 uid 295,0
    2553 ro 270
    2554 va (VaSet
    2555 vasetType 1
    2556 fg "0,65535,0"
    2557 )
    2558 xt "122250,21625,123000,22375"
    2559 )
    2560 tg (CPTG
    2561 uid 296,0
    2562 ps "CptPortTextPlaceStrategy"
    2563 stg "VerticalLayoutStrategy"
    2564 f (Text
    2565 uid 297,0
    2566 va (VaSet
    2567 )
    2568 xt "124000,21500,128800,22500"
    2569 st "data : (15:0)"
    2570 blo "124000,22300"
    2571 )
    2572 )
    2573 thePort (LogicalPort
    2574 m 2
    2575 decl (Decl
    2576 n "data"
    2577 t "std_logic_vector"
    2578 b "(15 DOWNTO 0)"
    2579 preAdd 0
    2580 posAdd 0
    2581 o 3
    2582 suid 2,0
    2583 )
    2584 )
    2585 )
    2586 *68 (CptPort
    2587 uid 298,0
    2588 ps "OnEdgeStrategy"
    2589 shape (Triangle
    2590 uid 299,0
    2591 ro 90
    2592 va (VaSet
    2593 vasetType 1
    2594 fg "0,65535,0"
    2595 )
    2596 xt "122250,24625,123000,25375"
    2597 )
    2598 tg (CPTG
    2599 uid 300,0
    2600 ps "CptPortTextPlaceStrategy"
    2601 stg "VerticalLayoutStrategy"
    2602 f (Text
    2603 uid 301,0
    2604 va (VaSet
    2605 )
    2606 xt "124000,24500,125100,25500"
    2607 st "rd"
    2608 blo "124000,25300"
    2609 )
    2610 )
    2611 thePort (LogicalPort
    2612 decl (Decl
    2613 n "rd"
    2614 t "std_logic"
    2615 preAdd 0
    2616 posAdd 0
    2617 o 4
    2618 suid 3,0
    2619 )
    2620 )
    2621 )
    2622 *69 (CptPort
    2623 uid 302,0
    2624 ps "OnEdgeStrategy"
    2625 shape (Triangle
    2626 uid 303,0
    2627 ro 90
    2628 va (VaSet
    2629 vasetType 1
    2630 fg "0,65535,0"
    2631 )
    2632 xt "122250,25625,123000,26375"
    2633 )
    2634 tg (CPTG
    2635 uid 304,0
    2636 ps "CptPortTextPlaceStrategy"
    2637 stg "VerticalLayoutStrategy"
    2638 f (Text
    2639 uid 305,0
    2640 va (VaSet
    2641 )
    2642 xt "124000,25500,125200,26500"
    2643 st "wr"
    2644 blo "124000,26300"
    2645 )
    2646 )
    2647 thePort (LogicalPort
    2648 decl (Decl
    2649 n "wr"
    2650 t "std_logic"
    2651 preAdd 0
    2652 posAdd 0
    2653 o 5
    2654 suid 4,0
    2655 )
    2656 )
    2657 )
    2658 *70 (CptPort
    2659 uid 2108,0
    2660 ps "OnEdgeStrategy"
    2661 shape (Triangle
    2662 uid 2109,0
    2663 ro 270
    2664 va (VaSet
    2665 vasetType 1
    2666 fg "0,65535,0"
    2667 )
    2668 xt "122250,26625,123000,27375"
    2669 )
    2670 tg (CPTG
    2671 uid 2110,0
    2672 ps "CptPortTextPlaceStrategy"
    2673 stg "VerticalLayoutStrategy"
    2674 f (Text
    2675 uid 2111,0
    2676 va (VaSet
    2677 )
    2678 xt "124000,26500,125200,27500"
    2679 st "int"
    2680 blo "124000,27300"
    2681 )
    2682 t (Text
    2683 uid 2112,0
    2684 va (VaSet
    2685 )
    2686 xt "124000,27500,125200,28500"
    2687 st "'0'"
    2688 blo "124000,28300"
    2689 )
    2690 )
    2691 thePort (LogicalPort
    2692 m 1
    2693 decl (Decl
    2694 n "int"
    2695 t "std_logic"
    2696 o 1
    2697 suid 5,0
    2698 i "'0'"
    2699 )
    2700 )
    2701 )
    2702 ]
    2703 shape (Rectangle
    2704 uid 307,0
    2705 va (VaSet
    2706 vasetType 1
    2707 fg "0,49152,49152"
    2708 lineColor "0,0,50000"
    2709 lineWidth 2
    2710 )
    2711 xt "123000,19000,133000,31000"
    2712 )
    2713 oxt "29000,2000,39000,12000"
    2714 ttg (MlTextGroup
    2715 uid 308,0
    2716 ps "CenterOffsetStrategy"
    2717 stg "VerticalLayoutStrategy"
    2718 textVec [
    2719 *71 (Text
    2720 uid 309,0
    2721 va (VaSet
    2722 font "Arial,8,1"
    2723 )
    2724 xt "123200,31000,130900,32000"
    2725 st "FACT_FAD_TB_lib"
    2726 blo "123200,31800"
    2727 tm "BdLibraryNameMgr"
    2728 )
    2729 *72 (Text
    2730 uid 310,0
    2731 va (VaSet
    2732 font "Arial,8,1"
    2733 )
    2734 xt "123200,32000,129800,33000"
    2735 st "w5300_emulator"
    2736 blo "123200,32800"
    2737 tm "CptNameMgr"
    2738 )
    2739 *73 (Text
    2740 uid 311,0
    2741 va (VaSet
    2742 font "Arial,8,1"
    2743 )
    2744 xt "123200,33000,130000,34000"
    2745 st "I_mainTB_w5300"
    2746 blo "123200,33800"
    2747 tm "InstanceNameMgr"
    2748 )
    2749 ]
    2750 )
    2751 ga (GenericAssociation
    2752 uid 312,0
    2753 ps "EdgeToEdgeStrategy"
    2754 matrix (Matrix
    2755 uid 313,0
    2756 text (MLText
    2757 uid 314,0
    2758 va (VaSet
    2759 font "Courier New,8,0"
    2760 )
    2761 xt "123000,18000,123000,18000"
    2762 )
    2763 header ""
    2764 )
    2765 elements [
    2766 ]
    2767 )
    2768 viewicon (ZoomableIcon
    2769 uid 315,0
    2770 sl 0
    2771 va (VaSet
    2772 vasetType 1
    2773 fg "49152,49152,49152"
    2774 )
    2775 xt "123250,29250,124750,30750"
    2776 iconName "VhdlFileViewIcon.png"
    2777 iconMaskName "VhdlFileViewIcon.msk"
    2778 ftype 10
    2779 )
    2780 ordering 1
    2781 viewiconposition 0
    2782 portVis (PortSigDisplay
    2783 sIVOD 1
    2784 )
    2785 archFileType "UNKNOWN"
    2786 )
    2787 *74 (Net
     2507*65 (Net
    27882508uid 316,0
    27892509decl (Decl
     
    28032523)
    28042524)
    2805 *75 (Net
     2525*66 (Net
    28062526uid 322,0
    28072527decl (Decl
     
    28212541)
    28222542)
    2823 *76 (Net
     2543*67 (Net
    28242544uid 328,0
    28252545decl (Decl
     
    28392559)
    28402560)
    2841 *77 (Net
     2561*68 (Net
    28422562uid 334,0
    28432563decl (Decl
     
    28572577)
    28582578)
    2859 *78 (SaComponent
     2579*69 (SaComponent
    28602580uid 362,0
    28612581optionalChildren [
    2862 *79 (CptPort
     2582*70 (CptPort
    28632583uid 350,0
    28642584ps "OnEdgeStrategy"
     
    28962616)
    28972617)
    2898 *80 (CptPort
     2618*71 (CptPort
    28992619uid 354,0
    29002620ps "OnEdgeStrategy"
     
    29332653)
    29342654)
    2935 *81 (CptPort
     2655*72 (CptPort
    29362656uid 358,0
    29372657ps "OnEdgeStrategy"
     
    29872707stg "VerticalLayoutStrategy"
    29882708textVec [
    2989 *82 (Text
     2709*73 (Text
    29902710uid 365,0
    29912711va (VaSet
     
    29972717tm "BdLibraryNameMgr"
    29982718)
    2999 *83 (Text
     2719*74 (Text
    30002720uid 366,0
    30012721va (VaSet
     
    30072727tm "CptNameMgr"
    30082728)
    3009 *84 (Text
     2729*75 (Text
    30102730uid 367,0
    30112731va (VaSet
     
    30612781archFileType "UNKNOWN"
    30622782)
    3063 *85 (Net
     2783*76 (Net
    30642784uid 372,0
    30652785decl (Decl
     
    30792799)
    30802800)
    3081 *86 (Net
     2801*77 (Net
    30822802uid 378,0
    30832803decl (Decl
     
    30962816)
    30972817)
    3098 *87 (Net
     2818*78 (Net
    30992819uid 384,0
    31002820decl (Decl
     
    31152835)
    31162836)
    3117 *88 (SaComponent
     2837*79 (SaComponent
    31182838uid 414,0
    31192839optionalChildren [
    3120 *89 (CptPort
     2840*80 (CptPort
    31212841uid 410,0
    31222842ps "OnEdgeStrategy"
     
    31732893stg "VerticalLayoutStrategy"
    31742894textVec [
    3175 *90 (Text
     2895*81 (Text
    31762896uid 417,0
    31772897va (VaSet
     
    31832903tm "BdLibraryNameMgr"
    31842904)
    3185 *91 (Text
     2905*82 (Text
    31862906uid 418,0
    31872907va (VaSet
     
    31932913tm "CptNameMgr"
    31942914)
    3195 *92 (Text
     2915*83 (Text
    31962916uid 419,0
    31972917va (VaSet
     
    32532973archFileType "UNKNOWN"
    32542974)
    3255 *93 (Net
     2975*84 (Net
    32562976uid 424,0
    32572977decl (Decl
     
    32722992)
    32732993)
    3274 *94 (HdlText
     2994*85 (HdlText
    32752995uid 430,0
    32762996optionalChildren [
    3277 *95 (EmbeddedText
     2997*86 (EmbeddedText
    32782998uid 436,0
    32792999commentText (CommentText
     
    33263046stg "VerticalLayoutStrategy"
    33273047textVec [
    3328 *96 (Text
     3048*87 (Text
    33293049uid 433,0
    33303050va (VaSet
     
    33363056tm "HdlTextNameMgr"
    33373057)
    3338 *97 (Text
     3058*88 (Text
    33393059uid 434,0
    33403060va (VaSet
     
    33623082viewiconposition 0
    33633083)
    3364 *98 (Net
     3084*89 (Net
    33653085uid 440,0
    33663086decl (Decl
     
    33823102)
    33833103)
    3384 *99 (Net
     3104*90 (Net
    33853105uid 448,0
    33863106decl (Decl
     
    34003120)
    34013121)
    3402 *100 (SaComponent
     3122*91 (SaComponent
    34033123uid 508,0
    34043124optionalChildren [
    3405 *101 (CptPort
     3125*92 (CptPort
    34063126uid 489,0
    34073127ps "OnEdgeStrategy"
     
    34393159)
    34403160)
    3441 *102 (CptPort
     3161*93 (CptPort
    34423162uid 493,0
    34433163ps "OnEdgeStrategy"
     
    34783198)
    34793199)
    3480 *103 (CptPort
     3200*94 (CptPort
    34813201uid 497,0
    34823202ps "OnEdgeStrategy"
     
    35163236)
    35173237)
    3518 *104 (CptPort
     3238*95 (CptPort
    35193239uid 501,0
    35203240ps "OnEdgeStrategy"
     
    35703290stg "VerticalLayoutStrategy"
    35713291textVec [
    3572 *105 (Text
     3292*96 (Text
    35733293uid 511,0
    35743294va (VaSet
     
    35803300tm "BdLibraryNameMgr"
    35813301)
    3582 *106 (Text
     3302*97 (Text
    35833303uid 512,0
    35843304va (VaSet
     
    35903310tm "CptNameMgr"
    35913311)
    3592 *107 (Text
     3312*98 (Text
    35933313uid 513,0
    35943314va (VaSet
     
    36443364archFileType "UNKNOWN"
    36453365)
    3646 *108 (HdlText
     3366*99 (HdlText
    36473367uid 518,0
    36483368optionalChildren [
    3649 *109 (EmbeddedText
     3369*100 (EmbeddedText
    36503370uid 524,0
    36513371commentText (CommentText
     
    37043424stg "VerticalLayoutStrategy"
    37053425textVec [
    3706 *110 (Text
     3426*101 (Text
    37073427uid 521,0
    37083428va (VaSet
     
    37143434tm "HdlTextNameMgr"
    37153435)
    3716 *111 (Text
     3436*102 (Text
    37173437uid 522,0
    37183438va (VaSet
     
    37403460viewiconposition 0
    37413461)
    3742 *112 (Net
     3462*103 (Net
    37433463uid 528,0
    37443464decl (Decl
     
    37583478)
    37593479)
    3760 *113 (Net
     3480*104 (Net
    37613481uid 536,0
    37623482decl (Decl
     
    37753495)
    37763496)
    3777 *114 (Net
     3497*105 (Net
    37783498uid 544,0
    37793499decl (Decl
     
    37943514)
    37953515)
    3796 *115 (Net
     3516*106 (Net
    37973517uid 560,0
    37983518decl (Decl
     
    38133533)
    38143534)
    3815 *116 (Net
     3535*107 (Net
    38163536uid 568,0
    38173537decl (Decl
     
    38333553)
    38343554)
    3835 *117 (Net
     3555*108 (Net
    38363556uid 767,0
    38373557decl (Decl
     
    38513571)
    38523572)
    3853 *118 (Net
     3573*109 (Net
    38543574uid 775,0
    38553575decl (Decl
     
    38713591)
    38723592)
    3873 *119 (Net
     3593*110 (Net
    38743594uid 783,0
    38753595decl (Decl
     
    38893609)
    38903610)
    3891 *120 (Net
     3611*111 (Net
    38923612uid 791,0
    38933613decl (Decl
     
    39063626)
    39073627)
    3908 *121 (Net
     3628*112 (Net
    39093629uid 799,0
    39103630decl (Decl
     
    39233643)
    39243644)
    3925 *122 (Net
     3645*113 (Net
    39263646uid 807,0
    39273647decl (Decl
     
    39413661)
    39423662)
    3943 *123 (Net
     3663*114 (Net
    39443664uid 815,0
    39453665decl (Decl
     
    39613681)
    39623682)
    3963 *124 (Net
     3683*115 (Net
    39643684uid 823,0
    39653685decl (Decl
     
    39783698)
    39793699)
    3980 *125 (Net
     3700*116 (Net
    39813701uid 831,0
    39823702decl (Decl
     
    39953715)
    39963716)
    3997 *126 (Net
     3717*117 (Net
    39983718uid 839,0
    39993719decl (Decl
     
    40143734)
    40153735)
    4016 *127 (Net
     3736*118 (Net
    40173737uid 847,0
    40183738decl (Decl
     
    40323752)
    40333753)
    4034 *128 (Net
     3754*119 (Net
    40353755uid 855,0
    40363756decl (Decl
     
    40503770)
    40513771)
    4052 *129 (Net
     3772*120 (Net
    40533773uid 863,0
    40543774decl (Decl
     
    40683788)
    40693789)
    4070 *130 (Net
     3790*121 (Net
    40713791uid 871,0
    40723792decl (Decl
     
    40853805)
    40863806)
    4087 *131 (Net
     3807*122 (Net
    40883808uid 879,0
    40893809decl (Decl
     
    41023822)
    41033823)
    4104 *132 (Net
     3824*123 (Net
    41053825uid 887,0
    41063826decl (Decl
     
    41193839)
    41203840)
    4121 *133 (Net
     3841*124 (Net
    41223842uid 895,0
    41233843decl (Decl
     
    41363856)
    41373857)
    4138 *134 (Net
     3858*125 (Net
    41393859uid 1435,0
    41403860decl (Decl
     
    41543874)
    41553875)
    4156 *135 (Net
     3876*126 (Net
    41573877uid 1443,0
    41583878decl (Decl
     
    41713891)
    41723892)
    4173 *136 (Net
     3893*127 (Net
    41743894uid 1451,0
    41753895decl (Decl
     
    41883908)
    41893909)
    4190 *137 (Net
     3910*128 (Net
    41913911uid 1459,0
    41923912decl (Decl
     
    42053925)
    42063926)
    4207 *138 (Net
     3927*129 (Net
    42083928uid 1467,0
    42093929decl (Decl
     
    42233943)
    42243944)
    4225 *139 (Net
     3945*130 (Net
    42263946uid 1475,0
    42273947decl (Decl
     
    42413961)
    42423962)
    4243 *140 (Net
     3963*131 (Net
    42443964uid 1483,0
    42453965decl (Decl
     
    42583978)
    42593979)
    4260 *141 (HdlText
     3980*132 (HdlText
    42613981uid 1491,0
    42623982optionalChildren [
    4263 *142 (EmbeddedText
     3983*133 (EmbeddedText
    42643984uid 1497,0
    42653985commentText (CommentText
     
    43164036stg "VerticalLayoutStrategy"
    43174037textVec [
    4318 *143 (Text
     4038*134 (Text
    43194039uid 1494,0
    43204040va (VaSet
     
    43264046tm "HdlTextNameMgr"
    43274047)
    4328 *144 (Text
     4048*135 (Text
    43294049uid 1495,0
    43304050va (VaSet
     
    43524072viewiconposition 0
    43534073)
    4354 *145 (Net
     4074*136 (Net
    43554075uid 1501,0
    43564076decl (Decl
     
    43704090)
    43714091)
    4372 *146 (SaComponent
     4092*137 (SaComponent
    43734093uid 1509,0
    43744094optionalChildren [
    4375 *147 (CptPort
     4095*138 (CptPort
    43764096uid 1519,0
    43774097ps "OnEdgeStrategy"
     
    44094129)
    44104130)
    4411 *148 (CptPort
     4131*139 (CptPort
    44124132uid 1523,0
    44134133ps "OnEdgeStrategy"
     
    44624182stg "VerticalLayoutStrategy"
    44634183textVec [
    4464 *149 (Text
     4184*140 (Text
    44654185uid 1512,0
    44664186va (VaSet
     
    44724192tm "BdLibraryNameMgr"
    44734193)
    4474 *150 (Text
     4194*141 (Text
    44754195uid 1513,0
    44764196va (VaSet
     
    44824202tm "CptNameMgr"
    44834203)
    4484 *151 (Text
     4204*142 (Text
    44854205uid 1514,0
    44864206va (VaSet
     
    45414261archFileType "UNKNOWN"
    45424262)
    4543 *152 (Net
     4263*143 (Net
    45444264uid 1559,0
    45454265decl (Decl
     
    45604280)
    45614281)
    4562 *153 (Net
     4282*144 (Net
    45634283uid 1682,0
    45644284lang 2
     
    45784298)
    45794299)
    4580 *154 (Net
     4300*145 (Net
    45814301uid 2001,0
    45824302decl (Decl
     
    45954315st "SIGNAL REF_CLK               : STD_LOGIC                    := '0'"
    45964316)
     4317)
     4318*146 (SaComponent
     4319uid 2336,0
     4320optionalChildren [
     4321*147 (CptPort
     4322uid 2315,0
     4323ps "OnEdgeStrategy"
     4324shape (Triangle
     4325uid 2316,0
     4326ro 90
     4327va (VaSet
     4328vasetType 1
     4329fg "0,65535,0"
     4330)
     4331xt "122250,20625,123000,21375"
     4332)
     4333tg (CPTG
     4334uid 2317,0
     4335ps "CptPortTextPlaceStrategy"
     4336stg "VerticalLayoutStrategy"
     4337f (Text
     4338uid 2318,0
     4339va (VaSet
     4340)
     4341xt "124000,20500,128500,21500"
     4342st "addr : (9:0)"
     4343blo "124000,21300"
     4344)
     4345)
     4346thePort (LogicalPort
     4347decl (Decl
     4348n "addr"
     4349t "std_logic_vector"
     4350b "(9 DOWNTO 0)"
     4351preAdd 0
     4352posAdd 0
     4353o 2
     4354suid 1,0
     4355)
     4356)
     4357)
     4358*148 (CptPort
     4359uid 2319,0
     4360ps "OnEdgeStrategy"
     4361shape (Diamond
     4362uid 2320,0
     4363ro 270
     4364va (VaSet
     4365vasetType 1
     4366fg "0,65535,0"
     4367)
     4368xt "122250,21625,123000,22375"
     4369)
     4370tg (CPTG
     4371uid 2321,0
     4372ps "CptPortTextPlaceStrategy"
     4373stg "VerticalLayoutStrategy"
     4374f (Text
     4375uid 2322,0
     4376va (VaSet
     4377)
     4378xt "124000,21500,128800,22500"
     4379st "data : (15:0)"
     4380blo "124000,22300"
     4381)
     4382)
     4383thePort (LogicalPort
     4384m 2
     4385decl (Decl
     4386n "data"
     4387t "std_logic_vector"
     4388b "(15 DOWNTO 0)"
     4389preAdd 0
     4390posAdd 0
     4391o 3
     4392suid 2,0
     4393)
     4394)
     4395)
     4396*149 (CptPort
     4397uid 2323,0
     4398ps "OnEdgeStrategy"
     4399shape (Triangle
     4400uid 2324,0
     4401ro 90
     4402va (VaSet
     4403vasetType 1
     4404fg "0,65535,0"
     4405)
     4406xt "122250,24625,123000,25375"
     4407)
     4408tg (CPTG
     4409uid 2325,0
     4410ps "CptPortTextPlaceStrategy"
     4411stg "VerticalLayoutStrategy"
     4412f (Text
     4413uid 2326,0
     4414va (VaSet
     4415)
     4416xt "124000,24500,125100,25500"
     4417st "rd"
     4418blo "124000,25300"
     4419)
     4420)
     4421thePort (LogicalPort
     4422decl (Decl
     4423n "rd"
     4424t "std_logic"
     4425preAdd 0
     4426posAdd 0
     4427o 4
     4428suid 3,0
     4429)
     4430)
     4431)
     4432*150 (CptPort
     4433uid 2327,0
     4434ps "OnEdgeStrategy"
     4435shape (Triangle
     4436uid 2328,0
     4437ro 90
     4438va (VaSet
     4439vasetType 1
     4440fg "0,65535,0"
     4441)
     4442xt "122250,25625,123000,26375"
     4443)
     4444tg (CPTG
     4445uid 2329,0
     4446ps "CptPortTextPlaceStrategy"
     4447stg "VerticalLayoutStrategy"
     4448f (Text
     4449uid 2330,0
     4450va (VaSet
     4451)
     4452xt "124000,25500,125200,26500"
     4453st "wr"
     4454blo "124000,26300"
     4455)
     4456)
     4457thePort (LogicalPort
     4458decl (Decl
     4459n "wr"
     4460t "std_logic"
     4461preAdd 0
     4462posAdd 0
     4463o 5
     4464suid 4,0
     4465)
     4466)
     4467)
     4468*151 (CptPort
     4469uid 2331,0
     4470ps "OnEdgeStrategy"
     4471shape (Triangle
     4472uid 2332,0
     4473ro 270
     4474va (VaSet
     4475vasetType 1
     4476fg "0,65535,0"
     4477)
     4478xt "122250,26625,123000,27375"
     4479)
     4480tg (CPTG
     4481uid 2333,0
     4482ps "CptPortTextPlaceStrategy"
     4483stg "VerticalLayoutStrategy"
     4484f (Text
     4485uid 2334,0
     4486va (VaSet
     4487)
     4488xt "124000,26500,125200,27500"
     4489st "int"
     4490blo "124000,27300"
     4491)
     4492t (Text
     4493uid 2335,0
     4494va (VaSet
     4495)
     4496xt "124000,27500,125200,28500"
     4497st "'1'"
     4498blo "124000,28300"
     4499)
     4500)
     4501thePort (LogicalPort
     4502m 1
     4503decl (Decl
     4504n "int"
     4505t "std_logic"
     4506o 1
     4507suid 5,0
     4508i "'1'"
     4509)
     4510)
     4511)
     4512]
     4513shape (Rectangle
     4514uid 2337,0
     4515va (VaSet
     4516vasetType 1
     4517fg "0,49152,49152"
     4518lineColor "0,0,50000"
     4519lineWidth 2
     4520)
     4521xt "123000,19000,133000,31000"
     4522)
     4523oxt "29000,0,39000,12000"
     4524ttg (MlTextGroup
     4525uid 2338,0
     4526ps "CenterOffsetStrategy"
     4527stg "VerticalLayoutStrategy"
     4528textVec [
     4529*152 (Text
     4530uid 2339,0
     4531va (VaSet
     4532font "Arial,8,1"
     4533)
     4534xt "123200,31000,130900,32000"
     4535st "FACT_FAD_TB_lib"
     4536blo "123200,31800"
     4537tm "BdLibraryNameMgr"
     4538)
     4539*153 (Text
     4540uid 2340,0
     4541va (VaSet
     4542font "Arial,8,1"
     4543)
     4544xt "123200,32000,129800,33000"
     4545st "w5300_emulator"
     4546blo "123200,32800"
     4547tm "CptNameMgr"
     4548)
     4549*154 (Text
     4550uid 2341,0
     4551va (VaSet
     4552font "Arial,8,1"
     4553)
     4554xt "123200,33000,130000,34000"
     4555st "I_mainTB_w5300"
     4556blo "123200,33800"
     4557tm "InstanceNameMgr"
     4558)
     4559]
     4560)
     4561ga (GenericAssociation
     4562uid 2342,0
     4563ps "EdgeToEdgeStrategy"
     4564matrix (Matrix
     4565uid 2343,0
     4566text (MLText
     4567uid 2344,0
     4568va (VaSet
     4569font "Courier New,8,0"
     4570)
     4571xt "123000,18000,123000,18000"
     4572)
     4573header ""
     4574)
     4575elements [
     4576]
     4577)
     4578viewicon (ZoomableIcon
     4579uid 2345,0
     4580sl 0
     4581va (VaSet
     4582vasetType 1
     4583fg "49152,49152,49152"
     4584)
     4585xt "123250,29250,124750,30750"
     4586iconName "VhdlFileViewIcon.png"
     4587iconMaskName "VhdlFileViewIcon.msk"
     4588ftype 10
     4589)
     4590ordering 1
     4591viewiconposition 0
     4592portVis (PortSigDisplay
     4593sIVOD 1
     4594)
     4595archFileType "UNKNOWN"
    45974596)
    45984597*155 (Wire
     
    46474646)
    46484647start &19
    4649 end &66
     4648end &147
    46504649sat 32
    46514650eat 32
     
    46684667)
    46694668)
    4670 on &74
     4669on &65
    46714670)
    46724671*157 (Wire
     
    46854684)
    46864685start &20
    4687 end &67
     4686end &148
    46884687sat 32
    46894688eat 32
     
    47064705)
    47074706)
    4708 on &75
     4707on &66
    47094708)
    47104709*158 (Wire
     
    47224721)
    47234722start &23
    4724 end &68
     4723end &149
    47254724sat 32
    47264725eat 32
     
    47424741)
    47434742)
    4744 on &76
     4743on &67
    47454744)
    47464745*159 (Wire
     
    47584757)
    47594758start &22
    4760 end &69
     4759end &150
    47614760sat 32
    47624761eat 32
     
    47784777)
    47794778)
    4780 on &77
     4779on &68
    47814780)
    47824781*160 (Wire
     
    47974796)
    47984797start &41
    4799 end &81
     4798end &72
    48004799sat 32
    48014800eat 32
     
    48184817)
    48194818)
    4820 on &85
     4819on &76
    48214820)
    48224821*161 (Wire
     
    48344833)
    48354834start &38
    4836 end &79
     4835end &70
    48374836sat 32
    48384837eat 32
     
    48544853)
    48554854)
    4856 on &86
     4855on &77
    48574856)
    48584857*162 (Wire
     
    48704869)
    48714870start &39
    4872 end &80
     4871end &71
    48734872sat 32
    48744873eat 32
     
    48904889)
    48914890)
    4892 on &87
     4891on &78
    48934892)
    48944893*163 (Wire
     
    49054904]
    49064905)
    4907 start &89
     4906start &80
    49084907end &15
    49094908sat 32
     
    49254924)
    49264925)
    4927 on &93
     4926on &84
    49284927)
    49294928*164 (Wire
     
    49444943)
    49454944start &17
    4946 end &94
     4945end &85
    49474946sat 32
    49484947eat 2
     
    49654964)
    49664965)
    4967 on &98
     4966on &89
    49684967)
    49694968*165 (Wire
     
    49844983)
    49854984start &18
    4986 end &94
     4985end &85
    49874986sat 32
    49884987eat 2
     
    50055004)
    50065005)
    5007 on &99
     5006on &90
    50085007)
    50095008*166 (Wire
     
    50245023)
    50255024start &28
    5026 end &108
     5025end &99
    50275026sat 32
    50285027eat 2
     
    50455044)
    50465045)
    5047 on &112
     5046on &103
    50485047)
    50495048*167 (Wire
     
    50645063)
    50655064start &29
    5066 end &108
     5065end &99
    50675066sat 32
    50685067eat 2
     
    50855084)
    50865085)
    5087 on &113
     5086on &104
    50885087)
    50895088*168 (Wire
     
    51035102)
    51045103start &16
    5105 end &108
     5104end &99
    51065105sat 32
    51075106eat 1
     
    51235122)
    51245123)
    5125 on &114
     5124on &105
    51265125)
    51275126*169 (Wire
     
    51385137]
    51395138)
    5140 start &108
    5141 end &104
     5139start &99
     5140end &95
    51425141sat 2
    51435142eat 32
     
    51585157)
    51595158)
    5160 on &114
     5159on &105
    51615160)
    51625161*170 (Wire
     
    51735172]
    51745173)
    5175 start &103
    5176 end &108
     5174start &94
     5175end &99
    51775176sat 32
    51785177eat 1
     
    51935192)
    51945193)
    5195 on &115
     5194on &106
    51965195)
    51975196*171 (Wire
     
    52095208]
    52105209)
    5211 start &102
    5212 end &108
     5210start &93
     5211end &99
    52135212sat 32
    52145213eat 1
     
    52305229)
    52315230)
    5232 on &116
     5231on &107
    52335232)
    52345233*172 (Wire
     
    52455244]
    52465245)
    5247 start &101
     5246start &92
    52485247sat 32
    52495248eat 16
     
    52645263)
    52655264)
    5266 on &153
     5265on &144
    52675266)
    52685267*173 (Wire
     
    52995298)
    53005299)
    5301 on &117
     5300on &108
    53025301)
    53035302*174 (Wire
     
    53365335)
    53375336)
    5338 on &118
     5337on &109
    53395338)
    53405339*175 (Wire
     
    53715370)
    53725371)
    5373 on &119
     5372on &110
    53745373)
    53755374*176 (Wire
     
    53865385]
    53875386)
    5388 start &70
     5387start &151
    53895388end &24
    5390 ss 0
    53915389sat 32
    53925390eat 32
     
    54085406)
    54095407)
    5410 on &120
     5408on &111
    54115409)
    54125410*177 (Wire
     
    54435441)
    54445442)
    5445 on &121
     5443on &112
    54465444)
    54475445*178 (Wire
     
    54785476)
    54795477)
    5480 on &122
     5478on &113
    54815479)
    54825480*179 (Wire
     
    54875485vasetType 3
    54885486)
    5489 xt "109750,71000,116000,71000"
     5487xt "70000,66000,80250,66000"
    54905488pts [
    5491 "109750,71000"
    5492 "116000,71000"
     5489"80250,66000"
     5490"70000,66000"
    54935491]
    54945492)
     
    55075505va (VaSet
    55085506)
    5509 xt "111000,70000,114000,71000"
     5507xt "71000,65000,74000,66000"
    55105508st "denable"
    5511 blo "111000,70800"
     5509blo "71000,65800"
    55125510tm "WireNameMgr"
    55135511)
    55145512)
    5515 on &123
     5513on &114
    55165514)
    55175515*180 (Wire
     
    55485546)
    55495547)
    5550 on &124
     5548on &115
    55515549)
    55525550*181 (Wire
     
    55835581)
    55845582)
    5585 on &125
     5583on &116
    55865584)
    55875585*182 (Wire
     
    56205618)
    56215619)
    5622 on &126
     5620on &117
    56235621)
    56245622*183 (Wire
     
    56295627vasetType 3
    56305628)
    5631 xt "70000,63000,80250,63000"
     5629xt "70000,67000,80250,67000"
    56325630pts [
    5633 "80250,63000"
    5634 "70000,63000"
     5631"80250,67000"
     5632"70000,67000"
    56355633]
    56365634)
    56375635start &31
     5636ss 0
    56385637sat 32
    56395638eat 16
     
    56495648va (VaSet
    56505649)
    5651 xt "71000,62000,75300,63000"
     5650xt "71000,66000,75300,67000"
    56525651st "drs_dwrite"
    5653 blo "71000,62800"
     5652blo "71000,66800"
    56545653tm "WireNameMgr"
    56555654)
    56565655)
    5657 on &127
     5656on &118
    56585657)
    56595658*184 (Wire
     
    56905689)
    56915690)
    5692 on &128
     5691on &119
    56935692)
    56945693*185 (Wire
     
    56995698vasetType 3
    57005699)
    5701 xt "70000,66000,80250,66000"
     5700xt "70000,65000,80250,65000"
    57025701pts [
    5703 "80250,66000"
    5704 "70000,66000"
     5702"80250,65000"
     5703"70000,65000"
    57055704]
    57065705)
     
    57195718va (VaSet
    57205719)
    5721 xt "71000,65000,74000,66000"
     5720xt "71000,64000,74000,65000"
    57225721st "SRCLK"
    5723 blo "71000,65800"
     5722blo "71000,64800"
    57245723tm "WireNameMgr"
    57255724)
    57265725)
    5727 on &129
     5726on &120
    57285727)
    57295728*186 (Wire
     
    57605759)
    57615760)
    5762 on &130
     5761on &121
    57635762)
    57645763*187 (Wire
     
    57955794)
    57965795)
    5797 on &131
     5796on &122
    57985797)
    57995798*188 (Wire
     
    58305829)
    58315830)
    5832 on &132
     5831on &123
    58335832)
    58345833*189 (Wire
     
    58655864)
    58665865)
    5867 on &133
     5866on &124
    58685867)
    58695868*190 (Wire
     
    59005899)
    59015900)
    5902 on &134
     5901on &125
    59035902)
    59045903*191 (Wire
     
    59355934)
    59365935)
    5937 on &135
     5936on &126
    59385937)
    59395938*192 (Wire
     
    59705969)
    59715970)
    5972 on &136
     5971on &127
    59735972)
    59745973*193 (Wire
     
    60056004)
    60066005)
    6007 on &137
     6006on &128
    60086007)
    60096008*194 (Wire
     
    60426041)
    60436042)
    6044 on &138
     6043on &129
    60456044)
    60466045*195 (Wire
     
    60776076)
    60786077)
    6079 on &139
     6078on &130
    60806079)
    60816080*196 (Wire
     
    61126111)
    61136112)
    6114 on &140
     6113on &131
    61156114)
    61166115*197 (Wire
     
    61496148)
    61506149)
    6151 on &145
     6150on &136
    61526151)
    61536152*198 (Wire
     
    61666165]
    61676166)
    6168 start &147
     6167start &138
    61696168end &49
    61706169sat 32
     
    61876186)
    61886187)
    6189 on &154
     6188on &145
    61906189)
    61916190*199 (Wire
     
    62026201]
    62036202)
    6204 start &141
     6203start &132
    62056204sat 2
    62066205eat 16
     
    62226221)
    62236222)
    6224 on &145
     6223on &136
    62256224)
    62266225*200 (Wire
     
    62596258)
    62606259)
    6261 on &152
     6260on &143
    62626261)
    62636262*201 (Wire
     
    62746273]
    62756274)
    6276 start &141
     6275start &132
    62776276sat 2
    62786277eat 16
     
    62946293)
    62956294)
    6296 on &152
     6295on &143
    62976296)
    62986297*202 (Wire
     
    63296328)
    63306329)
    6331 on &153
     6330on &144
    63326331)
    63336332]
     
    64486447associable 1
    64496448)
    6450 windowSize "0,22,1281,1024"
    6451 viewArea "69170,4172,136013,56147"
     6449windowSize "0,0,1681,1030"
     6450viewArea "60000,4200,152106,60144"
    64526451cachedDiagramExtent "-92000,0,146000,98000"
    64536452pageSetupInfo (PageSetupInfo
     
    64626461hasePageBreakOrigin 1
    64636462pageBreakOrigin "-146000,0"
    6464 lastUid 2112,0
     6463lastUid 2446,0
    64656464defaultCommentText (CommentText
    64666465shape (Rectangle
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd.bak

    r10180 r10225  
    6363)
    6464(Instance
    65 name "I_mainTB_w5300"
    66 duLibraryName "FACT_FAD_TB_lib"
    67 duName "w5300_emulator"
    68 elements [
    69 ]
    70 mwi 0
    71 uid 306,0
    72 )
    73 (Instance
    7465name "I_mainTB_max6662"
    7566duLibraryName "FACT_FAD_TB_lib"
     
    137128uid 1509,0
    138129)
     130(Instance
     131name "I_mainTB_w5300"
     132duLibraryName "FACT_FAD_TB_lib"
     133duName "w5300_emulator"
     134elements [
     135]
     136mwi 0
     137uid 2336,0
     138)
    139139]
    140140embeddedInstances [
     
    159159)
    160160version "29.1"
    161 appVersion "2009.2 (Build 10)"
     161appVersion "2009.1 (Build 12)"
    162162noEmbeddedEditors 1
    163163model (BlockDiag
     
    166166(vvPair
    167167variable "HDLDir"
    168 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
     168value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
    169169)
    170170(vvPair
    171171variable "HDSDir"
    172 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     172value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    173173)
    174174(vvPair
    175175variable "SideDataDesignDir"
    176 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"
     176value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"
    177177)
    178178(vvPair
    179179variable "SideDataUserDir"
    180 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"
     180value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"
    181181)
    182182(vvPair
    183183variable "SourceDir"
    184 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     184value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    185185)
    186186(vvPair
     
    198198(vvPair
    199199variable "d"
    200 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
     200value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
    201201)
    202202(vvPair
    203203variable "d_logical"
    204 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
     204value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"
    205205)
    206206(vvPair
    207207variable "date"
    208 value "25.02.2011"
     208value "02.03.2011"
    209209)
    210210(vvPair
    211211variable "day"
    212 value "Fr"
     212value "Mi"
    213213)
    214214(vvPair
    215215variable "day_long"
    216 value "Freitag"
     216value "Mittwoch"
    217217)
    218218(vvPair
    219219variable "dd"
    220 value "25"
     220value "02"
    221221)
    222222(vvPair
     
    246246(vvPair
    247247variable "host"
    248 value "E5B-LABOR6"
     248value "IHP110"
    249249)
    250250(vvPair
     
    278278(vvPair
    279279variable "mm"
    280 value "02"
     280value "03"
    281281)
    282282(vvPair
     
    286286(vvPair
    287287variable "month"
    288 value "Feb"
     288value "Mrz"
    289289)
    290290(vvPair
    291291variable "month_long"
    292 value "Februar"
     292value "März"
    293293)
    294294(vvPair
    295295variable "p"
    296 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
     296value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
    297297)
    298298(vvPair
    299299variable "p_logical"
    300 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
     300value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"
    301301)
    302302(vvPair
     
    322322(vvPair
    323323variable "task_ModelSimPath"
    324 value "C:\\modeltech_6.6a\\win32"
     324value "D:\\modeltech_6.5e\\win32"
    325325)
    326326(vvPair
     
    354354(vvPair
    355355variable "time"
    356 value "13:44:06"
     356value "15:30:11"
    357357)
    358358(vvPair
     
    362362(vvPair
    363363variable "user"
    364 value "dneise"
     364value "daqct3"
    365365)
    366366(vvPair
    367367variable "version"
    368 value "2009.2 (Build 10)"
     368value "2009.1 (Build 12)"
    369369)
    370370(vvPair
     
    406406bg "0,0,32768"
    407407)
    408 xt "109200,97000,118800,98000"
     408xt "109200,97000,119000,98000"
    409409st "
    410410by %user on %dd %month %year
     
    13741374fg "0,65535,0"
    13751375)
    1376 xt "80250,62625,81000,63375"
     1376xt "80250,66625,81000,67375"
    13771377)
    13781378tg (CPTG
     
    13841384va (VaSet
    13851385)
    1386 xt "82000,62500,86300,63500"
     1386xt "82000,66500,86300,67500"
    13871387st "drs_dwrite"
    1388 blo "82000,63300"
     1388blo "82000,67300"
    13891389)
    13901390)
     
    15821582fg "0,65535,0"
    15831583)
    1584 xt "80250,65625,81000,66375"
     1584xt "80250,64625,81000,65375"
    15851585)
    15861586tg (CPTG
     
    15921592va (VaSet
    15931593)
    1594 xt "82000,65500,85000,66500"
     1594xt "82000,64500,85000,65500"
    15951595st "SRCLK"
    1596 blo "82000,66300"
     1596blo "82000,65300"
    15971597)
    15981598)
     
    17971797shape (Triangle
    17981798uid 230,0
    1799 ro 90
     1799ro 270
    18001800va (VaSet
    18011801vasetType 1
    18021802fg "0,65535,0"
    18031803)
    1804 xt "109000,70625,109750,71375"
     1804xt "80250,65625,81000,66375"
    18051805)
    18061806tg (CPTG
    18071807uid 231,0
    18081808ps "CptPortTextPlaceStrategy"
    1809 stg "RightVerticalLayoutStrategy"
     1809stg "VerticalLayoutStrategy"
    18101810f (Text
    18111811uid 232,0
    18121812va (VaSet
    18131813)
    1814 xt "105000,70500,108000,71500"
     1814xt "82000,65500,85000,66500"
    18151815st "denable"
    1816 ju 2
    1817 blo "108000,71300"
     1816blo "82000,66300"
    18181817)
    18191818)
     
    25062505)
    25072506)
    2508 *65 (SaComponent
    2509 uid 306,0
    2510 optionalChildren [
    2511 *66 (CptPort
    2512 uid 290,0
    2513 ps "OnEdgeStrategy"
    2514 shape (Triangle
    2515 uid 291,0
    2516 ro 90
    2517 va (VaSet
    2518 vasetType 1
    2519 fg "0,65535,0"
    2520 )
    2521 xt "122250,20625,123000,21375"
    2522 )
    2523 tg (CPTG
    2524 uid 292,0
    2525 ps "CptPortTextPlaceStrategy"
    2526 stg "VerticalLayoutStrategy"
    2527 f (Text
    2528 uid 293,0
    2529 va (VaSet
    2530 )
    2531 xt "124000,20500,128500,21500"
    2532 st "addr : (9:0)"
    2533 blo "124000,21300"
    2534 )
    2535 )
    2536 thePort (LogicalPort
    2537 decl (Decl
    2538 n "addr"
    2539 t "std_logic_vector"
    2540 b "(9 downto 0)"
    2541 preAdd 0
    2542 posAdd 0
    2543 o 1
    2544 suid 1,0
    2545 )
    2546 )
    2547 )
    2548 *67 (CptPort
    2549 uid 294,0
    2550 ps "OnEdgeStrategy"
    2551 shape (Diamond
    2552 uid 295,0
    2553 ro 270
    2554 va (VaSet
    2555 vasetType 1
    2556 fg "0,65535,0"
    2557 )
    2558 xt "122250,21625,123000,22375"
    2559 )
    2560 tg (CPTG
    2561 uid 296,0
    2562 ps "CptPortTextPlaceStrategy"
    2563 stg "VerticalLayoutStrategy"
    2564 f (Text
    2565 uid 297,0
    2566 va (VaSet
    2567 )
    2568 xt "124000,21500,128800,22500"
    2569 st "data : (15:0)"
    2570 blo "124000,22300"
    2571 )
    2572 )
    2573 thePort (LogicalPort
    2574 m 2
    2575 decl (Decl
    2576 n "data"
    2577 t "std_logic_vector"
    2578 b "(15 downto 0)"
    2579 preAdd 0
    2580 posAdd 0
    2581 o 2
    2582 suid 2,0
    2583 )
    2584 )
    2585 )
    2586 *68 (CptPort
    2587 uid 298,0
    2588 ps "OnEdgeStrategy"
    2589 shape (Triangle
    2590 uid 299,0
    2591 ro 90
    2592 va (VaSet
    2593 vasetType 1
    2594 fg "0,65535,0"
    2595 )
    2596 xt "122250,24625,123000,25375"
    2597 )
    2598 tg (CPTG
    2599 uid 300,0
    2600 ps "CptPortTextPlaceStrategy"
    2601 stg "VerticalLayoutStrategy"
    2602 f (Text
    2603 uid 301,0
    2604 va (VaSet
    2605 )
    2606 xt "124000,24500,125100,25500"
    2607 st "rd"
    2608 blo "124000,25300"
    2609 )
    2610 )
    2611 thePort (LogicalPort
    2612 decl (Decl
    2613 n "rd"
    2614 t "std_logic"
    2615 preAdd 0
    2616 posAdd 0
    2617 o 3
    2618 suid 3,0
    2619 )
    2620 )
    2621 )
    2622 *69 (CptPort
    2623 uid 302,0
    2624 ps "OnEdgeStrategy"
    2625 shape (Triangle
    2626 uid 303,0
    2627 ro 90
    2628 va (VaSet
    2629 vasetType 1
    2630 fg "0,65535,0"
    2631 )
    2632 xt "122250,25625,123000,26375"
    2633 )
    2634 tg (CPTG
    2635 uid 304,0
    2636 ps "CptPortTextPlaceStrategy"
    2637 stg "VerticalLayoutStrategy"
    2638 f (Text
    2639 uid 305,0
    2640 va (VaSet
    2641 )
    2642 xt "124000,25500,125200,26500"
    2643 st "wr"
    2644 blo "124000,26300"
    2645 )
    2646 )
    2647 thePort (LogicalPort
    2648 decl (Decl
    2649 n "wr"
    2650 t "std_logic"
    2651 preAdd 0
    2652 posAdd 0
    2653 o 4
    2654 suid 4,0
    2655 )
    2656 )
    2657 )
    2658 ]
    2659 shape (Rectangle
    2660 uid 307,0
    2661 va (VaSet
    2662 vasetType 1
    2663 fg "0,49152,49152"
    2664 lineColor "0,0,50000"
    2665 lineWidth 2
    2666 )
    2667 xt "123000,19000,133000,31000"
    2668 )
    2669 oxt "29000,2000,39000,12000"
    2670 ttg (MlTextGroup
    2671 uid 308,0
    2672 ps "CenterOffsetStrategy"
    2673 stg "VerticalLayoutStrategy"
    2674 textVec [
    2675 *70 (Text
    2676 uid 309,0
    2677 va (VaSet
    2678 font "Arial,8,1"
    2679 )
    2680 xt "123200,31000,130900,32000"
    2681 st "FACT_FAD_TB_lib"
    2682 blo "123200,31800"
    2683 tm "BdLibraryNameMgr"
    2684 )
    2685 *71 (Text
    2686 uid 310,0
    2687 va (VaSet
    2688 font "Arial,8,1"
    2689 )
    2690 xt "123200,32000,129800,33000"
    2691 st "w5300_emulator"
    2692 blo "123200,32800"
    2693 tm "CptNameMgr"
    2694 )
    2695 *72 (Text
    2696 uid 311,0
    2697 va (VaSet
    2698 font "Arial,8,1"
    2699 )
    2700 xt "123200,33000,130000,34000"
    2701 st "I_mainTB_w5300"
    2702 blo "123200,33800"
    2703 tm "InstanceNameMgr"
    2704 )
    2705 ]
    2706 )
    2707 ga (GenericAssociation
    2708 uid 312,0
    2709 ps "EdgeToEdgeStrategy"
    2710 matrix (Matrix
    2711 uid 313,0
    2712 text (MLText
    2713 uid 314,0
    2714 va (VaSet
    2715 font "Courier New,8,0"
    2716 )
    2717 xt "123000,18000,123000,18000"
    2718 )
    2719 header ""
    2720 )
    2721 elements [
    2722 ]
    2723 )
    2724 viewicon (ZoomableIcon
    2725 uid 315,0
    2726 sl 0
    2727 va (VaSet
    2728 vasetType 1
    2729 fg "49152,49152,49152"
    2730 )
    2731 xt "123250,29250,124750,30750"
    2732 iconName "VhdlFileViewIcon.png"
    2733 iconMaskName "VhdlFileViewIcon.msk"
    2734 ftype 10
    2735 )
    2736 ordering 1
    2737 viewiconposition 0
    2738 portVis (PortSigDisplay
    2739 sIVOD 1
    2740 )
    2741 archFileType "UNKNOWN"
    2742 )
    2743 *73 (Net
     2507*65 (Net
    27442508uid 316,0
    27452509decl (Decl
     
    27592523)
    27602524)
    2761 *74 (Net
     2525*66 (Net
    27622526uid 322,0
    27632527decl (Decl
     
    27772541)
    27782542)
    2779 *75 (Net
     2543*67 (Net
    27802544uid 328,0
    27812545decl (Decl
     
    27952559)
    27962560)
    2797 *76 (Net
     2561*68 (Net
    27982562uid 334,0
    27992563decl (Decl
     
    28132577)
    28142578)
    2815 *77 (SaComponent
     2579*69 (SaComponent
    28162580uid 362,0
    28172581optionalChildren [
    2818 *78 (CptPort
     2582*70 (CptPort
    28192583uid 350,0
    28202584ps "OnEdgeStrategy"
     
    28522616)
    28532617)
    2854 *79 (CptPort
     2618*71 (CptPort
    28552619uid 354,0
    28562620ps "OnEdgeStrategy"
     
    28892653)
    28902654)
    2891 *80 (CptPort
     2655*72 (CptPort
    28922656uid 358,0
    28932657ps "OnEdgeStrategy"
     
    29432707stg "VerticalLayoutStrategy"
    29442708textVec [
    2945 *81 (Text
     2709*73 (Text
    29462710uid 365,0
    29472711va (VaSet
     
    29532717tm "BdLibraryNameMgr"
    29542718)
    2955 *82 (Text
     2719*74 (Text
    29562720uid 366,0
    29572721va (VaSet
     
    29632727tm "CptNameMgr"
    29642728)
    2965 *83 (Text
     2729*75 (Text
    29662730uid 367,0
    29672731va (VaSet
     
    30172781archFileType "UNKNOWN"
    30182782)
    3019 *84 (Net
     2783*76 (Net
    30202784uid 372,0
    30212785decl (Decl
     
    30352799)
    30362800)
    3037 *85 (Net
     2801*77 (Net
    30382802uid 378,0
    30392803decl (Decl
     
    30522816)
    30532817)
    3054 *86 (Net
     2818*78 (Net
    30552819uid 384,0
    30562820decl (Decl
     
    30712835)
    30722836)
    3073 *87 (SaComponent
     2837*79 (SaComponent
    30742838uid 414,0
    30752839optionalChildren [
    3076 *88 (CptPort
     2840*80 (CptPort
    30772841uid 410,0
    30782842ps "OnEdgeStrategy"
     
    31292893stg "VerticalLayoutStrategy"
    31302894textVec [
    3131 *89 (Text
     2895*81 (Text
    31322896uid 417,0
    31332897va (VaSet
     
    31392903tm "BdLibraryNameMgr"
    31402904)
    3141 *90 (Text
     2905*82 (Text
    31422906uid 418,0
    31432907va (VaSet
     
    31492913tm "CptNameMgr"
    31502914)
    3151 *91 (Text
     2915*83 (Text
    31522916uid 419,0
    31532917va (VaSet
     
    32092973archFileType "UNKNOWN"
    32102974)
    3211 *92 (Net
     2975*84 (Net
    32122976uid 424,0
    32132977decl (Decl
     
    32282992)
    32292993)
    3230 *93 (HdlText
     2994*85 (HdlText
    32312995uid 430,0
    32322996optionalChildren [
    3233 *94 (EmbeddedText
     2997*86 (EmbeddedText
    32342998uid 436,0
    32352999commentText (CommentText
     
    32823046stg "VerticalLayoutStrategy"
    32833047textVec [
    3284 *95 (Text
     3048*87 (Text
    32853049uid 433,0
    32863050va (VaSet
     
    32923056tm "HdlTextNameMgr"
    32933057)
    3294 *96 (Text
     3058*88 (Text
    32953059uid 434,0
    32963060va (VaSet
     
    33183082viewiconposition 0
    33193083)
    3320 *97 (Net
     3084*89 (Net
    33213085uid 440,0
    33223086decl (Decl
     
    33383102)
    33393103)
    3340 *98 (Net
     3104*90 (Net
    33413105uid 448,0
    33423106decl (Decl
     
    33563120)
    33573121)
    3358 *99 (SaComponent
     3122*91 (SaComponent
    33593123uid 508,0
    33603124optionalChildren [
    3361 *100 (CptPort
     3125*92 (CptPort
    33623126uid 489,0
    33633127ps "OnEdgeStrategy"
     
    33953159)
    33963160)
    3397 *101 (CptPort
     3161*93 (CptPort
    33983162uid 493,0
    33993163ps "OnEdgeStrategy"
     
    34343198)
    34353199)
    3436 *102 (CptPort
     3200*94 (CptPort
    34373201uid 497,0
    34383202ps "OnEdgeStrategy"
     
    34723236)
    34733237)
    3474 *103 (CptPort
     3238*95 (CptPort
    34753239uid 501,0
    34763240ps "OnEdgeStrategy"
     
    35263290stg "VerticalLayoutStrategy"
    35273291textVec [
    3528 *104 (Text
     3292*96 (Text
    35293293uid 511,0
    35303294va (VaSet
     
    35363300tm "BdLibraryNameMgr"
    35373301)
    3538 *105 (Text
     3302*97 (Text
    35393303uid 512,0
    35403304va (VaSet
     
    35463310tm "CptNameMgr"
    35473311)
    3548 *106 (Text
     3312*98 (Text
    35493313uid 513,0
    35503314va (VaSet
     
    36003364archFileType "UNKNOWN"
    36013365)
    3602 *107 (HdlText
     3366*99 (HdlText
    36033367uid 518,0
    36043368optionalChildren [
    3605 *108 (EmbeddedText
     3369*100 (EmbeddedText
    36063370uid 524,0
    36073371commentText (CommentText
     
    36603424stg "VerticalLayoutStrategy"
    36613425textVec [
    3662 *109 (Text
     3426*101 (Text
    36633427uid 521,0
    36643428va (VaSet
     
    36703434tm "HdlTextNameMgr"
    36713435)
    3672 *110 (Text
     3436*102 (Text
    36733437uid 522,0
    36743438va (VaSet
     
    36963460viewiconposition 0
    36973461)
    3698 *111 (Net
     3462*103 (Net
    36993463uid 528,0
    37003464decl (Decl
     
    37143478)
    37153479)
    3716 *112 (Net
     3480*104 (Net
    37173481uid 536,0
    37183482decl (Decl
     
    37313495)
    37323496)
    3733 *113 (Net
     3497*105 (Net
    37343498uid 544,0
    37353499decl (Decl
     
    37503514)
    37513515)
    3752 *114 (Net
     3516*106 (Net
    37533517uid 560,0
    37543518decl (Decl
     
    37693533)
    37703534)
    3771 *115 (Net
     3535*107 (Net
    37723536uid 568,0
    37733537decl (Decl
     
    37893553)
    37903554)
    3791 *116 (Net
     3555*108 (Net
    37923556uid 767,0
    37933557decl (Decl
     
    38073571)
    38083572)
    3809 *117 (Net
     3573*109 (Net
    38103574uid 775,0
    38113575decl (Decl
     
    38273591)
    38283592)
    3829 *118 (Net
     3593*110 (Net
    38303594uid 783,0
    38313595decl (Decl
     
    38453609)
    38463610)
    3847 *119 (Net
     3611*111 (Net
    38483612uid 791,0
    38493613decl (Decl
     
    38623626)
    38633627)
    3864 *120 (Net
     3628*112 (Net
    38653629uid 799,0
    38663630decl (Decl
     
    38793643)
    38803644)
    3881 *121 (Net
     3645*113 (Net
    38823646uid 807,0
    38833647decl (Decl
     
    38973661)
    38983662)
    3899 *122 (Net
     3663*114 (Net
    39003664uid 815,0
    39013665decl (Decl
     
    39173681)
    39183682)
    3919 *123 (Net
     3683*115 (Net
    39203684uid 823,0
    39213685decl (Decl
     
    39343698)
    39353699)
    3936 *124 (Net
     3700*116 (Net
    39373701uid 831,0
    39383702decl (Decl
     
    39513715)
    39523716)
    3953 *125 (Net
     3717*117 (Net
    39543718uid 839,0
    39553719decl (Decl
     
    39703734)
    39713735)
    3972 *126 (Net
     3736*118 (Net
    39733737uid 847,0
    39743738decl (Decl
     
    39883752)
    39893753)
    3990 *127 (Net
     3754*119 (Net
    39913755uid 855,0
    39923756decl (Decl
     
    40063770)
    40073771)
    4008 *128 (Net
     3772*120 (Net
    40093773uid 863,0
    40103774decl (Decl
     
    40243788)
    40253789)
    4026 *129 (Net
     3790*121 (Net
    40273791uid 871,0
    40283792decl (Decl
     
    40413805)
    40423806)
    4043 *130 (Net
     3807*122 (Net
    40443808uid 879,0
    40453809decl (Decl
     
    40583822)
    40593823)
    4060 *131 (Net
     3824*123 (Net
    40613825uid 887,0
    40623826decl (Decl
     
    40753839)
    40763840)
    4077 *132 (Net
     3841*124 (Net
    40783842uid 895,0
    40793843decl (Decl
     
    40923856)
    40933857)
    4094 *133 (Net
     3858*125 (Net
    40953859uid 1435,0
    40963860decl (Decl
     
    41103874)
    41113875)
    4112 *134 (Net
     3876*126 (Net
    41133877uid 1443,0
    41143878decl (Decl
     
    41273891)
    41283892)
    4129 *135 (Net
     3893*127 (Net
    41303894uid 1451,0
    41313895decl (Decl
     
    41443908)
    41453909)
    4146 *136 (Net
     3910*128 (Net
    41473911uid 1459,0
    41483912decl (Decl
     
    41613925)
    41623926)
    4163 *137 (Net
     3927*129 (Net
    41643928uid 1467,0
    41653929decl (Decl
     
    41793943)
    41803944)
    4181 *138 (Net
     3945*130 (Net
    41823946uid 1475,0
    41833947decl (Decl
     
    41973961)
    41983962)
    4199 *139 (Net
     3963*131 (Net
    42003964uid 1483,0
    42013965decl (Decl
     
    42143978)
    42153979)
    4216 *140 (HdlText
     3980*132 (HdlText
    42173981uid 1491,0
    42183982optionalChildren [
    4219 *141 (EmbeddedText
     3983*133 (EmbeddedText
    42203984uid 1497,0
    42213985commentText (CommentText
     
    42724036stg "VerticalLayoutStrategy"
    42734037textVec [
    4274 *142 (Text
     4038*134 (Text
    42754039uid 1494,0
    42764040va (VaSet
     
    42824046tm "HdlTextNameMgr"
    42834047)
    4284 *143 (Text
     4048*135 (Text
    42854049uid 1495,0
    42864050va (VaSet
     
    43084072viewiconposition 0
    43094073)
    4310 *144 (Net
     4074*136 (Net
    43114075uid 1501,0
    43124076decl (Decl
     
    43264090)
    43274091)
    4328 *145 (SaComponent
     4092*137 (SaComponent
    43294093uid 1509,0
    43304094optionalChildren [
    4331 *146 (CptPort
     4095*138 (CptPort
    43324096uid 1519,0
    43334097ps "OnEdgeStrategy"
     
    43654129)
    43664130)
    4367 *147 (CptPort
     4131*139 (CptPort
    43684132uid 1523,0
    43694133ps "OnEdgeStrategy"
     
    44184182stg "VerticalLayoutStrategy"
    44194183textVec [
    4420 *148 (Text
     4184*140 (Text
    44214185uid 1512,0
    44224186va (VaSet
     
    44284192tm "BdLibraryNameMgr"
    44294193)
    4430 *149 (Text
     4194*141 (Text
    44314195uid 1513,0
    44324196va (VaSet
     
    44384202tm "CptNameMgr"
    44394203)
    4440 *150 (Text
     4204*142 (Text
    44414205uid 1514,0
    44424206va (VaSet
     
    44624226xt "55000,82400,73000,84000"
    44634227st "clock_period = 1 us    ( time ) 
    4464 reset_time   = 1 us    ( time ) 
    4465 "
     4228reset_time   = 1 us    ( time )  "
    44664229)
    44674230header ""
     
    44984261archFileType "UNKNOWN"
    44994262)
    4500 *151 (Net
     4263*143 (Net
    45014264uid 1559,0
    45024265decl (Decl
     
    45174280)
    45184281)
    4519 *152 (Net
     4282*144 (Net
    45204283uid 1682,0
    45214284lang 2
     
    45354298)
    45364299)
    4537 *153 (Net
     4300*145 (Net
    45384301uid 2001,0
    45394302decl (Decl
     
    45534316)
    45544317)
    4555 *154 (Wire
     4318*146 (SaComponent
     4319uid 2336,0
     4320optionalChildren [
     4321*147 (CptPort
     4322uid 2315,0
     4323ps "OnEdgeStrategy"
     4324shape (Triangle
     4325uid 2316,0
     4326ro 90
     4327va (VaSet
     4328vasetType 1
     4329fg "0,65535,0"
     4330)
     4331xt "122250,20625,123000,21375"
     4332)
     4333tg (CPTG
     4334uid 2317,0
     4335ps "CptPortTextPlaceStrategy"
     4336stg "VerticalLayoutStrategy"
     4337f (Text
     4338uid 2318,0
     4339va (VaSet
     4340)
     4341xt "124000,20500,128500,21500"
     4342st "addr : (9:0)"
     4343blo "124000,21300"
     4344)
     4345)
     4346thePort (LogicalPort
     4347decl (Decl
     4348n "addr"
     4349t "std_logic_vector"
     4350b "(9 DOWNTO 0)"
     4351preAdd 0
     4352posAdd 0
     4353o 2
     4354suid 1,0
     4355)
     4356)
     4357)
     4358*148 (CptPort
     4359uid 2319,0
     4360ps "OnEdgeStrategy"
     4361shape (Diamond
     4362uid 2320,0
     4363ro 270
     4364va (VaSet
     4365vasetType 1
     4366fg "0,65535,0"
     4367)
     4368xt "122250,21625,123000,22375"
     4369)
     4370tg (CPTG
     4371uid 2321,0
     4372ps "CptPortTextPlaceStrategy"
     4373stg "VerticalLayoutStrategy"
     4374f (Text
     4375uid 2322,0
     4376va (VaSet
     4377)
     4378xt "124000,21500,128800,22500"
     4379st "data : (15:0)"
     4380blo "124000,22300"
     4381)
     4382)
     4383thePort (LogicalPort
     4384m 2
     4385decl (Decl
     4386n "data"
     4387t "std_logic_vector"
     4388b "(15 DOWNTO 0)"
     4389preAdd 0
     4390posAdd 0
     4391o 3
     4392suid 2,0
     4393)
     4394)
     4395)
     4396*149 (CptPort
     4397uid 2323,0
     4398ps "OnEdgeStrategy"
     4399shape (Triangle
     4400uid 2324,0
     4401ro 90
     4402va (VaSet
     4403vasetType 1
     4404fg "0,65535,0"
     4405)
     4406xt "122250,24625,123000,25375"
     4407)
     4408tg (CPTG
     4409uid 2325,0
     4410ps "CptPortTextPlaceStrategy"
     4411stg "VerticalLayoutStrategy"
     4412f (Text
     4413uid 2326,0
     4414va (VaSet
     4415)
     4416xt "124000,24500,125100,25500"
     4417st "rd"
     4418blo "124000,25300"
     4419)
     4420)
     4421thePort (LogicalPort
     4422decl (Decl
     4423n "rd"
     4424t "std_logic"
     4425preAdd 0
     4426posAdd 0
     4427o 4
     4428suid 3,0
     4429)
     4430)
     4431)
     4432*150 (CptPort
     4433uid 2327,0
     4434ps "OnEdgeStrategy"
     4435shape (Triangle
     4436uid 2328,0
     4437ro 90
     4438va (VaSet
     4439vasetType 1
     4440fg "0,65535,0"
     4441)
     4442xt "122250,25625,123000,26375"
     4443)
     4444tg (CPTG
     4445uid 2329,0
     4446ps "CptPortTextPlaceStrategy"
     4447stg "VerticalLayoutStrategy"
     4448f (Text
     4449uid 2330,0
     4450va (VaSet
     4451)
     4452xt "124000,25500,125200,26500"
     4453st "wr"
     4454blo "124000,26300"
     4455)
     4456)
     4457thePort (LogicalPort
     4458decl (Decl
     4459n "wr"
     4460t "std_logic"
     4461prec "--      int  : out    std_logic := '1';"
     4462preAdd 0
     4463posAdd 0
     4464o 5
     4465suid 4,0
     4466)
     4467)
     4468)
     4469*151 (CptPort
     4470uid 2331,0
     4471ps "OnEdgeStrategy"
     4472shape (Triangle
     4473uid 2332,0
     4474ro 270
     4475va (VaSet
     4476vasetType 1
     4477fg "0,65535,0"
     4478)
     4479xt "122250,26625,123000,27375"
     4480)
     4481tg (CPTG
     4482uid 2333,0
     4483ps "CptPortTextPlaceStrategy"
     4484stg "VerticalLayoutStrategy"
     4485f (Text
     4486uid 2334,0
     4487va (VaSet
     4488)
     4489xt "124000,26500,125200,27500"
     4490st "int"
     4491blo "124000,27300"
     4492)
     4493t (Text
     4494uid 2335,0
     4495va (VaSet
     4496)
     4497xt "124000,27500,125200,28500"
     4498st "'0'"
     4499blo "124000,28300"
     4500)
     4501)
     4502thePort (LogicalPort
     4503m 1
     4504decl (Decl
     4505n "int"
     4506t "std_logic"
     4507o 1
     4508suid 5,0
     4509i "'0'"
     4510)
     4511)
     4512)
     4513]
     4514shape (Rectangle
     4515uid 2337,0
     4516va (VaSet
     4517vasetType 1
     4518fg "0,49152,49152"
     4519lineColor "0,0,50000"
     4520lineWidth 2
     4521)
     4522xt "123000,19000,133000,31000"
     4523)
     4524oxt "29000,0,39000,12000"
     4525ttg (MlTextGroup
     4526uid 2338,0
     4527ps "CenterOffsetStrategy"
     4528stg "VerticalLayoutStrategy"
     4529textVec [
     4530*152 (Text
     4531uid 2339,0
     4532va (VaSet
     4533font "Arial,8,1"
     4534)
     4535xt "123200,31000,130900,32000"
     4536st "FACT_FAD_TB_lib"
     4537blo "123200,31800"
     4538tm "BdLibraryNameMgr"
     4539)
     4540*153 (Text
     4541uid 2340,0
     4542va (VaSet
     4543font "Arial,8,1"
     4544)
     4545xt "123200,32000,129800,33000"
     4546st "w5300_emulator"
     4547blo "123200,32800"
     4548tm "CptNameMgr"
     4549)
     4550*154 (Text
     4551uid 2341,0
     4552va (VaSet
     4553font "Arial,8,1"
     4554)
     4555xt "123200,33000,130000,34000"
     4556st "I_mainTB_w5300"
     4557blo "123200,33800"
     4558tm "InstanceNameMgr"
     4559)
     4560]
     4561)
     4562ga (GenericAssociation
     4563uid 2342,0
     4564ps "EdgeToEdgeStrategy"
     4565matrix (Matrix
     4566uid 2343,0
     4567text (MLText
     4568uid 2344,0
     4569va (VaSet
     4570font "Courier New,8,0"
     4571)
     4572xt "123000,18000,123000,18000"
     4573)
     4574header ""
     4575)
     4576elements [
     4577]
     4578)
     4579viewicon (ZoomableIcon
     4580uid 2345,0
     4581sl 0
     4582va (VaSet
     4583vasetType 1
     4584fg "49152,49152,49152"
     4585)
     4586xt "123250,29250,124750,30750"
     4587iconName "VhdlFileViewIcon.png"
     4588iconMaskName "VhdlFileViewIcon.msk"
     4589ftype 10
     4590)
     4591ordering 1
     4592viewiconposition 0
     4593portVis (PortSigDisplay
     4594sIVOD 1
     4595)
     4596archFileType "UNKNOWN"
     4597)
     4598*155 (Wire
    45564599uid 286,0
    45574600shape (OrthoPolyLine
     
    45894632on &64
    45904633)
    4591 *155 (Wire
     4634*156 (Wire
    45924635uid 318,0
    45934636shape (OrthoPolyLine
     
    46044647)
    46054648start &19
    4606 end &66
     4649end &147
    46074650sat 32
    46084651eat 32
     
    46254668)
    46264669)
    4627 on &73
    4628 )
    4629 *156 (Wire
     4670on &65
     4671)
     4672*157 (Wire
    46304673uid 324,0
    46314674shape (OrthoPolyLine
     
    46424685)
    46434686start &20
    4644 end &67
     4687end &148
    46454688sat 32
    46464689eat 32
     
    46634706)
    46644707)
    4665 on &74
    4666 )
    4667 *157 (Wire
     4708on &66
     4709)
     4710*158 (Wire
    46684711uid 330,0
    46694712shape (OrthoPolyLine
     
    46794722)
    46804723start &23
    4681 end &68
     4724end &149
    46824725sat 32
    46834726eat 32
     
    46994742)
    47004743)
    4701 on &75
    4702 )
    4703 *158 (Wire
     4744on &67
     4745)
     4746*159 (Wire
    47044747uid 336,0
    47054748shape (OrthoPolyLine
     
    47154758)
    47164759start &22
    4717 end &69
     4760end &150
    47184761sat 32
    47194762eat 32
     
    47354778)
    47364779)
    4737 on &76
    4738 )
    4739 *159 (Wire
     4780on &68
     4781)
     4782*160 (Wire
    47404783uid 374,0
    47414784shape (OrthoPolyLine
     
    47544797)
    47554798start &41
    4756 end &80
     4799end &72
    47574800sat 32
    47584801eat 32
     
    47754818)
    47764819)
    4777 on &84
    4778 )
    4779 *160 (Wire
     4820on &76
     4821)
     4822*161 (Wire
    47804823uid 380,0
    47814824shape (OrthoPolyLine
     
    47914834)
    47924835start &38
    4793 end &78
     4836end &70
    47944837sat 32
    47954838eat 32
     
    48114854)
    48124855)
    4813 on &85
    4814 )
    4815 *161 (Wire
     4856on &77
     4857)
     4858*162 (Wire
    48164859uid 386,0
    48174860shape (OrthoPolyLine
     
    48274870)
    48284871start &39
    4829 end &79
     4872end &71
    48304873sat 32
    48314874eat 32
     
    48474890)
    48484891)
    4849 on &86
    4850 )
    4851 *162 (Wire
     4892on &78
     4893)
     4894*163 (Wire
    48524895uid 426,0
    48534896shape (OrthoPolyLine
     
    48624905]
    48634906)
    4864 start &88
     4907start &80
    48654908end &15
    48664909sat 32
     
    48824925)
    48834926)
    4884 on &92
    4885 )
    4886 *163 (Wire
     4927on &84
     4928)
     4929*164 (Wire
    48874930uid 442,0
    48884931shape (OrthoPolyLine
     
    49014944)
    49024945start &17
    4903 end &93
     4946end &85
    49044947sat 32
    49054948eat 2
     
    49224965)
    49234966)
    4924 on &97
    4925 )
    4926 *164 (Wire
     4967on &89
     4968)
     4969*165 (Wire
    49274970uid 450,0
    49284971shape (OrthoPolyLine
     
    49414984)
    49424985start &18
    4943 end &93
     4986end &85
    49444987sat 32
    49454988eat 2
     
    49625005)
    49635006)
    4964 on &98
    4965 )
    4966 *165 (Wire
     5007on &90
     5008)
     5009*166 (Wire
    49675010uid 530,0
    49685011shape (OrthoPolyLine
     
    49815024)
    49825025start &28
    4983 end &107
     5026end &99
    49845027sat 32
    49855028eat 2
     
    50025045)
    50035046)
    5004 on &111
    5005 )
    5006 *166 (Wire
     5047on &103
     5048)
     5049*167 (Wire
    50075050uid 538,0
    50085051shape (OrthoPolyLine
     
    50215064)
    50225065start &29
    5023 end &107
     5066end &99
    50245067sat 32
    50255068eat 2
     
    50425085)
    50435086)
    5044 on &112
    5045 )
    5046 *167 (Wire
     5087on &104
     5088)
     5089*168 (Wire
    50475090uid 546,0
    50485091shape (OrthoPolyLine
     
    50605103)
    50615104start &16
    5062 end &107
     5105end &99
    50635106sat 32
    50645107eat 1
     
    50805123)
    50815124)
    5082 on &113
    5083 )
    5084 *168 (Wire
     5125on &105
     5126)
     5127*169 (Wire
    50855128uid 554,0
    50865129shape (OrthoPolyLine
     
    50955138]
    50965139)
    5097 start &107
    5098 end &103
     5140start &99
     5141end &95
    50995142sat 2
    51005143eat 32
     
    51155158)
    51165159)
    5117 on &113
    5118 )
    5119 *169 (Wire
     5160on &105
     5161)
     5162*170 (Wire
    51205163uid 562,0
    51215164shape (OrthoPolyLine
     
    51305173]
    51315174)
    5132 start &102
    5133 end &107
     5175start &94
     5176end &99
    51345177sat 32
    51355178eat 1
     
    51505193)
    51515194)
    5152 on &114
    5153 )
    5154 *170 (Wire
     5195on &106
     5196)
     5197*171 (Wire
    51555198uid 570,0
    51565199shape (OrthoPolyLine
     
    51665209]
    51675210)
    5168 start &101
    5169 end &107
     5211start &93
     5212end &99
    51705213sat 32
    51715214eat 1
     
    51875230)
    51885231)
    5189 on &115
    5190 )
    5191 *171 (Wire
     5232on &107
     5233)
     5234*172 (Wire
    51925235uid 578,0
    51935236shape (OrthoPolyLine
     
    52025245]
    52035246)
    5204 start &100
     5247start &92
    52055248sat 32
    52065249eat 16
     
    52215264)
    52225265)
    5223 on &152
    5224 )
    5225 *172 (Wire
     5266on &144
     5267)
     5268*173 (Wire
    52265269uid 769,0
    52275270shape (OrthoPolyLine
     
    52565299)
    52575300)
    5258 on &116
    5259 )
    5260 *173 (Wire
     5301on &108
     5302)
     5303*174 (Wire
    52615304uid 777,0
    52625305shape (OrthoPolyLine
     
    52935336)
    52945337)
    5295 on &117
    5296 )
    5297 *174 (Wire
     5338on &109
     5339)
     5340*175 (Wire
    52985341uid 785,0
    52995342shape (OrthoPolyLine
     
    53285371)
    53295372)
    5330 on &118
    5331 )
    5332 *175 (Wire
     5373on &110
     5374)
     5375*176 (Wire
    53335376uid 793,0
    53345377shape (OrthoPolyLine
     
    53375380vasetType 3
    53385381)
    5339 xt "109750,27000,116000,27000"
     5382xt "109750,27000,122250,27000"
    53405383pts [
    5341 "116000,27000"
     5384"122250,27000"
    53425385"109750,27000"
    53435386]
    53445387)
     5388start &151
    53455389end &24
    5346 sat 16
     5390sat 32
    53475391eat 32
    53485392st 0
     
    53635407)
    53645408)
    5365 on &119
    5366 )
    5367 *176 (Wire
     5409on &111
     5410)
     5411*177 (Wire
    53685412uid 801,0
    53695413shape (OrthoPolyLine
     
    53985442)
    53995443)
    5400 on &120
    5401 )
    5402 *177 (Wire
     5444on &112
     5445)
     5446*178 (Wire
    54035447uid 809,0
    54045448shape (OrthoPolyLine
     
    54335477)
    54345478)
    5435 on &121
    5436 )
    5437 *178 (Wire
     5479on &113
     5480)
     5481*179 (Wire
    54385482uid 817,0
    54395483shape (OrthoPolyLine
     
    54425486vasetType 3
    54435487)
    5444 xt "109750,71000,116000,71000"
     5488xt "70000,66000,80250,66000"
    54455489pts [
    5446 "109750,71000"
    5447 "116000,71000"
     5490"80250,66000"
     5491"70000,66000"
    54485492]
    54495493)
     
    54625506va (VaSet
    54635507)
    5464 xt "111000,70000,114000,71000"
     5508xt "71000,65000,74000,66000"
    54655509st "denable"
    5466 blo "111000,70800"
     5510blo "71000,65800"
    54675511tm "WireNameMgr"
    54685512)
    54695513)
    5470 on &122
    5471 )
    5472 *179 (Wire
     5514on &114
     5515)
     5516*180 (Wire
    54735517uid 825,0
    54745518shape (OrthoPolyLine
     
    55035547)
    55045548)
    5505 on &123
    5506 )
    5507 *180 (Wire
     5549on &115
     5550)
     5551*181 (Wire
    55085552uid 833,0
    55095553shape (OrthoPolyLine
     
    55385582)
    55395583)
    5540 on &124
    5541 )
    5542 *181 (Wire
     5584on &116
     5585)
     5586*182 (Wire
    55435587uid 841,0
    55445588shape (OrthoPolyLine
     
    55755619)
    55765620)
    5577 on &125
    5578 )
    5579 *182 (Wire
     5621on &117
     5622)
     5623*183 (Wire
    55805624uid 849,0
    55815625shape (OrthoPolyLine
     
    55845628vasetType 3
    55855629)
    5586 xt "70000,63000,80250,63000"
     5630xt "70000,67000,80250,67000"
    55875631pts [
    5588 "80250,63000"
    5589 "70000,63000"
     5632"80250,67000"
     5633"70000,67000"
    55905634]
    55915635)
    55925636start &31
     5637ss 0
    55935638sat 32
    55945639eat 16
     
    56045649va (VaSet
    56055650)
    5606 xt "71000,62000,75300,63000"
     5651xt "71000,66000,75300,67000"
    56075652st "drs_dwrite"
    5608 blo "71000,62800"
     5653blo "71000,66800"
    56095654tm "WireNameMgr"
    56105655)
    56115656)
    5612 on &126
    5613 )
    5614 *183 (Wire
     5657on &118
     5658)
     5659*184 (Wire
    56155660uid 857,0
    56165661shape (OrthoPolyLine
     
    56455690)
    56465691)
    5647 on &127
    5648 )
    5649 *184 (Wire
     5692on &119
     5693)
     5694*185 (Wire
    56505695uid 865,0
    56515696shape (OrthoPolyLine
     
    56545699vasetType 3
    56555700)
    5656 xt "70000,66000,80250,66000"
     5701xt "70000,65000,80250,65000"
    56575702pts [
    5658 "80250,66000"
    5659 "70000,66000"
     5703"80250,65000"
     5704"70000,65000"
    56605705]
    56615706)
     
    56745719va (VaSet
    56755720)
    5676 xt "71000,65000,74000,66000"
     5721xt "71000,64000,74000,65000"
    56775722st "SRCLK"
    5678 blo "71000,65800"
     5723blo "71000,64800"
    56795724tm "WireNameMgr"
    56805725)
    56815726)
    5682 on &128
    5683 )
    5684 *185 (Wire
     5727on &120
     5728)
     5729*186 (Wire
    56855730uid 873,0
    56865731shape (OrthoPolyLine
     
    57155760)
    57165761)
    5717 on &129
    5718 )
    5719 *186 (Wire
     5762on &121
     5763)
     5764*187 (Wire
    57205765uid 881,0
    57215766shape (OrthoPolyLine
     
    57505795)
    57515796)
    5752 on &130
    5753 )
    5754 *187 (Wire
     5797on &122
     5798)
     5799*188 (Wire
    57555800uid 889,0
    57565801shape (OrthoPolyLine
     
    57855830)
    57865831)
    5787 on &131
    5788 )
    5789 *188 (Wire
     5832on &123
     5833)
     5834*189 (Wire
    57905835uid 897,0
    57915836shape (OrthoPolyLine
     
    58205865)
    58215866)
    5822 on &132
    5823 )
    5824 *189 (Wire
     5867on &124
     5868)
     5869*190 (Wire
    58255870uid 1437,0
    58265871shape (OrthoPolyLine
     
    58555900)
    58565901)
    5857 on &133
    5858 )
    5859 *190 (Wire
     5902on &125
     5903)
     5904*191 (Wire
    58605905uid 1445,0
    58615906shape (OrthoPolyLine
     
    58905935)
    58915936)
    5892 on &134
    5893 )
    5894 *191 (Wire
     5937on &126
     5938)
     5939*192 (Wire
    58955940uid 1453,0
    58965941shape (OrthoPolyLine
     
    59255970)
    59265971)
    5927 on &135
    5928 )
    5929 *192 (Wire
     5972on &127
     5973)
     5974*193 (Wire
    59305975uid 1461,0
    59315976shape (OrthoPolyLine
     
    59606005)
    59616006)
    5962 on &136
    5963 )
    5964 *193 (Wire
     6007on &128
     6008)
     6009*194 (Wire
    59656010uid 1469,0
    59666011shape (OrthoPolyLine
     
    59976042)
    59986043)
    5999 on &137
    6000 )
    6001 *194 (Wire
     6044on &129
     6045)
     6046*195 (Wire
    60026047uid 1477,0
    60036048shape (OrthoPolyLine
     
    60326077)
    60336078)
    6034 on &138
    6035 )
    6036 *195 (Wire
     6079on &130
     6080)
     6081*196 (Wire
    60376082uid 1485,0
    60386083shape (OrthoPolyLine
     
    60676112)
    60686113)
    6069 on &139
    6070 )
    6071 *196 (Wire
     6114on &131
     6115)
     6116*197 (Wire
    60726117uid 1503,0
    60736118shape (OrthoPolyLine
     
    61046149)
    61056150)
    6106 on &144
    6107 )
    6108 *197 (Wire
     6151on &136
     6152)
     6153*198 (Wire
    61096154uid 1529,0
    61106155shape (OrthoPolyLine
     
    61216166]
    61226167)
    6123 start &146
     6168start &138
    61246169end &49
    61256170sat 32
     
    61426187)
    61436188)
    6144 on &153
    6145 )
    6146 *198 (Wire
     6189on &145
     6190)
     6191*199 (Wire
    61476192uid 1533,0
    61486193shape (OrthoPolyLine
     
    61576202]
    61586203)
    6159 start &140
     6204start &132
    61606205sat 2
    61616206eat 16
     
    61776222)
    61786223)
    6179 on &144
    6180 )
    6181 *199 (Wire
     6224on &136
     6225)
     6226*200 (Wire
    61826227uid 1561,0
    61836228shape (OrthoPolyLine
     
    62146259)
    62156260)
    6216 on &151
    6217 )
    6218 *200 (Wire
     6261on &143
     6262)
     6263*201 (Wire
    62196264uid 1567,0
    62206265shape (OrthoPolyLine
     
    62296274]
    62306275)
    6231 start &140
     6276start &132
    62326277sat 2
    62336278eat 16
     
    62496294)
    62506295)
    6251 on &151
    6252 )
    6253 *201 (Wire
     6296on &143
     6297)
     6298*202 (Wire
    62546299uid 1684,0
    62556300shape (OrthoPolyLine
     
    62846329)
    62856330)
    6286 on &152
     6331on &144
    62876332)
    62886333]
     
    62986343color "26368,26368,26368"
    62996344)
    6300 packageList *202 (PackageList
     6345packageList *203 (PackageList
    63016346uid 41,0
    63026347stg "VerticalLayoutStrategy"
    63036348textVec [
    6304 *203 (Text
     6349*204 (Text
    63056350uid 42,0
    63066351va (VaSet
     
    63116356blo "-87000,800"
    63126357)
    6313 *204 (MLText
     6358*205 (MLText
    63146359uid 43,0
    63156360va (VaSet
     
    63346379stg "VerticalLayoutStrategy"
    63356380textVec [
    6336 *205 (Text
     6381*206 (Text
    63376382uid 45,0
    63386383va (VaSet
     
    63446389blo "20000,800"
    63456390)
    6346 *206 (Text
     6391*207 (Text
    63476392uid 46,0
    63486393va (VaSet
     
    63546399blo "20000,1800"
    63556400)
    6356 *207 (MLText
     6401*208 (MLText
    63576402uid 47,0
    63586403va (VaSet
     
    63646409tm "BdCompilerDirectivesTextMgr"
    63656410)
    6366 *208 (Text
     6411*209 (Text
    63676412uid 48,0
    63686413va (VaSet
     
    63746419blo "20000,4800"
    63756420)
    6376 *209 (MLText
     6421*210 (MLText
    63776422uid 49,0
    63786423va (VaSet
     
    63826427tm "BdCompilerDirectivesTextMgr"
    63836428)
    6384 *210 (Text
     6429*211 (Text
    63856430uid 50,0
    63866431va (VaSet
     
    63926437blo "20000,5800"
    63936438)
    6394 *211 (MLText
     6439*212 (MLText
    63956440uid 51,0
    63966441va (VaSet
     
    64036448associable 1
    64046449)
    6405 windowSize "0,22,1281,1024"
    6406 viewArea "19400,45496,86243,97471"
     6450windowSize "0,0,1681,1030"
     6451viewArea "59994,4224,152100,60168"
    64076452cachedDiagramExtent "-92000,0,146000,98000"
    64086453pageSetupInfo (PageSetupInfo
     
    64176462hasePageBreakOrigin 1
    64186463pageBreakOrigin "-146000,0"
    6419 lastUid 2004,0
     6464lastUid 2345,0
    64206465defaultCommentText (CommentText
    64216466shape (Rectangle
     
    64796524stg "VerticalLayoutStrategy"
    64806525textVec [
    6481 *212 (Text
     6526*213 (Text
    64826527va (VaSet
    64836528font "Arial,8,1"
     
    64886533tm "BdLibraryNameMgr"
    64896534)
    6490 *213 (Text
     6535*214 (Text
    64916536va (VaSet
    64926537font "Arial,8,1"
     
    64976542tm "BlkNameMgr"
    64986543)
    6499 *214 (Text
     6544*215 (Text
    65006545va (VaSet
    65016546font "Arial,8,1"
     
    65486593stg "VerticalLayoutStrategy"
    65496594textVec [
    6550 *215 (Text
     6595*216 (Text
    65516596va (VaSet
    65526597font "Arial,8,1"
     
    65566601blo "550,4300"
    65576602)
    6558 *216 (Text
     6603*217 (Text
    65596604va (VaSet
    65606605font "Arial,8,1"
     
    65646609blo "550,5300"
    65656610)
    6566 *217 (Text
     6611*218 (Text
    65676612va (VaSet
    65686613font "Arial,8,1"
     
    66136658stg "VerticalLayoutStrategy"
    66146659textVec [
    6615 *218 (Text
     6660*219 (Text
    66166661va (VaSet
    66176662font "Arial,8,1"
     
    66226667tm "BdLibraryNameMgr"
    66236668)
    6624 *219 (Text
     6669*220 (Text
    66256670va (VaSet
    66266671font "Arial,8,1"
     
    66316676tm "CptNameMgr"
    66326677)
    6633 *220 (Text
     6678*221 (Text
    66346679va (VaSet
    66356680font "Arial,8,1"
     
    66856730stg "VerticalLayoutStrategy"
    66866731textVec [
    6687 *221 (Text
     6732*222 (Text
    66886733va (VaSet
    66896734font "Arial,8,1"
     
    66936738blo "500,4300"
    66946739)
    6695 *222 (Text
     6740*223 (Text
    66966741va (VaSet
    66976742font "Arial,8,1"
     
    67016746blo "500,5300"
    67026747)
    6703 *223 (Text
     6748*224 (Text
    67046749va (VaSet
    67056750font "Arial,8,1"
     
    67466791stg "VerticalLayoutStrategy"
    67476792textVec [
    6748 *224 (Text
     6793*225 (Text
    67496794va (VaSet
    67506795font "Arial,8,1"
     
    67546799blo "50,4300"
    67556800)
    6756 *225 (Text
     6801*226 (Text
    67576802va (VaSet
    67586803font "Arial,8,1"
     
    67626807blo "50,5300"
    67636808)
    6764 *226 (Text
     6809*227 (Text
    67656810va (VaSet
    67666811font "Arial,8,1"
     
    68036848stg "VerticalLayoutStrategy"
    68046849textVec [
    6805 *227 (Text
     6850*228 (Text
    68066851va (VaSet
    68076852font "Arial,8,1"
     
    68126857tm "HdlTextNameMgr"
    68136858)
    6814 *228 (Text
     6859*229 (Text
    68156860va (VaSet
    68166861font "Arial,8,1"
     
    72157260stg "VerticalLayoutStrategy"
    72167261textVec [
    7217 *229 (Text
     7262*230 (Text
    72187263va (VaSet
    72197264font "Arial,8,1"
     
    72237268blo "14100,20800"
    72247269)
    7225 *230 (MLText
     7270*231 (MLText
    72267271va (VaSet
    72277272)
     
    72757320stg "VerticalLayoutStrategy"
    72767321textVec [
    7277 *231 (Text
     7322*232 (Text
    72787323va (VaSet
    72797324font "Arial,8,1"
     
    72837328blo "14100,20800"
    72847329)
    7285 *232 (MLText
     7330*233 (MLText
    72867331va (VaSet
    72877332)
     
    74297474suid 51,0
    74307475usingSuid 1
    7431 emptyRow *233 (LEmptyRow
     7476emptyRow *234 (LEmptyRow
    74327477)
    74337478uid 54,0
    74347479optionalChildren [
    7435 *234 (RefLabelRowHdr
    7436 )
    7437 *235 (TitleRowHdr
    7438 )
    7439 *236 (FilterRowHdr
    7440 )
    7441 *237 (RefLabelColHdr
     7480*235 (RefLabelRowHdr
     7481)
     7482*236 (TitleRowHdr
     7483)
     7484*237 (FilterRowHdr
     7485)
     7486*238 (RefLabelColHdr
    74427487tm "RefLabelColHdrMgr"
    74437488)
    7444 *238 (RowExpandColHdr
     7489*239 (RowExpandColHdr
    74457490tm "RowExpandColHdrMgr"
    74467491)
    7447 *239 (GroupColHdr
     7492*240 (GroupColHdr
    74487493tm "GroupColHdrMgr"
    74497494)
    7450 *240 (NameColHdr
     7495*241 (NameColHdr
    74517496tm "BlockDiagramNameColHdrMgr"
    74527497)
    7453 *241 (ModeColHdr
     7498*242 (ModeColHdr
    74547499tm "BlockDiagramModeColHdrMgr"
    74557500)
    7456 *242 (TypeColHdr
     7501*243 (TypeColHdr
    74577502tm "BlockDiagramTypeColHdrMgr"
    74587503)
    7459 *243 (BoundsColHdr
     7504*244 (BoundsColHdr
    74607505tm "BlockDiagramBoundsColHdrMgr"
    74617506)
    7462 *244 (InitColHdr
     7507*245 (InitColHdr
    74637508tm "BlockDiagramInitColHdrMgr"
    74647509)
    7465 *245 (EolColHdr
     7510*246 (EolColHdr
    74667511tm "BlockDiagramEolColHdrMgr"
    74677512)
    7468 *246 (LeafLogPort
     7513*247 (LeafLogPort
    74697514port (LogicalPort
    74707515m 4
     
    74807525uid 340,0
    74817526)
    7482 *247 (LeafLogPort
     7527*248 (LeafLogPort
    74837528port (LogicalPort
    74847529m 4
     
    74937538uid 342,0
    74947539)
    7495 *248 (LeafLogPort
     7540*249 (LeafLogPort
    74967541port (LogicalPort
    74977542m 4
     
    75067551uid 344,0
    75077552)
    7508 *249 (LeafLogPort
     7553*250 (LeafLogPort
    75097554port (LogicalPort
    75107555m 4
     
    75197564uid 346,0
    75207565)
    7521 *250 (LeafLogPort
     7566*251 (LeafLogPort
    75227567port (LogicalPort
    75237568m 4
     
    75327577uid 348,0
    75337578)
    7534 *251 (LeafLogPort
     7579*252 (LeafLogPort
    75357580port (LogicalPort
    75367581m 4
     
    75457590uid 404,0
    75467591)
    7547 *252 (LeafLogPort
     7592*253 (LeafLogPort
    75487593port (LogicalPort
    75497594m 4
     
    75577602uid 406,0
    75587603)
    7559 *253 (LeafLogPort
     7604*254 (LeafLogPort
    75607605port (LogicalPort
    75617606m 4
     
    75717616uid 408,0
    75727617)
    7573 *254 (LeafLogPort
     7618*255 (LeafLogPort
    75747619port (LogicalPort
    75757620m 4
     
    75857630uid 456,0
    75867631)
    7587 *255 (LeafLogPort
     7632*256 (LeafLogPort
    75887633port (LogicalPort
    75897634m 4
     
    76007645uid 458,0
    76017646)
    7602 *256 (LeafLogPort
     7647*257 (LeafLogPort
    76037648port (LogicalPort
    76047649m 4
     
    76137658uid 460,0
    76147659)
    7615 *257 (LeafLogPort
     7660*258 (LeafLogPort
    76167661port (LogicalPort
    76177662m 4
     
    76267671uid 584,0
    76277672)
    7628 *258 (LeafLogPort
     7673*259 (LeafLogPort
    76297674port (LogicalPort
    76307675m 4
     
    76387683uid 586,0
    76397684)
    7640 *259 (LeafLogPort
     7685*260 (LeafLogPort
    76417686port (LogicalPort
    76427687m 4
     
    76527697uid 588,0
    76537698)
    7654 *260 (LeafLogPort
     7699*261 (LeafLogPort
    76557700port (LogicalPort
    76567701m 4
     
    76667711uid 590,0
    76677712)
    7668 *261 (LeafLogPort
     7713*262 (LeafLogPort
    76697714port (LogicalPort
    76707715m 4
     
    76817726uid 592,0
    76827727)
    7683 *262 (LeafLogPort
     7728*263 (LeafLogPort
    76847729port (LogicalPort
    76857730m 4
     
    76947739uid 903,0
    76957740)
    7696 *263 (LeafLogPort
     7741*264 (LeafLogPort
    76977742port (LogicalPort
    76987743m 4
     
    77097754uid 905,0
    77107755)
    7711 *264 (LeafLogPort
     7756*265 (LeafLogPort
    77127757port (LogicalPort
    77137758m 4
     
    77227767uid 907,0
    77237768)
    7724 *265 (LeafLogPort
    7725 port (LogicalPort
    7726 m 4
    7727 decl (Decl
    7728 n "wiz_int"
    7729 t "std_logic"
    7730 o 24
    7731 suid 26,0
    7732 )
    7733 )
    7734 uid 909,0
    7735 )
    77367769*266 (LeafLogPort
    77377770port (LogicalPort
    77387771m 4
    77397772decl (Decl
    7740 n "dac_cs"
    7741 t "std_logic"
    7742 o 25
    7743 suid 27,0
    7744 )
    7745 )
    7746 uid 911,0
     7773n "wiz_int"
     7774t "std_logic"
     7775o 24
     7776suid 26,0
     7777)
     7778)
     7779uid 909,0
    77477780)
    77487781*267 (LeafLogPort
     
    77507783m 4
    77517784decl (Decl
     7785n "dac_cs"
     7786t "std_logic"
     7787o 25
     7788suid 27,0
     7789)
     7790)
     7791uid 911,0
     7792)
     7793*268 (LeafLogPort
     7794port (LogicalPort
     7795m 4
     7796decl (Decl
    77527797n "mosi"
    77537798t "std_logic"
     
    77597804uid 913,0
    77607805)
    7761 *268 (LeafLogPort
     7806*269 (LeafLogPort
    77627807port (LogicalPort
    77637808m 4
     
    77747819uid 915,0
    77757820)
    7776 *269 (LeafLogPort
    7777 port (LogicalPort
    7778 m 4
    7779 decl (Decl
    7780 n "CLK_25_PS"
    7781 t "std_logic"
    7782 o 28
    7783 suid 30,0
    7784 )
    7785 )
    7786 uid 917,0
    7787 )
    77887821*270 (LeafLogPort
    77897822port (LogicalPort
    77907823m 4
    77917824decl (Decl
     7825n "CLK_25_PS"
     7826t "std_logic"
     7827o 28
     7828suid 30,0
     7829)
     7830)
     7831uid 917,0
     7832)
     7833*271 (LeafLogPort
     7834port (LogicalPort
     7835m 4
     7836decl (Decl
    77927837n "CLK_50"
    77937838t "std_logic"
     
    77987843uid 919,0
    77997844)
    7800 *271 (LeafLogPort
     7845*272 (LeafLogPort
    78017846port (LogicalPort
    78027847m 4
     
    78127857uid 921,0
    78137858)
    7814 *272 (LeafLogPort
     7859*273 (LeafLogPort
    78157860port (LogicalPort
    78167861m 4
     
    78257870uid 923,0
    78267871)
    7827 *273 (LeafLogPort
     7872*274 (LeafLogPort
    78287873port (LogicalPort
    78297874m 4
     
    78387883uid 925,0
    78397884)
    7840 *274 (LeafLogPort
     7885*275 (LeafLogPort
    78417886port (LogicalPort
    78427887m 4
     
    78517896uid 927,0
    78527897)
    7853 *275 (LeafLogPort
    7854 port (LogicalPort
    7855 m 4
    7856 decl (Decl
    7857 n "SROUT_in_0"
    7858 t "std_logic"
    7859 o 30
    7860 suid 36,0
    7861 )
    7862 )
    7863 uid 929,0
    7864 )
    78657898*276 (LeafLogPort
    78667899port (LogicalPort
    78677900m 4
    78687901decl (Decl
    7869 n "SROUT_in_1"
    7870 t "std_logic"
    7871 o 31
    7872 suid 37,0
    7873 )
    7874 )
    7875 uid 931,0
     7902n "SROUT_in_0"
     7903t "std_logic"
     7904o 30
     7905suid 36,0
     7906)
     7907)
     7908uid 929,0
    78767909)
    78777910*277 (LeafLogPort
     
    78797912m 4
    78807913decl (Decl
    7881 n "SROUT_in_2"
    7882 t "std_logic"
    7883 o 32
    7884 suid 38,0
    7885 )
    7886 )
    7887 uid 933,0
     7914n "SROUT_in_1"
     7915t "std_logic"
     7916o 31
     7917suid 37,0
     7918)
     7919)
     7920uid 931,0
    78887921)
    78897922*278 (LeafLogPort
     
    78917924m 4
    78927925decl (Decl
    7893 n "SROUT_in_3"
    7894 t "std_logic"
    7895 o 33
    7896 suid 39,0
    7897 )
    7898 )
    7899 uid 935,0
     7926n "SROUT_in_2"
     7927t "std_logic"
     7928o 32
     7929suid 38,0
     7930)
     7931)
     7932uid 933,0
    79007933)
    79017934*279 (LeafLogPort
     
    79037936m 4
    79047937decl (Decl
     7938n "SROUT_in_3"
     7939t "std_logic"
     7940o 33
     7941suid 39,0
     7942)
     7943)
     7944uid 935,0
     7945)
     7946*280 (LeafLogPort
     7947port (LogicalPort
     7948m 4
     7949decl (Decl
    79057950n "SRIN_out"
    79067951t "std_logic"
     
    79127957uid 1541,0
    79137958)
    7914 *280 (LeafLogPort
    7915 port (LogicalPort
    7916 m 4
    7917 decl (Decl
    7918 n "amber"
    7919 t "std_logic"
    7920 o 35
    7921 suid 41,0
    7922 )
    7923 )
    7924 uid 1543,0
    7925 )
    79267959*281 (LeafLogPort
    79277960port (LogicalPort
    79287961m 4
    79297962decl (Decl
    7930 n "red"
    7931 t "std_logic"
    7932 o 36
    7933 suid 42,0
    7934 )
    7935 )
    7936 uid 1545,0
     7963n "amber"
     7964t "std_logic"
     7965o 35
     7966suid 41,0
     7967)
     7968)
     7969uid 1543,0
    79377970)
    79387971*282 (LeafLogPort
     
    79407973m 4
    79417974decl (Decl
     7975n "red"
     7976t "std_logic"
     7977o 36
     7978suid 42,0
     7979)
     7980)
     7981uid 1545,0
     7982)
     7983*283 (LeafLogPort
     7984port (LogicalPort
     7985m 4
     7986decl (Decl
    79427987n "green"
    79437988t "std_logic"
     
    79487993uid 1547,0
    79497994)
    7950 *283 (LeafLogPort
     7995*284 (LeafLogPort
    79517996port (LogicalPort
    79527997m 4
     
    79618006uid 1549,0
    79628007)
    7963 *284 (LeafLogPort
     8008*285 (LeafLogPort
    79648009port (LogicalPort
    79658010m 4
     
    79748019uid 1551,0
    79758020)
    7976 *285 (LeafLogPort
     8021*286 (LeafLogPort
    79778022port (LogicalPort
    79788023m 4
     
    79868031uid 1553,0
    79878032)
    7988 *286 (LeafLogPort
     8033*287 (LeafLogPort
    79898034port (LogicalPort
    79908035m 4
     
    79998044uid 1555,0
    80008045)
    8001 *287 (LeafLogPort
     8046*288 (LeafLogPort
    80028047port (LogicalPort
    80038048m 4
     
    80138058uid 1575,0
    80148059)
    8015 *288 (LeafLogPort
     8060*289 (LeafLogPort
    80168061port (LogicalPort
    80178062lang 2
     
    80268071uid 1690,0
    80278072)
    8028 *289 (LeafLogPort
     8073*290 (LeafLogPort
    80298074port (LogicalPort
    80308075m 4
     
    80468091uid 67,0
    80478092optionalChildren [
    8048 *290 (Sheet
     8093*291 (Sheet
    80498094sheetRow (SheetRow
    80508095headerVa (MVa
     
    80638108font "Tahoma,10,0"
    80648109)
    8065 emptyMRCItem *291 (MRCItem
    8066 litem &233
     8110emptyMRCItem *292 (MRCItem
     8111litem &234
    80678112pos 44
    80688113dimension 20
     
    80708115uid 69,0
    80718116optionalChildren [
    8072 *292 (MRCItem
    8073 litem &234
     8117*293 (MRCItem
     8118litem &235
    80748119pos 0
    80758120dimension 20
    80768121uid 70,0
    80778122)
    8078 *293 (MRCItem
    8079 litem &235
     8123*294 (MRCItem
     8124litem &236
    80808125pos 1
    80818126dimension 23
    80828127uid 71,0
    80838128)
    8084 *294 (MRCItem
    8085 litem &236
     8129*295 (MRCItem
     8130litem &237
    80868131pos 2
    80878132hidden 1
     
    80898134uid 72,0
    80908135)
    8091 *295 (MRCItem
    8092 litem &246
     8136*296 (MRCItem
     8137litem &247
    80938138pos 0
    80948139dimension 20
    80958140uid 341,0
    80968141)
    8097 *296 (MRCItem
    8098 litem &247
     8142*297 (MRCItem
     8143litem &248
    80998144pos 1
    81008145dimension 20
    81018146uid 343,0
    81028147)
    8103 *297 (MRCItem
    8104 litem &248
     8148*298 (MRCItem
     8149litem &249
    81058150pos 2
    81068151dimension 20
    81078152uid 345,0
    81088153)
    8109 *298 (MRCItem
    8110 litem &249
     8154*299 (MRCItem
     8155litem &250
    81118156pos 3
    81128157dimension 20
    81138158uid 347,0
    81148159)
    8115 *299 (MRCItem
    8116 litem &250
     8160*300 (MRCItem
     8161litem &251
    81178162pos 4
    81188163dimension 20
    81198164uid 349,0
    81208165)
    8121 *300 (MRCItem
    8122 litem &251
     8166*301 (MRCItem
     8167litem &252
    81238168pos 5
    81248169dimension 20
    81258170uid 405,0
    81268171)
    8127 *301 (MRCItem
    8128 litem &252
     8172*302 (MRCItem
     8173litem &253
    81298174pos 6
    81308175dimension 20
    81318176uid 407,0
    81328177)
    8133 *302 (MRCItem
    8134 litem &253
     8178*303 (MRCItem
     8179litem &254
    81358180pos 7
    81368181dimension 20
    81378182uid 409,0
    81388183)
    8139 *303 (MRCItem
    8140 litem &254
     8184*304 (MRCItem
     8185litem &255
    81418186pos 8
    81428187dimension 20
    81438188uid 457,0
    81448189)
    8145 *304 (MRCItem
    8146 litem &255
     8190*305 (MRCItem
     8191litem &256
    81478192pos 9
    81488193dimension 20
    81498194uid 459,0
    81508195)
    8151 *305 (MRCItem
    8152 litem &256
     8196*306 (MRCItem
     8197litem &257
    81538198pos 10
    81548199dimension 20
    81558200uid 461,0
    81568201)
    8157 *306 (MRCItem
    8158 litem &257
     8202*307 (MRCItem
     8203litem &258
    81598204pos 11
    81608205dimension 20
    81618206uid 585,0
    81628207)
    8163 *307 (MRCItem
    8164 litem &258
     8208*308 (MRCItem
     8209litem &259
    81658210pos 12
    81668211dimension 20
    81678212uid 587,0
    81688213)
    8169 *308 (MRCItem
    8170 litem &259
     8214*309 (MRCItem
     8215litem &260
    81718216pos 13
    81728217dimension 20
    81738218uid 589,0
    81748219)
    8175 *309 (MRCItem
    8176 litem &260
     8220*310 (MRCItem
     8221litem &261
    81778222pos 14
    81788223dimension 20
    81798224uid 591,0
    81808225)
    8181 *310 (MRCItem
    8182 litem &261
     8226*311 (MRCItem
     8227litem &262
    81838228pos 15
    81848229dimension 20
    81858230uid 593,0
    81868231)
    8187 *311 (MRCItem
    8188 litem &262
     8232*312 (MRCItem
     8233litem &263
    81898234pos 16
    81908235dimension 20
    81918236uid 904,0
    81928237)
    8193 *312 (MRCItem
    8194 litem &263
     8238*313 (MRCItem
     8239litem &264
    81958240pos 17
    81968241dimension 20
    81978242uid 906,0
    81988243)
    8199 *313 (MRCItem
    8200 litem &264
     8244*314 (MRCItem
     8245litem &265
    82018246pos 18
    82028247dimension 20
    82038248uid 908,0
    82048249)
    8205 *314 (MRCItem
    8206 litem &265
     8250*315 (MRCItem
     8251litem &266
    82078252pos 19
    82088253dimension 20
    82098254uid 910,0
    82108255)
    8211 *315 (MRCItem
    8212 litem &266
     8256*316 (MRCItem
     8257litem &267
    82138258pos 20
    82148259dimension 20
    82158260uid 912,0
    82168261)
    8217 *316 (MRCItem
    8218 litem &267
     8262*317 (MRCItem
     8263litem &268
    82198264pos 21
    82208265dimension 20
    82218266uid 914,0
    82228267)
    8223 *317 (MRCItem
    8224 litem &268
     8268*318 (MRCItem
     8269litem &269
    82258270pos 22
    82268271dimension 20
    82278272uid 916,0
    82288273)
    8229 *318 (MRCItem
    8230 litem &269
     8274*319 (MRCItem
     8275litem &270
    82318276pos 23
    82328277dimension 20
    82338278uid 918,0
    82348279)
    8235 *319 (MRCItem
    8236 litem &270
     8280*320 (MRCItem
     8281litem &271
    82378282pos 24
    82388283dimension 20
    82398284uid 920,0
    82408285)
    8241 *320 (MRCItem
    8242 litem &271
     8286*321 (MRCItem
     8287litem &272
    82438288pos 25
    82448289dimension 20
    82458290uid 922,0
    82468291)
    8247 *321 (MRCItem
    8248 litem &272
     8292*322 (MRCItem
     8293litem &273
    82498294pos 26
    82508295dimension 20
    82518296uid 924,0
    82528297)
    8253 *322 (MRCItem
    8254 litem &273
     8298*323 (MRCItem
     8299litem &274
    82558300pos 27
    82568301dimension 20
    82578302uid 926,0
    82588303)
    8259 *323 (MRCItem
    8260 litem &274
     8304*324 (MRCItem
     8305litem &275
    82618306pos 28
    82628307dimension 20
    82638308uid 928,0
    82648309)
    8265 *324 (MRCItem
    8266 litem &275
     8310*325 (MRCItem
     8311litem &276
    82678312pos 29
    82688313dimension 20
    82698314uid 930,0
    82708315)
    8271 *325 (MRCItem
    8272 litem &276
     8316*326 (MRCItem
     8317litem &277
    82738318pos 30
    82748319dimension 20
    82758320uid 932,0
    82768321)
    8277 *326 (MRCItem
    8278 litem &277
     8322*327 (MRCItem
     8323litem &278
    82798324pos 31
    82808325dimension 20
    82818326uid 934,0
    82828327)
    8283 *327 (MRCItem
    8284 litem &278
     8328*328 (MRCItem
     8329litem &279
    82858330pos 32
    82868331dimension 20
    82878332uid 936,0
    82888333)
    8289 *328 (MRCItem
    8290 litem &279
     8334*329 (MRCItem
     8335litem &280
    82918336pos 33
    82928337dimension 20
    82938338uid 1542,0
    82948339)
    8295 *329 (MRCItem
    8296 litem &280
     8340*330 (MRCItem
     8341litem &281
    82978342pos 34
    82988343dimension 20
    82998344uid 1544,0
    83008345)
    8301 *330 (MRCItem
    8302 litem &281
     8346*331 (MRCItem
     8347litem &282
    83038348pos 35
    83048349dimension 20
    83058350uid 1546,0
    83068351)
    8307 *331 (MRCItem
    8308 litem &282
     8352*332 (MRCItem
     8353litem &283
    83098354pos 36
    83108355dimension 20
    83118356uid 1548,0
    83128357)
    8313 *332 (MRCItem
    8314 litem &283
     8358*333 (MRCItem
     8359litem &284
    83158360pos 37
    83168361dimension 20
    83178362uid 1550,0
    83188363)
    8319 *333 (MRCItem
    8320 litem &284
     8364*334 (MRCItem
     8365litem &285
    83218366pos 38
    83228367dimension 20
    83238368uid 1552,0
    83248369)
    8325 *334 (MRCItem
    8326 litem &285
     8370*335 (MRCItem
     8371litem &286
    83278372pos 39
    83288373dimension 20
    83298374uid 1554,0
    83308375)
    8331 *335 (MRCItem
    8332 litem &286
     8376*336 (MRCItem
     8377litem &287
    83338378pos 40
    83348379dimension 20
    83358380uid 1556,0
    83368381)
    8337 *336 (MRCItem
    8338 litem &287
     8382*337 (MRCItem
     8383litem &288
    83398384pos 41
    83408385dimension 20
    83418386uid 1576,0
    83428387)
    8343 *337 (MRCItem
    8344 litem &288
     8388*338 (MRCItem
     8389litem &289
    83458390pos 42
    83468391dimension 20
    83478392uid 1691,0
    83488393)
    8349 *338 (MRCItem
    8350 litem &289
     8394*339 (MRCItem
     8395litem &290
    83518396pos 43
    83528397dimension 20
     
    83648409uid 73,0
    83658410optionalChildren [
    8366 *339 (MRCItem
    8367 litem &237
     8411*340 (MRCItem
     8412litem &238
    83688413pos 0
    83698414dimension 20
    83708415uid 74,0
    83718416)
    8372 *340 (MRCItem
    8373 litem &239
     8417*341 (MRCItem
     8418litem &240
    83748419pos 1
    83758420dimension 50
    83768421uid 75,0
    83778422)
    8378 *341 (MRCItem
    8379 litem &240
     8423*342 (MRCItem
     8424litem &241
    83808425pos 2
    83818426dimension 100
    83828427uid 76,0
    83838428)
    8384 *342 (MRCItem
    8385 litem &241
     8429*343 (MRCItem
     8430litem &242
    83868431pos 3
    83878432dimension 50
    83888433uid 77,0
    83898434)
    8390 *343 (MRCItem
    8391 litem &242
     8435*344 (MRCItem
     8436litem &243
    83928437pos 4
    83938438dimension 100
    83948439uid 78,0
    83958440)
    8396 *344 (MRCItem
    8397 litem &243
     8441*345 (MRCItem
     8442litem &244
    83988443pos 5
    83998444dimension 100
    84008445uid 79,0
    84018446)
    8402 *345 (MRCItem
    8403 litem &244
     8447*346 (MRCItem
     8448litem &245
    84048449pos 6
    84058450dimension 50
    84068451uid 80,0
    84078452)
    8408 *346 (MRCItem
    8409 litem &245
     8453*347 (MRCItem
     8454litem &246
    84108455pos 7
    84118456dimension 80
     
    84278472genericsCommonDM (CommonDM
    84288473ldm (LogicalDM
    8429 emptyRow *347 (LEmptyRow
     8474emptyRow *348 (LEmptyRow
    84308475)
    84318476uid 83,0
    84328477optionalChildren [
    8433 *348 (RefLabelRowHdr
    8434 )
    8435 *349 (TitleRowHdr
    8436 )
    8437 *350 (FilterRowHdr
    8438 )
    8439 *351 (RefLabelColHdr
     8478*349 (RefLabelRowHdr
     8479)
     8480*350 (TitleRowHdr
     8481)
     8482*351 (FilterRowHdr
     8483)
     8484*352 (RefLabelColHdr
    84408485tm "RefLabelColHdrMgr"
    84418486)
    8442 *352 (RowExpandColHdr
     8487*353 (RowExpandColHdr
    84438488tm "RowExpandColHdrMgr"
    84448489)
    8445 *353 (GroupColHdr
     8490*354 (GroupColHdr
    84468491tm "GroupColHdrMgr"
    84478492)
    8448 *354 (NameColHdr
     8493*355 (NameColHdr
    84498494tm "GenericNameColHdrMgr"
    84508495)
    8451 *355 (TypeColHdr
     8496*356 (TypeColHdr
    84528497tm "GenericTypeColHdrMgr"
    84538498)
    8454 *356 (InitColHdr
     8499*357 (InitColHdr
    84558500tm "GenericValueColHdrMgr"
    84568501)
    8457 *357 (PragmaColHdr
     8502*358 (PragmaColHdr
    84588503tm "GenericPragmaColHdrMgr"
    84598504)
    8460 *358 (EolColHdr
     8505*359 (EolColHdr
    84618506tm "GenericEolColHdrMgr"
    84628507)
     
    84688513uid 95,0
    84698514optionalChildren [
    8470 *359 (Sheet
     8515*360 (Sheet
    84718516sheetRow (SheetRow
    84728517headerVa (MVa
     
    84858530font "Tahoma,10,0"
    84868531)
    8487 emptyMRCItem *360 (MRCItem
    8488 litem &347
    8489 pos 0
    8490 dimension 20
    8491 )
    8492 uid 97,0
    8493 optionalChildren [
    8494 *361 (MRCItem
     8532emptyMRCItem *361 (MRCItem
    84958533litem &348
    84968534pos 0
    84978535dimension 20
    8498 uid 98,0
    8499 )
     8536)
     8537uid 97,0
     8538optionalChildren [
    85008539*362 (MRCItem
    85018540litem &349
     8541pos 0
     8542dimension 20
     8543uid 98,0
     8544)
     8545*363 (MRCItem
     8546litem &350
    85028547pos 1
    85038548dimension 23
    85048549uid 99,0
    85058550)
    8506 *363 (MRCItem
    8507 litem &350
     8551*364 (MRCItem
     8552litem &351
    85088553pos 2
    85098554hidden 1
     
    85228567uid 101,0
    85238568optionalChildren [
    8524 *364 (MRCItem
    8525 litem &351
     8569*365 (MRCItem
     8570litem &352
    85268571pos 0
    85278572dimension 20
    85288573uid 102,0
    85298574)
    8530 *365 (MRCItem
    8531 litem &353
     8575*366 (MRCItem
     8576litem &354
    85328577pos 1
    85338578dimension 50
    85348579uid 103,0
    85358580)
    8536 *366 (MRCItem
    8537 litem &354
     8581*367 (MRCItem
     8582litem &355
    85388583pos 2
    85398584dimension 100
    85408585uid 104,0
    85418586)
    8542 *367 (MRCItem
    8543 litem &355
     8587*368 (MRCItem
     8588litem &356
    85448589pos 3
    85458590dimension 100
    85468591uid 105,0
    85478592)
    8548 *368 (MRCItem
    8549 litem &356
     8593*369 (MRCItem
     8594litem &357
    85508595pos 4
    85518596dimension 50
    85528597uid 106,0
    85538598)
    8554 *369 (MRCItem
    8555 litem &357
     8599*370 (MRCItem
     8600litem &358
    85568601pos 5
    85578602dimension 50
    85588603uid 107,0
    85598604)
    8560 *370 (MRCItem
    8561 litem &358
     8605*371 (MRCItem
     8606litem &359
    85628607pos 6
    85638608dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/w5300_emulator/symbol.sb

    r10180 r10225  
    2626)
    2727version "24.1"
    28 appVersion "2009.2 (Build 10)"
     28appVersion "2009.1 (Build 12)"
    2929model (Symbol
    3030commonDM (CommonDM
     
    133133o 1
    134134suid 5,0
    135 i "'0'"
     135i "'1'"
    136136)
    137137)
     
    447447(vvPair
    448448variable "HDLDir"
    449 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
     449value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
    450450)
    451451(vvPair
    452452variable "HDSDir"
    453 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     453value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    454454)
    455455(vvPair
    456456variable "SideDataDesignDir"
    457 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.info"
     457value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.info"
    458458)
    459459(vvPair
    460460variable "SideDataUserDir"
    461 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.user"
     461value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.user"
    462462)
    463463(vvPair
    464464variable "SourceDir"
    465 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
     465value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
    466466)
    467467(vvPair
     
    479479(vvPair
    480480variable "d"
    481 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
     481value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
    482482)
    483483(vvPair
    484484variable "d_logical"
    485 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
     485value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
    486486)
    487487(vvPair
    488488variable "date"
    489 value "25.02.2011"
     489value "02.03.2011"
    490490)
    491491(vvPair
    492492variable "day"
    493 value "Fr"
     493value "Mi"
    494494)
    495495(vvPair
    496496variable "day_long"
    497 value "Freitag"
     497value "Mittwoch"
    498498)
    499499(vvPair
    500500variable "dd"
    501 value "25"
     501value "02"
    502502)
    503503(vvPair
     
    527527(vvPair
    528528variable "host"
    529 value "E5B-LABOR6"
     529value "IHP110"
    530530)
    531531(vvPair
     
    559559(vvPair
    560560variable "mm"
    561 value "02"
     561value "03"
    562562)
    563563(vvPair
     
    567567(vvPair
    568568variable "month"
    569 value "Feb"
     569value "Mrz"
    570570)
    571571(vvPair
    572572variable "month_long"
    573 value "Februar"
     573value "März"
    574574)
    575575(vvPair
    576576variable "p"
    577 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
     577value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
    578578)
    579579(vvPair
    580580variable "p_logical"
    581 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
     581value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
    582582)
    583583(vvPair
     
    603603(vvPair
    604604variable "task_ModelSimPath"
    605 value "C:\\modeltech_6.6a\\win32"
     605value "D:\\modeltech_6.5e\\win32"
    606606)
    607607(vvPair
     
    635635(vvPair
    636636variable "time"
    637 value "13:51:16"
     637value "15:31:22"
    638638)
    639639(vvPair
     
    643643(vvPair
    644644variable "user"
    645 value "dneise"
     645value "daqct3"
    646646)
    647647(vvPair
    648648variable "version"
    649 value "2009.2 (Build 10)"
     649value "2009.1 (Build 12)"
    650650)
    651651(vvPair
     
    887887)
    888888xt "36800,2500,38000,3500"
    889 st "'0'"
     889st "'1'"
    890890ju 2
    891891blo "38000,3300"
     
    899899)
    900900xt "2000,11000,20000,11800"
    901 st "int  : OUT    std_logic  := '0' ;
     901st "int  : OUT    std_logic  := '1' ;
    902902"
    903903)
     
    909909o 1
    910910suid 5,0
    911 i "'0'"
     911i "'1'"
    912912)
    913913)
     
    995995bg "0,0,32768"
    996996)
    997 xt "33200,20000,46200,21000"
     997xt "33200,20000,43000,21000"
    998998st "
    999999by %user on %dd %month %year
     
    16121612)
    16131613)
    1614 lastUid 170,0
     1614lastUid 262,0
    16151615activeModelName "Symbol:CDM"
    16161616)
Note: See TracChangeset for help on using the changeset viewer.