Changeset 10225 for firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib
- Timestamp:
- 03/04/11 10:16:36 (14 years ago)
- Location:
- firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib
- Files:
-
- 12 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/REFCLK_counter_tester_beha.vhd
r10129 r10225 19 19 alarm_refclk_too_low : IN std_logic; 20 20 counter_result : IN std_logic_vector (11 DOWNTO 0); 21 clk : out std_logic; 21 22 refclk_in : OUT std_logic 22 23 ); … … 28 29 -- 29 30 ARCHITECTURE beha OF REFCLK_counter_tester IS 30 constant REFCLK_PERIOD : time := 1012ns; 31 constant REFCLK_PERIOD : time := 1012ns; 32 constant clock_period : time := 20ns; 33 34 signal refclk_i : std_logic := '0'; 35 signal refclk_en : std_logic; 31 36 32 37 BEGIN 38 refclk_in <= refclk_i and refclk_en; 33 39 34 clock_gen_proc: process 40 clk_en_proc: process 41 begin 42 refclk_en <= '1'; 43 wait for 4500 us; 44 refclk_en <= '0'; 45 wait; 46 end process clk_en_proc; 47 48 clock_gen_proc: process 49 begin 50 clk <= '0'; 51 wait for clock_period / 2; 52 clk <= '1'; 53 wait for clock_period / 2; 54 end process clock_gen_proc; 55 56 57 ref_clock_gen_proc: process 35 58 begin 36 refclk_i n<= '0';59 refclk_i <= '0'; 37 60 wait for REFCLK_PERIOD / 2; 38 refclk_i n<= '1';61 refclk_i <= '1'; 39 62 wait for REFCLK_PERIOD / 2; 40 end process clock_gen_proc;63 end process ref_clock_gen_proc; 41 64 42 65 END ARCHITECTURE beha; -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/fad_main_tb_struct.vhd
r10180 r10225 2 2 -- 3 3 -- Created: 4 -- by - d neise.UNKNOWN (E5B-LABOR6)5 -- at - 1 6:10:14 25.02.20116 -- 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009. 2 (Build 10)4 -- by - daqct3.UNKNOWN (IHP110) 5 -- at - 18:33:01 02.03.2011 6 -- 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12) 8 8 -- 9 9 LIBRARY ieee; … … 20 20 -- 21 21 -- Created: 22 -- by - d neise.UNKNOWN (E5B-LABOR6)23 -- at - 1 6:10:15 25.02.201124 -- 25 -- Generated by Mentor Graphics' HDL Designer(TM) 2009. 2 (Build 10)22 -- by - daqct3.UNKNOWN (IHP110) 23 -- at - 18:33:01 02.03.2011 24 -- 25 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12) 26 26 -- 27 27 LIBRARY ieee; … … 182 182 COMPONENT w5300_emulator 183 183 PORT ( 184 int : OUT std_logic := ' 0';184 int : OUT std_logic := '1'; 185 185 addr : IN std_logic_vector (9 DOWNTO 0); 186 186 data : INOUT std_logic_vector (15 DOWNTO 0); -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/refclk_counter_tb_struct.vhd
r10129 r10225 2 2 -- 3 3 -- Created: 4 -- by - d neise.UNKNOWN (E5B-LABOR6)5 -- at - 09:29:21 04.02.20114 -- by - daqct3.UNKNOWN (IHP110) 5 -- at - 18:11:15 03.03.2011 6 6 -- 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009. 2 (Build 10)7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12) 8 8 -- 9 9 … … 22 22 -- 23 23 -- Created: 24 -- by - d neise.UNKNOWN (E5B-LABOR6)25 -- at - 09:29:21 04.02.201124 -- by - daqct3.UNKNOWN (IHP110) 25 -- at - 18:11:15 03.03.2011 26 26 -- 27 -- Generated by Mentor Graphics' HDL Designer(TM) 2009. 2 (Build 10)27 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12) 28 28 -- 29 29 LIBRARY ieee; … … 64 64 alarm_refclk_too_low : IN std_logic ; 65 65 counter_result : IN std_logic_vector (11 DOWNTO 0); 66 clk : OUT std_logic ; 66 67 refclk_in : OUT std_logic 67 );68 END COMPONENT;69 COMPONENT clock_generator70 GENERIC (71 clock_period : time := 20 ns;72 reset_time : time := 50 ns73 );74 PORT (75 clk : OUT std_logic := '0';76 rst : OUT std_logic := '0'77 68 ); 78 69 END COMPONENT; … … 82 73 FOR ALL : REFCLK_counter USE ENTITY FACT_FAD_lib.REFCLK_counter; 83 74 FOR ALL : REFCLK_counter_tester USE ENTITY FACT_FAD_TB_lib.REFCLK_counter_tester; 84 FOR ALL : clock_generator USE ENTITY FACT_FAD_TB_lib.clock_generator;85 75 -- pragma synthesis_on 86 76 … … 102 92 alarm_refclk_too_low => alarm_refclk_too_low, 103 93 counter_result => counter_result, 94 clk => clk, 104 95 refclk_in => refclk_in 105 );106 U_2 : clock_generator107 GENERIC MAP (108 clock_period => 20 ns,109 reset_time => 50 ns110 )111 PORT MAP (112 clk => clk,113 rst => OPEN114 96 ); 115 97 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/w5300_emulator_beha.vhd
r10180 r10225 17 17 ENTITY w5300_emulator IS 18 18 PORT( 19 int : out std_logic := '0';19 int : out std_logic := '1'; 20 20 addr : in std_logic_vector (9 DOWNTO 0); 21 21 data : inout std_logic_vector (15 DOWNTO 0); … … 43 43 set_proc : process 44 44 begin 45 FIFOR_CNT <= 0; 45 46 RSR_0 <= X"0000"; 46 47 RSR_1 <= X"0000"; 47 wait for 250 us;48 wait for 150 us; 48 49 RSR_1 <= X"0001"; 49 wait for 200 us;50 wait for 100 us; 50 51 RSR_1 <= X"0002"; 52 wait for 500 us; 53 FIFOR_CNT <= 1; 54 wait for 100 us; 55 FIFOR_CNT <= 2; 56 wait for 200 us; 57 FIFOR_CNT <= 3; 58 wait for 200 ns; 59 RSR_1 <= X"0000"; 60 wait for 2 ms; 61 RSR_1 <= X"0002"; 62 FIFOR_CNT <= 2; 63 64 wait for 6 ms; 65 int <= '0'; 66 67 -- wait for 1 ms; 68 -- RSR_1 <= X"0000"; 69 -- FIFOR_CNT <= 3; 51 70 wait; 52 71 end process set_proc; … … 73 92 if (FIFOR_CNT = 0) then 74 93 data_temp <= X"1800"; 75 FIFOR_CNT <= 1;94 76 95 elsif (FIFOR_CNT = 1) then 77 96 data_temp <= X"2200"; 78 FIFOR_CNT <= 2;97 79 98 elsif (FIFOR_CNT = 2) then 80 99 data_temp <= X"A000"; 81 FIFOR_CNT <= 3;100 82 101 83 102 elsif (FIFOR_CNT = 3) then 84 data_temp <= X" B000";103 data_temp <= X"A000"; 85 104 end if; 86 105 else -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/.xrf/fad_main_tb_struct.xrf
r10180 r10225 351 351 DESIGN fad_main_tb 352 352 VIEW struct.bd 353 GRAPHIC 306,0 181 0353 GRAPHIC 2336,0 181 0 354 354 DESIGN w5300_emulator 355 355 VIEW beha … … 388 388 DESIGN fad_main_tb 389 389 VIEW struct.bd 390 GRAPHIC 306,0 198 0390 GRAPHIC 2336,0 198 0 391 391 DESIGN fad_main_tb 392 392 VIEW struct.bd … … 607 607 DESIGN fad_main_tb 608 608 VIEW struct.bd 609 GRAPHIC 306,0 324 0609 GRAPHIC 2336,0 324 0 610 610 DESIGN fad_main_tb 611 611 VIEW struct.bd -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/.xrf/refclk_counter_tb_struct.xrf
r10180 r10225 69 69 DESIGN @r@e@f@c@l@k_counter_tb 70 70 VIEW struct.bd 71 GRAPHIC 101,0 65 071 GRAPHIC 661,0 65 0 72 72 DESIGN @r@e@f@c@l@k_counter_tb 73 73 VIEW struct.bd 74 GRAPHIC 284,0 68 0 75 DESIGN clock_generator 76 VIEW symbol.sb 77 GRAPHIC 14,0 69 1 78 DESIGN clock_generator 79 VIEW @behavioral 80 GRAPHIC 48,0 74 0 81 DESIGN clock_generator 82 VIEW @behavioral 83 GRAPHIC 53,0 75 0 74 GRAPHIC 101,0 66 0 84 75 LIBRARY FACT_FAD_TB_lib 76 DESIGN @r@e@f@c@l@k_counter_tb 77 VIEW struct.bd 78 NO_GRAPHIC 69 79 DESIGN @r@e@f@c@l@k_counter_tb 80 VIEW struct.bd 81 GRAPHIC 33,0 72 0 82 DESIGN @r@e@f@c@l@k_counter_tb 83 VIEW struct.bd 84 GRAPHIC 83,0 73 0 85 DESIGN @r@e@f@c@l@k_counter_tb 86 VIEW struct.bd 87 NO_GRAPHIC 76 85 88 DESIGN @r@e@f@c@l@k_counter_tb 86 89 VIEW struct.bd … … 88 91 DESIGN @r@e@f@c@l@k_counter_tb 89 92 VIEW struct.bd 90 GRAPHIC 33,0 8 1093 GRAPHIC 33,0 80 0 91 94 DESIGN @r@e@f@c@l@k_counter_tb 92 95 VIEW struct.bd 93 GRAPHIC 83,0 82 096 GRAPHIC 69,0 82 0 94 97 DESIGN @r@e@f@c@l@k_counter_tb 95 98 VIEW struct.bd 96 GRAPHIC 284,0 83 099 GRAPHIC 77,0 83 0 97 100 DESIGN @r@e@f@c@l@k_counter_tb 98 101 VIEW struct.bd 99 NO_GRAPHIC 86 102 GRAPHIC 45,0 84 0 100 103 DESIGN @r@e@f@c@l@k_counter_tb 101 104 VIEW struct.bd 102 NO_GRAPHIC 88 105 GRAPHIC 53,0 85 0 103 106 DESIGN @r@e@f@c@l@k_counter_tb 104 107 VIEW struct.bd 105 GRAPHIC 33,0 900108 GRAPHIC 61,0 86 0 106 109 DESIGN @r@e@f@c@l@k_counter_tb 107 110 VIEW struct.bd 108 GRAPHIC 69,0 920111 GRAPHIC 83,0 88 0 109 112 DESIGN @r@e@f@c@l@k_counter_tb 110 113 VIEW struct.bd 111 GRAPHIC 77,0 93 0 112 DESIGN @r@e@f@c@l@k_counter_tb 113 VIEW struct.bd 114 GRAPHIC 45,0 94 0 115 DESIGN @r@e@f@c@l@k_counter_tb 116 VIEW struct.bd 117 GRAPHIC 53,0 95 0 118 DESIGN @r@e@f@c@l@k_counter_tb 119 VIEW struct.bd 120 GRAPHIC 61,0 96 0 121 DESIGN @r@e@f@c@l@k_counter_tb 122 VIEW struct.bd 123 GRAPHIC 83,0 98 0 124 DESIGN @r@e@f@c@l@k_counter_tb 125 VIEW struct.bd 126 GRAPHIC 284,0 105 0 127 DESIGN @r@e@f@c@l@k_counter_tb 128 VIEW struct.bd 129 GRAPHIC 291,0 106 1 130 DESIGN @r@e@f@c@l@k_counter_tb 131 VIEW struct.bd 132 GRAPHIC 296,0 111 0 133 DESIGN @r@e@f@c@l@k_counter_tb 134 VIEW struct.bd 135 NO_GRAPHIC 115 114 NO_GRAPHIC 97 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/@r@e@f@c@l@k_counter_tb/struct.bd
r10180 r10225 42 42 uid 83,0 43 43 ) 44 (Instance45 name "U_2"46 duLibraryName "FACT_FAD_TB_lib"47 duName "clock_generator"48 elements [49 (GiElement50 name "clock_period"51 type "time"52 value "20 ns"53 )54 (GiElement55 name "reset_time"56 type "time"57 value "50 ns"58 )59 ]60 mwi 061 uid 284,062 )63 44 ] 64 45 libraryRefs [ … … 68 49 ) 69 50 version "29.1" 70 appVersion "2009. 2 (Build 10)"51 appVersion "2009.1 (Build 12)" 71 52 noEmbeddedEditors 1 72 53 model (BlockDiag … … 75 56 (vvPair 76 57 variable "HDLDir" 77 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"58 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" 78 59 ) 79 60 (vvPair 80 61 variable "HDSDir" 81 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"62 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 82 63 ) 83 64 (vvPair 84 65 variable "SideDataDesignDir" 85 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.info"66 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.info" 86 67 ) 87 68 (vvPair 88 69 variable "SideDataUserDir" 89 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.user"70 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.user" 90 71 ) 91 72 (vvPair 92 73 variable "SourceDir" 93 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"74 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 94 75 ) 95 76 (vvPair … … 107 88 (vvPair 108 89 variable "d" 109 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb"90 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb" 110 91 ) 111 92 (vvPair 112 93 variable "d_logical" 113 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb"94 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb" 114 95 ) 115 96 (vvPair 116 97 variable "date" 117 value "0 4.02.2011"98 value "03.03.2011" 118 99 ) 119 100 (vvPair 120 101 variable "day" 121 value " Fr"102 value "Do" 122 103 ) 123 104 (vvPair 124 105 variable "day_long" 125 value " Freitag"106 value "Donnerstag" 126 107 ) 127 108 (vvPair 128 109 variable "dd" 129 value "0 4"110 value "03" 130 111 ) 131 112 (vvPair … … 155 136 (vvPair 156 137 variable "host" 157 value " E5B-LABOR6"138 value "IHP110" 158 139 ) 159 140 (vvPair … … 166 147 ) 167 148 (vvPair 149 variable "library_downstream_HdsLintPlugin" 150 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck" 151 ) 152 (vvPair 168 153 variable "library_downstream_ISEPARInvoke" 169 154 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" … … 183 168 (vvPair 184 169 variable "mm" 185 value "0 2"170 value "03" 186 171 ) 187 172 (vvPair … … 191 176 (vvPair 192 177 variable "month" 193 value " Feb"178 value "Mrz" 194 179 ) 195 180 (vvPair 196 181 variable "month_long" 197 value " Februar"182 value "März" 198 183 ) 199 184 (vvPair 200 185 variable "p" 201 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd"186 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd" 202 187 ) 203 188 (vvPair 204 189 variable "p_logical" 205 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb\\struct.bd"190 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb\\struct.bd" 206 191 ) 207 192 (vvPair … … 227 212 (vvPair 228 213 variable "task_ModelSimPath" 229 value " C:\\modeltech_6.6a\\win32"214 value "D:\\modeltech_6.5e\\win32" 230 215 ) 231 216 (vvPair … … 259 244 (vvPair 260 245 variable "time" 261 value " 09:29:18"246 value "18:11:04" 262 247 ) 263 248 (vvPair … … 267 252 (vvPair 268 253 variable "user" 269 value "d neise"254 value "daqct3" 270 255 ) 271 256 (vvPair 272 257 variable "version" 273 value "2009. 2 (Build 10)"258 value "2009.1 (Build 12)" 274 259 ) 275 260 (vvPair … … 655 640 lineWidth 2 656 641 ) 657 xt "37000,9000,52000,13000" 658 ) 642 xt "37000,9000,52000,14000" 643 ) 644 oxt "37000,9000,52000,13000" 659 645 ttg (MlTextGroup 660 646 uid 85,0 … … 718 704 fg "49152,49152,49152" 719 705 ) 720 xt "37250,1 1250,38750,12750"706 xt "37250,12250,38750,13750" 721 707 iconName "VhdlFileViewIcon.png" 722 708 iconMaskName "VhdlFileViewIcon.msk" … … 729 715 "alarm_refclk_too_low" 730 716 "counter_result" 717 "clk" 731 718 "refclk_in" 732 719 ] … … 753 740 bg "0,0,32768" 754 741 ) 755 xt "29200,48000, 38800,49000"742 xt "29200,48000,40300,49000" 756 743 st " 757 744 by %user on %dd %month %year … … 784 771 bg "0,0,32768" 785 772 ) 786 xt "46200,44000,49 200,45000"773 xt "46200,44000,49500,45000" 787 774 st " 788 775 Project: … … 815 802 bg "0,0,32768" 816 803 ) 817 xt "29200,46000, 39200,47000"804 xt "29200,46000,40100,47000" 818 805 st " 819 806 <enter diagram title here> … … 846 833 bg "0,0,32768" 847 834 ) 848 xt "25200,46000,27 300,47000"835 xt "25200,46000,27500,47000" 849 836 st " 850 837 Title: … … 877 864 bg "0,0,32768" 878 865 ) 879 xt "46200,45200,5 5400,46200"866 xt "46200,45200,56000,46200" 880 867 st " 881 868 <enter comments here> … … 907 894 bg "0,0,32768" 908 895 ) 909 xt "50200,44000,54 700,45000"896 xt "50200,44000,54900,45000" 910 897 st " 911 898 %project_name … … 937 924 fg "32768,0,0" 938 925 ) 939 xt "32 150,44500,38850,45500"926 xt "32200,44500,38800,45500" 940 927 st " 941 928 <company name> … … 969 956 bg "0,0,32768" 970 957 ) 971 xt "25200,47000,27 300,48000"958 xt "25200,47000,27500,48000" 972 959 st " 973 960 Path: … … 1000 987 bg "0,0,32768" 1001 988 ) 1002 xt "25200,48000,2 7900,49000"989 xt "25200,48000,28300,49000" 1003 990 st " 1004 991 Edited: … … 1031 1018 bg "0,0,32768" 1032 1019 ) 1033 xt "29200,47000,4 4500,48000"1020 xt "29200,47000,46200,48000" 1034 1021 st " 1035 1022 %library/%unit/%view … … 1057 1044 oxt "14000,66000,55000,71000" 1058 1045 ) 1059 *30 (SaComponent 1060 uid 284,0 1061 optionalChildren [ 1062 *31 (CptPort 1063 uid 273,0 1064 ps "OnEdgeStrategy" 1065 shape (Triangle 1066 uid 274,0 1067 ro 90 1068 va (VaSet 1069 vasetType 1 1070 fg "0,65535,0" 1071 ) 1072 xt "11000,24625,11750,25375" 1073 ) 1074 tg (CPTG 1075 uid 275,0 1076 ps "CptPortTextPlaceStrategy" 1077 stg "RightVerticalLayoutStrategy" 1078 f (Text 1079 uid 276,0 1080 va (VaSet 1081 ) 1082 xt "8700,24500,10000,25500" 1083 st "clk" 1084 ju 2 1085 blo "10000,25300" 1086 ) 1087 ) 1088 thePort (LogicalPort 1089 m 1 1090 decl (Decl 1091 n "clk" 1092 t "std_logic" 1093 preAdd 0 1094 posAdd 0 1095 o 1 1096 suid 1,0 1097 i "'0'" 1098 ) 1099 ) 1100 ) 1101 *32 (CptPort 1102 uid 277,0 1103 ps "OnEdgeStrategy" 1104 shape (Triangle 1105 uid 278,0 1106 ro 90 1107 va (VaSet 1108 vasetType 1 1109 fg "0,65535,0" 1110 ) 1111 xt "11000,25625,11750,26375" 1112 ) 1113 tg (CPTG 1114 uid 279,0 1115 ps "CptPortTextPlaceStrategy" 1116 stg "RightVerticalLayoutStrategy" 1117 f (Text 1118 uid 280,0 1119 va (VaSet 1120 ) 1121 xt "8700,25500,10000,26500" 1122 st "rst" 1123 ju 2 1124 blo "10000,26300" 1125 ) 1126 ) 1127 thePort (LogicalPort 1128 m 1 1129 decl (Decl 1130 n "rst" 1131 t "std_logic" 1132 preAdd 0 1133 posAdd 0 1134 o 2 1135 suid 2,0 1136 i "'0'" 1137 ) 1138 ) 1139 ) 1140 ] 1141 shape (Rectangle 1142 uid 285,0 1143 va (VaSet 1144 vasetType 1 1145 fg "0,49152,49152" 1146 lineColor "0,0,50000" 1147 lineWidth 2 1148 ) 1149 xt "3000,24000,11000,28000" 1150 ) 1151 oxt "22000,15000,30000,19000" 1152 ttg (MlTextGroup 1153 uid 286,0 1154 ps "CenterOffsetStrategy" 1155 stg "VerticalLayoutStrategy" 1156 textVec [ 1157 *33 (Text 1158 uid 287,0 1159 va (VaSet 1160 font "Arial,8,1" 1161 ) 1162 xt "3150,28000,10850,29000" 1163 st "FACT_FAD_TB_lib" 1164 blo "3150,28800" 1165 tm "BdLibraryNameMgr" 1166 ) 1167 *34 (Text 1168 uid 288,0 1169 va (VaSet 1170 font "Arial,8,1" 1171 ) 1172 xt "3150,29000,9850,30000" 1173 st "clock_generator" 1174 blo "3150,29800" 1175 tm "CptNameMgr" 1176 ) 1177 *35 (Text 1178 uid 289,0 1179 va (VaSet 1180 font "Arial,8,1" 1181 ) 1182 xt "3150,30000,4950,31000" 1183 st "U_2" 1184 blo "3150,30800" 1185 tm "InstanceNameMgr" 1186 ) 1187 ] 1188 ) 1189 ga (GenericAssociation 1190 uid 290,0 1191 ps "EdgeToEdgeStrategy" 1192 matrix (Matrix 1193 uid 291,0 1194 text (MLText 1195 uid 292,0 1196 va (VaSet 1197 font "Courier New,8,0" 1198 ) 1199 xt "0,22400,18500,24000" 1200 st "clock_period = 20 ns ( time ) 1201 reset_time = 50 ns ( time ) " 1202 ) 1203 header "" 1204 ) 1205 elements [ 1206 (GiElement 1207 name "clock_period" 1208 type "time" 1209 value "20 ns" 1210 ) 1211 (GiElement 1212 name "reset_time" 1213 type "time" 1214 value "50 ns" 1215 ) 1216 ] 1217 ) 1218 viewicon (ZoomableIcon 1219 uid 293,0 1220 sl 0 1221 va (VaSet 1222 vasetType 1 1223 fg "49152,49152,49152" 1224 ) 1225 xt "3250,26250,4750,27750" 1226 iconName "VhdlFileViewIcon.png" 1227 iconMaskName "VhdlFileViewIcon.msk" 1228 ftype 10 1229 ) 1230 ordering 1 1231 viewiconposition 0 1232 portVis (PortSigDisplay 1233 ) 1234 archFileType "UNKNOWN" 1235 ) 1236 *36 (Wire 1046 *30 (Wire 1237 1047 uid 45,0 1238 1048 shape (OrthoPolyLine … … 1271 1081 on &10 1272 1082 ) 1273 *3 7(Wire1083 *31 (Wire 1274 1084 uid 53,0 1275 1085 shape (OrthoPolyLine … … 1306 1116 on &11 1307 1117 ) 1308 *3 8(Wire1118 *32 (Wire 1309 1119 uid 61,0 1310 1120 shape (OrthoPolyLine … … 1341 1151 on &12 1342 1152 ) 1343 *3 9(Wire1153 *33 (Wire 1344 1154 uid 69,0 1345 1155 shape (OrthoPolyLine … … 1376 1186 on &13 1377 1187 ) 1378 * 40(Wire1188 *34 (Wire 1379 1189 uid 77,0 1380 1190 shape (OrthoPolyLine … … 1411 1221 on &14 1412 1222 ) 1413 * 41(Wire1223 *35 (Wire 1414 1224 uid 101,0 1415 1225 shape (OrthoPolyLine … … 1446 1256 on &14 1447 1257 ) 1448 * 42(Wire1258 *36 (Wire 1449 1259 uid 109,0 1450 1260 shape (OrthoPolyLine … … 1483 1293 on &10 1484 1294 ) 1485 * 43(Wire1295 *37 (Wire 1486 1296 uid 117,0 1487 1297 shape (OrthoPolyLine … … 1518 1328 on &11 1519 1329 ) 1520 * 44(Wire1330 *38 (Wire 1521 1331 uid 125,0 1522 1332 shape (OrthoPolyLine … … 1553 1363 on &12 1554 1364 ) 1555 * 45(Wire1556 uid 296,01365 *39 (Wire 1366 uid 661,0 1557 1367 shape (OrthoPolyLine 1558 uid 297,01368 uid 662,0 1559 1369 va (VaSet 1560 1370 vasetType 3 1561 1371 ) 1562 xt " 11750,25000,16000,25000"1372 xt "31000,12000,37000,12000" 1563 1373 pts [ 1564 " 11750,25000"1565 " 16000,25000"1566 ] 1567 ) 1568 start & 311569 sat 321374 "37000,12000" 1375 "31000,12000" 1376 ] 1377 ) 1378 start &15 1379 sat 2 1570 1380 eat 16 1571 1381 st 0 … … 1573 1383 si 0 1574 1384 tg (WTG 1575 uid 300,01385 uid 667,0 1576 1386 ps "ConnStartEndStrategy" 1577 1387 stg "STSignalDisplayStrategy" 1578 1388 f (Text 1579 uid 301,01580 va (VaSet 1581 ) 1582 xt " 13000,24000,14300,25000"1389 uid 668,0 1390 va (VaSet 1391 ) 1392 xt "32000,11000,33500,12000" 1583 1393 st "clk" 1584 blo " 13000,24800"1394 blo "32000,11800" 1585 1395 tm "WireNameMgr" 1586 1396 ) … … 1600 1410 color "26368,26368,26368" 1601 1411 ) 1602 packageList *4 6(PackageList1412 packageList *40 (PackageList 1603 1413 uid 175,0 1604 1414 stg "VerticalLayoutStrategy" 1605 1415 textVec [ 1606 *4 7(Text1416 *41 (Text 1607 1417 uid 176,0 1608 1418 va (VaSet … … 1613 1423 blo "0,800" 1614 1424 ) 1615 *4 8(MLText1425 *42 (MLText 1616 1426 uid 177,0 1617 1427 va (VaSet 1618 1428 ) 1619 xt "0,1000,1 5000,7000"1429 xt "0,1000,16700,7000" 1620 1430 st "LIBRARY ieee; 1621 1431 USE ieee.std_logic_1164.ALL; … … 1632 1442 stg "VerticalLayoutStrategy" 1633 1443 textVec [ 1634 *4 9(Text1444 *43 (Text 1635 1445 uid 179,0 1636 1446 va (VaSet … … 1642 1452 blo "20000,800" 1643 1453 ) 1644 * 50(Text1454 *44 (Text 1645 1455 uid 180,0 1646 1456 va (VaSet … … 1652 1462 blo "20000,1800" 1653 1463 ) 1654 * 51(MLText1464 *45 (MLText 1655 1465 uid 181,0 1656 1466 va (VaSet 1657 1467 isHidden 1 1658 1468 ) 1659 xt "20000,2000,2 7500,4000"1469 xt "20000,2000,28200,4000" 1660 1470 st "`resetall 1661 1471 `timescale 1ns/10ps" 1662 1472 tm "BdCompilerDirectivesTextMgr" 1663 1473 ) 1664 * 52(Text1474 *46 (Text 1665 1475 uid 182,0 1666 1476 va (VaSet … … 1672 1482 blo "20000,4800" 1673 1483 ) 1674 * 53(MLText1484 *47 (MLText 1675 1485 uid 183,0 1676 1486 va (VaSet … … 1680 1490 tm "BdCompilerDirectivesTextMgr" 1681 1491 ) 1682 * 54(Text1492 *48 (Text 1683 1493 uid 184,0 1684 1494 va (VaSet … … 1690 1500 blo "20000,5800" 1691 1501 ) 1692 * 55(MLText1502 *49 (MLText 1693 1503 uid 185,0 1694 1504 va (VaSet … … 1701 1511 associable 1 1702 1512 ) 1703 windowSize "0,22,128 1,1024"1704 viewArea "- 5800,-2000,55738,45850"1513 windowSize "0,22,1283,1024" 1514 viewArea "-11900,-2000,49812,46372" 1705 1515 cachedDiagramExtent "-6400,0,66000,49000" 1706 1516 hasePageBreakOrigin 1 1707 1517 pageBreakOrigin "-7000,0" 1708 lastUid 609,01518 lastUid 827,0 1709 1519 defaultCommentText (CommentText 1710 1520 shape (Rectangle … … 1721 1531 fg "0,0,32768" 1722 1532 ) 1723 xt "200,200,2 000,1200"1533 xt "200,200,2400,1200" 1724 1534 st " 1725 1535 Text … … 1768 1578 stg "VerticalLayoutStrategy" 1769 1579 textVec [ 1770 *5 6(Text1580 *50 (Text 1771 1581 va (VaSet 1772 1582 font "Arial,8,1" … … 1777 1587 tm "BdLibraryNameMgr" 1778 1588 ) 1779 *5 7(Text1589 *51 (Text 1780 1590 va (VaSet 1781 1591 font "Arial,8,1" … … 1786 1596 tm "BlkNameMgr" 1787 1597 ) 1788 *5 8(Text1598 *52 (Text 1789 1599 va (VaSet 1790 1600 font "Arial,8,1" … … 1824 1634 ) 1825 1635 defaultMWComponent (MWC 1636 shape (Rectangle 1637 va (VaSet 1638 vasetType 1 1639 fg "0,65535,0" 1640 lineColor "0,32896,0" 1641 lineWidth 2 1642 ) 1643 xt "0,0,8000,10000" 1644 ) 1645 ttg (MlTextGroup 1646 ps "CenterOffsetStrategy" 1647 stg "VerticalLayoutStrategy" 1648 textVec [ 1649 *53 (Text 1650 va (VaSet 1651 font "Arial,8,1" 1652 ) 1653 xt "550,3500,3450,4500" 1654 st "Library" 1655 blo "550,4300" 1656 ) 1657 *54 (Text 1658 va (VaSet 1659 font "Arial,8,1" 1660 ) 1661 xt "550,4500,7450,5500" 1662 st "MWComponent" 1663 blo "550,5300" 1664 ) 1665 *55 (Text 1666 va (VaSet 1667 font "Arial,8,1" 1668 ) 1669 xt "550,5500,2350,6500" 1670 st "U_0" 1671 blo "550,6300" 1672 tm "InstanceNameMgr" 1673 ) 1674 ] 1675 ) 1676 ga (GenericAssociation 1677 ps "EdgeToEdgeStrategy" 1678 matrix (Matrix 1679 text (MLText 1680 va (VaSet 1681 font "Courier New,8,0" 1682 ) 1683 xt "-6450,1500,-6450,1500" 1684 ) 1685 header "" 1686 ) 1687 elements [ 1688 ] 1689 ) 1690 portVis (PortSigDisplay 1691 ) 1692 prms (Property 1693 pclass "params" 1694 pname "params" 1695 ptn "String" 1696 ) 1697 visOptions (mwParamsVisibilityOptions 1698 ) 1699 ) 1700 defaultSaComponent (SaComponent 1701 shape (Rectangle 1702 va (VaSet 1703 vasetType 1 1704 fg "0,65535,0" 1705 lineColor "0,32896,0" 1706 lineWidth 2 1707 ) 1708 xt "0,0,8000,10000" 1709 ) 1710 ttg (MlTextGroup 1711 ps "CenterOffsetStrategy" 1712 stg "VerticalLayoutStrategy" 1713 textVec [ 1714 *56 (Text 1715 va (VaSet 1716 font "Arial,8,1" 1717 ) 1718 xt "900,3500,3800,4500" 1719 st "Library" 1720 blo "900,4300" 1721 tm "BdLibraryNameMgr" 1722 ) 1723 *57 (Text 1724 va (VaSet 1725 font "Arial,8,1" 1726 ) 1727 xt "900,4500,7100,5500" 1728 st "SaComponent" 1729 blo "900,5300" 1730 tm "CptNameMgr" 1731 ) 1732 *58 (Text 1733 va (VaSet 1734 font "Arial,8,1" 1735 ) 1736 xt "900,5500,2700,6500" 1737 st "U_0" 1738 blo "900,6300" 1739 tm "InstanceNameMgr" 1740 ) 1741 ] 1742 ) 1743 ga (GenericAssociation 1744 ps "EdgeToEdgeStrategy" 1745 matrix (Matrix 1746 text (MLText 1747 va (VaSet 1748 font "Courier New,8,0" 1749 ) 1750 xt "-6100,1500,-6100,1500" 1751 ) 1752 header "" 1753 ) 1754 elements [ 1755 ] 1756 ) 1757 viewicon (ZoomableIcon 1758 sl 0 1759 va (VaSet 1760 vasetType 1 1761 fg "49152,49152,49152" 1762 ) 1763 xt "0,0,1500,1500" 1764 iconName "UnknownFile.png" 1765 iconMaskName "UnknownFile.msk" 1766 ) 1767 viewiconposition 0 1768 portVis (PortSigDisplay 1769 ) 1770 archFileType "UNKNOWN" 1771 ) 1772 defaultVhdlComponent (VhdlComponent 1826 1773 shape (Rectangle 1827 1774 va (VaSet … … 1841 1788 font "Arial,8,1" 1842 1789 ) 1843 xt "5 50,3500,3450,4500"1790 xt "500,3500,3400,4500" 1844 1791 st "Library" 1845 blo "5 50,4300"1792 blo "500,4300" 1846 1793 ) 1847 1794 *60 (Text … … 1849 1796 font "Arial,8,1" 1850 1797 ) 1851 xt "5 50,4500,7450,5500"1852 st " MWComponent"1853 blo "5 50,5300"1798 xt "500,4500,7500,5500" 1799 st "VhdlComponent" 1800 blo "500,5300" 1854 1801 ) 1855 1802 *61 (Text … … 1857 1804 font "Arial,8,1" 1858 1805 ) 1859 xt "5 50,5500,2350,6500"1806 xt "500,5500,2300,6500" 1860 1807 st "U_0" 1861 blo "5 50,6300"1808 blo "500,6300" 1862 1809 tm "InstanceNameMgr" 1863 1810 ) … … 1871 1818 font "Courier New,8,0" 1872 1819 ) 1873 xt "-6 450,1500,-6450,1500"1820 xt "-6500,1500,-6500,1500" 1874 1821 ) 1875 1822 header "" … … 1880 1827 portVis (PortSigDisplay 1881 1828 ) 1882 prms (Property 1883 pclass "params" 1884 pname "params" 1885 ptn "String" 1886 ) 1887 visOptions (mwParamsVisibilityOptions 1888 ) 1889 ) 1890 defaultSaComponent (SaComponent 1829 entityPath "" 1830 archName "" 1831 archPath "" 1832 ) 1833 defaultVerilogComponent (VerilogComponent 1891 1834 shape (Rectangle 1892 1835 va (VaSet … … 1896 1839 lineWidth 2 1897 1840 ) 1898 xt " 0,0,8000,10000"1841 xt "-450,0,8450,10000" 1899 1842 ) 1900 1843 ttg (MlTextGroup … … 1906 1849 font "Arial,8,1" 1907 1850 ) 1908 xt " 900,3500,3800,4500"1851 xt "50,3500,2950,4500" 1909 1852 st "Library" 1910 blo "900,4300" 1911 tm "BdLibraryNameMgr" 1853 blo "50,4300" 1912 1854 ) 1913 1855 *63 (Text … … 1915 1857 font "Arial,8,1" 1916 1858 ) 1917 xt "900,4500,7100,5500" 1918 st "SaComponent" 1919 blo "900,5300" 1920 tm "CptNameMgr" 1859 xt "50,4500,7950,5500" 1860 st "VerilogComponent" 1861 blo "50,5300" 1921 1862 ) 1922 1863 *64 (Text … … 1924 1865 font "Arial,8,1" 1925 1866 ) 1926 xt " 900,5500,2700,6500"1867 xt "50,5500,1850,6500" 1927 1868 st "U_0" 1928 blo " 900,6300"1869 blo "50,6300" 1929 1870 tm "InstanceNameMgr" 1930 1871 ) … … 1938 1879 font "Courier New,8,0" 1939 1880 ) 1940 xt "-6 100,1500,-6100,1500"1881 xt "-6950,1500,-6950,1500" 1941 1882 ) 1942 1883 header "" … … 1945 1886 ] 1946 1887 ) 1947 viewicon (ZoomableIcon 1948 sl 0 1949 va (VaSet 1950 vasetType 1 1951 fg "49152,49152,49152" 1952 ) 1953 xt "0,0,1500,1500" 1954 iconName "UnknownFile.png" 1955 iconMaskName "UnknownFile.msk" 1956 ) 1957 viewiconposition 0 1958 portVis (PortSigDisplay 1959 ) 1960 archFileType "UNKNOWN" 1961 ) 1962 defaultVhdlComponent (VhdlComponent 1888 entityPath "" 1889 ) 1890 defaultHdlText (HdlText 1963 1891 shape (Rectangle 1964 1892 va (VaSet 1965 1893 vasetType 1 1966 fg " 0,65535,0"1967 lineColor "0, 32896,0"1894 fg "65535,65535,37120" 1895 lineColor "0,0,32768" 1968 1896 lineWidth 2 1969 1897 ) … … 1978 1906 font "Arial,8,1" 1979 1907 ) 1980 xt "500,3500,3400,4500"1981 st "Library"1982 blo "500,4300"1983 )1984 *66 (Text1985 va (VaSet1986 font "Arial,8,1"1987 )1988 xt "500,4500,7500,5500"1989 st "VhdlComponent"1990 blo "500,5300"1991 )1992 *67 (Text1993 va (VaSet1994 font "Arial,8,1"1995 )1996 xt "500,5500,2300,6500"1997 st "U_0"1998 blo "500,6300"1999 tm "InstanceNameMgr"2000 )2001 ]2002 )2003 ga (GenericAssociation2004 ps "EdgeToEdgeStrategy"2005 matrix (Matrix2006 text (MLText2007 va (VaSet2008 font "Courier New,8,0"2009 )2010 xt "-6500,1500,-6500,1500"2011 )2012 header ""2013 )2014 elements [2015 ]2016 )2017 portVis (PortSigDisplay2018 )2019 entityPath ""2020 archName ""2021 archPath ""2022 )2023 defaultVerilogComponent (VerilogComponent2024 shape (Rectangle2025 va (VaSet2026 vasetType 12027 fg "0,65535,0"2028 lineColor "0,32896,0"2029 lineWidth 22030 )2031 xt "-450,0,8450,10000"2032 )2033 ttg (MlTextGroup2034 ps "CenterOffsetStrategy"2035 stg "VerticalLayoutStrategy"2036 textVec [2037 *68 (Text2038 va (VaSet2039 font "Arial,8,1"2040 )2041 xt "50,3500,2950,4500"2042 st "Library"2043 blo "50,4300"2044 )2045 *69 (Text2046 va (VaSet2047 font "Arial,8,1"2048 )2049 xt "50,4500,7950,5500"2050 st "VerilogComponent"2051 blo "50,5300"2052 )2053 *70 (Text2054 va (VaSet2055 font "Arial,8,1"2056 )2057 xt "50,5500,1850,6500"2058 st "U_0"2059 blo "50,6300"2060 tm "InstanceNameMgr"2061 )2062 ]2063 )2064 ga (GenericAssociation2065 ps "EdgeToEdgeStrategy"2066 matrix (Matrix2067 text (MLText2068 va (VaSet2069 font "Courier New,8,0"2070 )2071 xt "-6950,1500,-6950,1500"2072 )2073 header ""2074 )2075 elements [2076 ]2077 )2078 entityPath ""2079 )2080 defaultHdlText (HdlText2081 shape (Rectangle2082 va (VaSet2083 vasetType 12084 fg "65535,65535,37120"2085 lineColor "0,0,32768"2086 lineWidth 22087 )2088 xt "0,0,8000,10000"2089 )2090 ttg (MlTextGroup2091 ps "CenterOffsetStrategy"2092 stg "VerticalLayoutStrategy"2093 textVec [2094 *71 (Text2095 va (VaSet2096 font "Arial,8,1"2097 )2098 1908 xt "3150,4000,4850,5000" 2099 1909 st "eb1" … … 2101 1911 tm "HdlTextNameMgr" 2102 1912 ) 2103 * 72(Text1913 *66 (Text 2104 1914 va (VaSet 2105 1915 font "Arial,8,1" … … 2139 1949 va (VaSet 2140 1950 ) 2141 xt "200,200,2 000,1200"1951 xt "200,200,2400,1200" 2142 1952 st " 2143 1953 Text … … 2477 2287 va (VaSet 2478 2288 ) 2479 xt "0,-1100,12 600,-100"2289 xt "0,-1100,12900,-100" 2480 2290 st "g0: FOR i IN 0 TO n GENERATE" 2481 2291 tm "FrameTitleTextMgr" … … 2504 2314 stg "VerticalLayoutStrategy" 2505 2315 textVec [ 2506 * 73(Text2316 *67 (Text 2507 2317 va (VaSet 2508 2318 font "Arial,8,1" … … 2512 2322 blo "14100,20800" 2513 2323 ) 2514 * 74(MLText2324 *68 (MLText 2515 2325 va (VaSet 2516 2326 ) … … 2537 2347 va (VaSet 2538 2348 ) 2539 xt "0,-1100,7 400,-100"2349 xt "0,-1100,7700,-100" 2540 2350 st "b0: BLOCK (guard)" 2541 2351 tm "FrameTitleTextMgr" … … 2564 2374 stg "VerticalLayoutStrategy" 2565 2375 textVec [ 2566 * 75(Text2376 *69 (Text 2567 2377 va (VaSet 2568 2378 font "Arial,8,1" … … 2572 2382 blo "14100,20800" 2573 2383 ) 2574 *7 6(MLText2384 *70 (MLText 2575 2385 va (VaSet 2576 2386 ) … … 2718 2528 suid 6,0 2719 2529 usingSuid 1 2720 emptyRow *7 7(LEmptyRow2530 emptyRow *71 (LEmptyRow 2721 2531 ) 2722 2532 uid 188,0 2723 2533 optionalChildren [ 2724 *7 8(RefLabelRowHdr2725 ) 2726 *7 9(TitleRowHdr2727 ) 2728 * 80(FilterRowHdr2729 ) 2730 * 81(RefLabelColHdr2534 *72 (RefLabelRowHdr 2535 ) 2536 *73 (TitleRowHdr 2537 ) 2538 *74 (FilterRowHdr 2539 ) 2540 *75 (RefLabelColHdr 2731 2541 tm "RefLabelColHdrMgr" 2732 2542 ) 2733 * 82(RowExpandColHdr2543 *76 (RowExpandColHdr 2734 2544 tm "RowExpandColHdrMgr" 2735 2545 ) 2736 * 83(GroupColHdr2546 *77 (GroupColHdr 2737 2547 tm "GroupColHdrMgr" 2738 2548 ) 2739 * 84(NameColHdr2549 *78 (NameColHdr 2740 2550 tm "BlockDiagramNameColHdrMgr" 2741 2551 ) 2742 * 85(ModeColHdr2552 *79 (ModeColHdr 2743 2553 tm "BlockDiagramModeColHdrMgr" 2744 2554 ) 2745 *8 6(TypeColHdr2555 *80 (TypeColHdr 2746 2556 tm "BlockDiagramTypeColHdrMgr" 2747 2557 ) 2748 *8 7(BoundsColHdr2558 *81 (BoundsColHdr 2749 2559 tm "BlockDiagramBoundsColHdrMgr" 2750 2560 ) 2751 *8 8(InitColHdr2561 *82 (InitColHdr 2752 2562 tm "BlockDiagramInitColHdrMgr" 2753 2563 ) 2754 *8 9(EolColHdr2564 *83 (EolColHdr 2755 2565 tm "BlockDiagramEolColHdrMgr" 2756 2566 ) 2757 * 90(LeafLogPort2567 *84 (LeafLogPort 2758 2568 port (LogicalPort 2759 2569 lang 10 … … 2769 2579 uid 165,0 2770 2580 ) 2771 * 91(LeafLogPort2581 *85 (LeafLogPort 2772 2582 port (LogicalPort 2773 2583 lang 10 … … 2782 2592 uid 167,0 2783 2593 ) 2784 * 92(LeafLogPort2594 *86 (LeafLogPort 2785 2595 port (LogicalPort 2786 2596 lang 10 … … 2795 2605 uid 169,0 2796 2606 ) 2797 * 93(LeafLogPort2607 *87 (LeafLogPort 2798 2608 port (LogicalPort 2799 2609 m 4 … … 2810 2620 uid 171,0 2811 2621 ) 2812 * 94(LeafLogPort2622 *88 (LeafLogPort 2813 2623 port (LogicalPort 2814 2624 m 4 … … 2829 2639 uid 201,0 2830 2640 optionalChildren [ 2831 * 95(Sheet2641 *89 (Sheet 2832 2642 sheetRow (SheetRow 2833 2643 headerVa (MVa … … 2846 2656 font "Tahoma,10,0" 2847 2657 ) 2848 emptyMRCItem *9 6(MRCItem2849 litem &7 72658 emptyMRCItem *90 (MRCItem 2659 litem &71 2850 2660 pos 5 2851 2661 dimension 20 … … 2853 2663 uid 203,0 2854 2664 optionalChildren [ 2855 *9 7(MRCItem2856 litem &7 82665 *91 (MRCItem 2666 litem &72 2857 2667 pos 0 2858 2668 dimension 20 2859 2669 uid 204,0 2860 2670 ) 2861 *9 8(MRCItem2862 litem &7 92671 *92 (MRCItem 2672 litem &73 2863 2673 pos 1 2864 2674 dimension 23 2865 2675 uid 205,0 2866 2676 ) 2867 *9 9(MRCItem2868 litem & 802677 *93 (MRCItem 2678 litem &74 2869 2679 pos 2 2870 2680 hidden 1 … … 2872 2682 uid 206,0 2873 2683 ) 2874 * 100(MRCItem2875 litem & 902684 *94 (MRCItem 2685 litem &84 2876 2686 pos 0 2877 2687 dimension 20 2878 2688 uid 166,0 2879 2689 ) 2880 * 101(MRCItem2881 litem & 912690 *95 (MRCItem 2691 litem &85 2882 2692 pos 1 2883 2693 dimension 20 2884 2694 uid 168,0 2885 2695 ) 2886 * 102(MRCItem2887 litem & 922696 *96 (MRCItem 2697 litem &86 2888 2698 pos 2 2889 2699 dimension 20 2890 2700 uid 170,0 2891 2701 ) 2892 * 103(MRCItem2893 litem & 932702 *97 (MRCItem 2703 litem &87 2894 2704 pos 3 2895 2705 dimension 20 2896 2706 uid 172,0 2897 2707 ) 2898 * 104(MRCItem2899 litem & 942708 *98 (MRCItem 2709 litem &88 2900 2710 pos 4 2901 2711 dimension 20 … … 2913 2723 uid 207,0 2914 2724 optionalChildren [ 2915 * 105(MRCItem2916 litem & 812725 *99 (MRCItem 2726 litem &75 2917 2727 pos 0 2918 2728 dimension 20 2919 2729 uid 208,0 2920 2730 ) 2921 *10 6(MRCItem2922 litem & 832731 *100 (MRCItem 2732 litem &77 2923 2733 pos 1 2924 2734 dimension 50 2925 2735 uid 209,0 2926 2736 ) 2927 *10 7(MRCItem2928 litem & 842737 *101 (MRCItem 2738 litem &78 2929 2739 pos 2 2930 2740 dimension 100 2931 2741 uid 210,0 2932 2742 ) 2933 *10 8(MRCItem2934 litem & 852743 *102 (MRCItem 2744 litem &79 2935 2745 pos 3 2936 2746 dimension 50 2937 2747 uid 211,0 2938 2748 ) 2939 *10 9(MRCItem2940 litem &8 62749 *103 (MRCItem 2750 litem &80 2941 2751 pos 4 2942 2752 dimension 100 2943 2753 uid 212,0 2944 2754 ) 2945 *1 10(MRCItem2946 litem &8 72755 *104 (MRCItem 2756 litem &81 2947 2757 pos 5 2948 2758 dimension 100 2949 2759 uid 213,0 2950 2760 ) 2951 *1 11(MRCItem2952 litem &8 82761 *105 (MRCItem 2762 litem &82 2953 2763 pos 6 2954 2764 dimension 50 2955 2765 uid 214,0 2956 2766 ) 2957 *1 12(MRCItem2958 litem &8 92767 *106 (MRCItem 2768 litem &83 2959 2769 pos 7 2960 2770 dimension 80 … … 2976 2786 genericsCommonDM (CommonDM 2977 2787 ldm (LogicalDM 2978 emptyRow *1 13(LEmptyRow2788 emptyRow *107 (LEmptyRow 2979 2789 ) 2980 2790 uid 217,0 2981 2791 optionalChildren [ 2982 *1 14(RefLabelRowHdr2983 ) 2984 *1 15(TitleRowHdr2985 ) 2986 *11 6(FilterRowHdr2987 ) 2988 *11 7(RefLabelColHdr2792 *108 (RefLabelRowHdr 2793 ) 2794 *109 (TitleRowHdr 2795 ) 2796 *110 (FilterRowHdr 2797 ) 2798 *111 (RefLabelColHdr 2989 2799 tm "RefLabelColHdrMgr" 2990 2800 ) 2991 *11 8(RowExpandColHdr2801 *112 (RowExpandColHdr 2992 2802 tm "RowExpandColHdrMgr" 2993 2803 ) 2994 *11 9(GroupColHdr2804 *113 (GroupColHdr 2995 2805 tm "GroupColHdrMgr" 2996 2806 ) 2997 *1 20(NameColHdr2807 *114 (NameColHdr 2998 2808 tm "GenericNameColHdrMgr" 2999 2809 ) 3000 *1 21(TypeColHdr2810 *115 (TypeColHdr 3001 2811 tm "GenericTypeColHdrMgr" 3002 2812 ) 3003 *1 22(InitColHdr2813 *116 (InitColHdr 3004 2814 tm "GenericValueColHdrMgr" 3005 2815 ) 3006 *1 23(PragmaColHdr2816 *117 (PragmaColHdr 3007 2817 tm "GenericPragmaColHdrMgr" 3008 2818 ) 3009 *1 24(EolColHdr2819 *118 (EolColHdr 3010 2820 tm "GenericEolColHdrMgr" 3011 2821 ) 3012 *1 25(LogGeneric2822 *119 (LogGeneric 3013 2823 generic (GiElement 3014 2824 name "FREQ_UPPER_LIMIT" … … 3018 2828 uid 9,0 3019 2829 ) 3020 *12 6(LogGeneric2830 *120 (LogGeneric 3021 2831 generic (GiElement 3022 2832 name "FREQ_LOWER_LIMIT" … … 3033 2843 uid 229,0 3034 2844 optionalChildren [ 3035 *12 7(Sheet2845 *121 (Sheet 3036 2846 sheetRow (SheetRow 3037 2847 headerVa (MVa … … 3050 2860 font "Tahoma,10,0" 3051 2861 ) 3052 emptyMRCItem *12 8(MRCItem3053 litem &1 132862 emptyMRCItem *122 (MRCItem 2863 litem &107 3054 2864 pos 2 3055 2865 dimension 20 … … 3057 2867 uid 231,0 3058 2868 optionalChildren [ 3059 *12 9(MRCItem3060 litem &1 142869 *123 (MRCItem 2870 litem &108 3061 2871 pos 0 3062 2872 dimension 20 3063 2873 uid 232,0 3064 2874 ) 3065 *1 30(MRCItem3066 litem &1 152875 *124 (MRCItem 2876 litem &109 3067 2877 pos 1 3068 2878 dimension 23 3069 2879 uid 233,0 3070 2880 ) 3071 *1 31(MRCItem3072 litem &11 62881 *125 (MRCItem 2882 litem &110 3073 2883 pos 2 3074 2884 hidden 1 … … 3076 2886 uid 234,0 3077 2887 ) 3078 *1 32(MRCItem3079 litem &1 252888 *126 (MRCItem 2889 litem &119 3080 2890 pos 0 3081 2891 dimension 20 3082 2892 uid 10,0 3083 2893 ) 3084 *1 33(MRCItem3085 litem &12 62894 *127 (MRCItem 2895 litem &120 3086 2896 pos 1 3087 2897 dimension 20 … … 3099 2909 uid 235,0 3100 2910 optionalChildren [ 3101 *1 34(MRCItem3102 litem &11 72911 *128 (MRCItem 2912 litem &111 3103 2913 pos 0 3104 2914 dimension 20 3105 2915 uid 236,0 3106 2916 ) 3107 *1 35(MRCItem3108 litem &11 92917 *129 (MRCItem 2918 litem &113 3109 2919 pos 1 3110 2920 dimension 50 3111 2921 uid 237,0 3112 2922 ) 3113 *13 6(MRCItem3114 litem &1 202923 *130 (MRCItem 2924 litem &114 3115 2925 pos 2 3116 2926 dimension 100 3117 2927 uid 238,0 3118 2928 ) 3119 *13 7(MRCItem3120 litem &1 212929 *131 (MRCItem 2930 litem &115 3121 2931 pos 3 3122 2932 dimension 100 3123 2933 uid 239,0 3124 2934 ) 3125 *13 8(MRCItem3126 litem &1 222935 *132 (MRCItem 2936 litem &116 3127 2937 pos 4 3128 2938 dimension 50 3129 2939 uid 240,0 3130 2940 ) 3131 *13 9(MRCItem3132 litem &1 232941 *133 (MRCItem 2942 litem &117 3133 2943 pos 5 3134 2944 dimension 50 3135 2945 uid 241,0 3136 2946 ) 3137 *1 40(MRCItem3138 litem &1 242947 *134 (MRCItem 2948 litem &118 3139 2949 pos 6 3140 2950 dimension 80 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/@r@e@f@c@l@k_counter_tester/interface
r10180 r10225 29 29 ) 30 30 version "24.1" 31 appVersion "2009. 2 (Build 10)"31 appVersion "2009.1 (Build 12)" 32 32 model (Symbol 33 33 commonDM (CommonDM 34 34 ldm (LogicalDM 35 35 ordering 1 36 suid 33,036 suid 68,0 37 37 usingSuid 1 38 38 emptyRow *1 (LEmptyRow … … 80 80 t "std_logic" 81 81 o 1 82 suid 30,083 ) 84 ) 85 uid 492,082 suid 64,0 83 ) 84 ) 85 uid 896,0 86 86 ) 87 87 *15 (LogPort … … 92 92 t "std_logic" 93 93 o 2 94 suid 31,095 ) 96 ) 97 uid 494,094 suid 65,0 95 ) 96 ) 97 uid 898,0 98 98 ) 99 99 *16 (LogPort 100 port (LogicalPort 101 m 1 102 decl (Decl 103 n "clk" 104 t "std_logic" 105 preAdd 0 106 posAdd 0 107 o 4 108 suid 66,0 109 ) 110 ) 111 uid 900,0 112 ) 113 *17 (LogPort 100 114 port (LogicalPort 101 115 lang 10 … … 105 119 b "(11 DOWNTO 0)" 106 120 o 3 107 suid 32,0108 ) 109 ) 110 uid 496,0111 ) 112 *1 7(LogPort121 suid 67,0 122 ) 123 ) 124 uid 902,0 125 ) 126 *18 (LogPort 113 127 port (LogicalPort 114 128 m 1 … … 116 130 n "refclk_in" 117 131 t "std_logic" 118 o 4119 suid 33,0120 ) 121 ) 122 uid 498,0132 o 5 133 suid 68,0 134 ) 135 ) 136 uid 904,0 123 137 ) 124 138 ] … … 129 143 uid 101,0 130 144 optionalChildren [ 131 *1 8(Sheet145 *19 (Sheet 132 146 sheetRow (SheetRow 133 147 headerVa (MVa … … 146 160 font "Tahoma,10,0" 147 161 ) 148 emptyMRCItem * 19(MRCItem162 emptyMRCItem *20 (MRCItem 149 163 litem &1 150 164 pos 3 … … 153 167 uid 103,0 154 168 optionalChildren [ 155 *2 0(MRCItem169 *21 (MRCItem 156 170 litem &2 157 171 pos 0 … … 159 173 uid 104,0 160 174 ) 161 *2 1(MRCItem175 *22 (MRCItem 162 176 litem &3 163 177 pos 1 … … 165 179 uid 105,0 166 180 ) 167 *2 2(MRCItem181 *23 (MRCItem 168 182 litem &4 169 183 pos 2 … … 172 186 uid 106,0 173 187 ) 174 *2 3(MRCItem188 *24 (MRCItem 175 189 litem &14 176 190 pos 0 177 191 dimension 20 178 uid 493,0179 ) 180 *2 4(MRCItem192 uid 897,0 193 ) 194 *25 (MRCItem 181 195 litem &15 182 196 pos 1 183 197 dimension 20 184 uid 495,0185 ) 186 *2 5(MRCItem198 uid 899,0 199 ) 200 *26 (MRCItem 187 201 litem &16 188 202 pos 2 189 203 dimension 20 190 uid 497,0191 ) 192 *2 6(MRCItem204 uid 901,0 205 ) 206 *27 (MRCItem 193 207 litem &17 194 208 pos 3 195 209 dimension 20 196 uid 499,0 210 uid 903,0 211 ) 212 *28 (MRCItem 213 litem &18 214 pos 4 215 dimension 20 216 uid 905,0 197 217 ) 198 218 ] … … 207 227 uid 107,0 208 228 optionalChildren [ 209 *2 7(MRCItem229 *29 (MRCItem 210 230 litem &5 211 231 pos 0 … … 213 233 uid 108,0 214 234 ) 215 * 28(MRCItem235 *30 (MRCItem 216 236 litem &7 217 237 pos 1 … … 219 239 uid 109,0 220 240 ) 221 * 29(MRCItem241 *31 (MRCItem 222 242 litem &8 223 243 pos 2 … … 225 245 uid 110,0 226 246 ) 227 *3 0(MRCItem247 *32 (MRCItem 228 248 litem &9 229 249 pos 3 … … 231 251 uid 111,0 232 252 ) 233 *3 1(MRCItem253 *33 (MRCItem 234 254 litem &10 235 255 pos 4 … … 237 257 uid 112,0 238 258 ) 239 *3 2(MRCItem259 *34 (MRCItem 240 260 litem &11 241 261 pos 5 … … 243 263 uid 113,0 244 264 ) 245 *3 3(MRCItem265 *35 (MRCItem 246 266 litem &12 247 267 pos 6 … … 249 269 uid 114,0 250 270 ) 251 *3 4(MRCItem271 *36 (MRCItem 252 272 litem &13 253 273 pos 7 … … 270 290 genericsCommonDM (CommonDM 271 291 ldm (LogicalDM 272 emptyRow *3 5(LEmptyRow292 emptyRow *37 (LEmptyRow 273 293 ) 274 294 uid 117,0 275 295 optionalChildren [ 276 *3 6(RefLabelRowHdr277 ) 278 *3 7(TitleRowHdr279 ) 280 * 38(FilterRowHdr281 ) 282 * 39(RefLabelColHdr296 *38 (RefLabelRowHdr 297 ) 298 *39 (TitleRowHdr 299 ) 300 *40 (FilterRowHdr 301 ) 302 *41 (RefLabelColHdr 283 303 tm "RefLabelColHdrMgr" 284 304 ) 285 *4 0(RowExpandColHdr305 *42 (RowExpandColHdr 286 306 tm "RowExpandColHdrMgr" 287 307 ) 288 *4 1(GroupColHdr308 *43 (GroupColHdr 289 309 tm "GroupColHdrMgr" 290 310 ) 291 *4 2(NameColHdr311 *44 (NameColHdr 292 312 tm "GenericNameColHdrMgr" 293 313 ) 294 *4 3(TypeColHdr314 *45 (TypeColHdr 295 315 tm "GenericTypeColHdrMgr" 296 316 ) 297 *4 4(InitColHdr317 *46 (InitColHdr 298 318 tm "GenericValueColHdrMgr" 299 319 ) 300 *4 5(PragmaColHdr320 *47 (PragmaColHdr 301 321 tm "GenericPragmaColHdrMgr" 302 322 ) 303 *4 6(EolColHdr323 *48 (EolColHdr 304 324 tm "GenericEolColHdrMgr" 305 325 ) … … 311 331 uid 129,0 312 332 optionalChildren [ 313 *4 7(Sheet333 *49 (Sheet 314 334 sheetRow (SheetRow 315 335 headerVa (MVa … … 328 348 font "Tahoma,10,0" 329 349 ) 330 emptyMRCItem * 48(MRCItem331 litem &3 5350 emptyMRCItem *50 (MRCItem 351 litem &37 332 352 pos 3 333 353 dimension 20 … … 335 355 uid 131,0 336 356 optionalChildren [ 337 * 49(MRCItem338 litem &3 6357 *51 (MRCItem 358 litem &38 339 359 pos 0 340 360 dimension 20 341 361 uid 132,0 342 362 ) 343 *5 0(MRCItem344 litem &3 7363 *52 (MRCItem 364 litem &39 345 365 pos 1 346 366 dimension 23 347 367 uid 133,0 348 368 ) 349 *5 1(MRCItem350 litem & 38369 *53 (MRCItem 370 litem &40 351 371 pos 2 352 372 hidden 1 … … 365 385 uid 135,0 366 386 optionalChildren [ 367 *5 2(MRCItem368 litem & 39387 *54 (MRCItem 388 litem &41 369 389 pos 0 370 390 dimension 20 371 391 uid 136,0 372 392 ) 373 *5 3(MRCItem374 litem &4 1393 *55 (MRCItem 394 litem &43 375 395 pos 1 376 396 dimension 50 377 397 uid 137,0 378 398 ) 379 *5 4(MRCItem380 litem &4 2399 *56 (MRCItem 400 litem &44 381 401 pos 2 382 402 dimension 100 383 403 uid 138,0 384 404 ) 385 *5 5(MRCItem386 litem &4 3405 *57 (MRCItem 406 litem &45 387 407 pos 3 388 408 dimension 100 389 409 uid 139,0 390 410 ) 391 *5 6(MRCItem392 litem &4 4411 *58 (MRCItem 412 litem &46 393 413 pos 4 394 414 dimension 50 395 415 uid 140,0 396 416 ) 397 *5 7(MRCItem398 litem &4 5417 *59 (MRCItem 418 litem &47 399 419 pos 5 400 420 dimension 50 401 421 uid 141,0 402 422 ) 403 * 58(MRCItem404 litem &4 6423 *60 (MRCItem 424 litem &48 405 425 pos 6 406 426 dimension 80 … … 425 445 (vvPair 426 446 variable "HDLDir" 427 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"447 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" 428 448 ) 429 449 (vvPair 430 450 variable "HDSDir" 431 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"451 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 432 452 ) 433 453 (vvPair 434 454 variable "SideDataDesignDir" 435 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.info"455 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.info" 436 456 ) 437 457 (vvPair 438 458 variable "SideDataUserDir" 439 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.user"459 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.user" 440 460 ) 441 461 (vvPair 442 462 variable "SourceDir" 443 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"463 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 444 464 ) 445 465 (vvPair … … 457 477 (vvPair 458 478 variable "d" 459 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester"479 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester" 460 480 ) 461 481 (vvPair 462 482 variable "d_logical" 463 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester"483 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester" 464 484 ) 465 485 (vvPair 466 486 variable "date" 467 value "0 4.02.2011"487 value "03.03.2011" 468 488 ) 469 489 (vvPair 470 490 variable "day" 471 value " Fr"491 value "Do" 472 492 ) 473 493 (vvPair 474 494 variable "day_long" 475 value " Freitag"495 value "Donnerstag" 476 496 ) 477 497 (vvPair 478 498 variable "dd" 479 value "0 4"499 value "03" 480 500 ) 481 501 (vvPair … … 505 525 (vvPair 506 526 variable "host" 507 value " E5B-LABOR6"527 value "IHP110" 508 528 ) 509 529 (vvPair … … 516 536 ) 517 537 (vvPair 538 variable "library_downstream_HdsLintPlugin" 539 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck" 540 ) 541 (vvPair 518 542 variable "library_downstream_ISEPARInvoke" 519 543 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" … … 533 557 (vvPair 534 558 variable "mm" 535 value "0 2"559 value "03" 536 560 ) 537 561 (vvPair … … 541 565 (vvPair 542 566 variable "month" 543 value " Feb"567 value "Mrz" 544 568 ) 545 569 (vvPair 546 570 variable "month_long" 547 value " Februar"571 value "März" 548 572 ) 549 573 (vvPair 550 574 variable "p" 551 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface"575 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface" 552 576 ) 553 577 (vvPair 554 578 variable "p_logical" 555 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester\\interface"579 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester\\interface" 556 580 ) 557 581 (vvPair … … 577 601 (vvPair 578 602 variable "task_ModelSimPath" 579 value " C:\\modeltech_6.6a\\win32"603 value "D:\\modeltech_6.5e\\win32" 580 604 ) 581 605 (vvPair … … 609 633 (vvPair 610 634 variable "time" 611 value " 09:29:18"635 value "18:11:04" 612 636 ) 613 637 (vvPair … … 617 641 (vvPair 618 642 variable "user" 619 value "d neise"643 value "daqct3" 620 644 ) 621 645 (vvPair 622 646 variable "version" 623 value "2009. 2 (Build 10)"647 value "2009.1 (Build 12)" 624 648 ) 625 649 (vvPair … … 640 664 uid 86,0 641 665 optionalChildren [ 642 * 59(SymbolBody666 *61 (SymbolBody 643 667 uid 8,0 644 668 optionalChildren [ 645 *6 0(CptPort646 uid 472,0669 *62 (CptPort 670 uid 871,0 647 671 ps "OnEdgeStrategy" 648 672 shape (Triangle 649 uid 473,0673 uid 872,0 650 674 ro 270 651 675 va (VaSet … … 656 680 ) 657 681 tg (CPTG 658 uid 474,0682 uid 873,0 659 683 ps "CptPortTextPlaceStrategy" 660 684 stg "RightVerticalLayoutStrategy" 661 685 f (Text 662 uid 475,0663 va (VaSet 664 ) 665 xt " 20400,7500,29000,8500"686 uid 874,0 687 va (VaSet 688 ) 689 xt "19000,7500,29000,8500" 666 690 st "alarm_refclk_too_high" 667 691 ju 2 … … 671 695 ) 672 696 dt (MLText 673 uid 476,0 674 va (VaSet 675 font "Courier New,8,0" 697 uid 875,0 698 va (VaSet 676 699 ) 677 700 ) … … 682 705 t "std_logic" 683 706 o 1 684 suid 30,0685 ) 686 ) 687 ) 688 *6 1(CptPort689 uid 477,0707 suid 64,0 708 ) 709 ) 710 ) 711 *63 (CptPort 712 uid 876,0 690 713 ps "OnEdgeStrategy" 691 714 shape (Triangle 692 uid 478,0715 uid 877,0 693 716 ro 270 694 717 va (VaSet … … 699 722 ) 700 723 tg (CPTG 701 uid 479,0724 uid 878,0 702 725 ps "CptPortTextPlaceStrategy" 703 726 stg "RightVerticalLayoutStrategy" 704 727 f (Text 705 uid 480,0706 va (VaSet 707 ) 708 xt " 20800,8500,29000,9500"728 uid 879,0 729 va (VaSet 730 ) 731 xt "19400,8500,29000,9500" 709 732 st "alarm_refclk_too_low" 710 733 ju 2 … … 714 737 ) 715 738 dt (MLText 716 uid 481,0 717 va (VaSet 718 font "Courier New,8,0" 739 uid 880,0 740 va (VaSet 719 741 ) 720 742 ) … … 725 747 t "std_logic" 726 748 o 2 727 suid 31,0728 ) 729 ) 730 ) 731 *6 2(CptPort732 uid 482,0749 suid 65,0 750 ) 751 ) 752 ) 753 *64 (CptPort 754 uid 881,0 733 755 ps "OnEdgeStrategy" 734 756 shape (Triangle 735 uid 483,0757 uid 882,0 736 758 ro 270 737 759 va (VaSet … … 739 761 fg "0,65535,0" 740 762 ) 763 xt "14250,8625,15000,9375" 764 ) 765 tg (CPTG 766 uid 883,0 767 ps "CptPortTextPlaceStrategy" 768 stg "VerticalLayoutStrategy" 769 f (Text 770 uid 884,0 771 va (VaSet 772 ) 773 xt "16000,8500,17500,9500" 774 st "clk" 775 blo "16000,9300" 776 tm "CptPortNameMgr" 777 ) 778 ) 779 dt (MLText 780 uid 885,0 781 va (VaSet 782 ) 783 ) 784 thePort (LogicalPort 785 m 1 786 decl (Decl 787 n "clk" 788 t "std_logic" 789 preAdd 0 790 posAdd 0 791 o 4 792 suid 66,0 793 ) 794 ) 795 ) 796 *65 (CptPort 797 uid 886,0 798 ps "OnEdgeStrategy" 799 shape (Triangle 800 uid 887,0 801 ro 270 802 va (VaSet 803 vasetType 1 804 fg "0,65535,0" 805 ) 741 806 xt "30000,6625,30750,7375" 742 807 ) 743 808 tg (CPTG 744 uid 484,0809 uid 888,0 745 810 ps "CptPortTextPlaceStrategy" 746 811 stg "RightVerticalLayoutStrategy" 747 812 f (Text 748 uid 485,0749 va (VaSet 750 ) 751 xt "2 3400,6500,29000,7500"813 uid 889,0 814 va (VaSet 815 ) 816 xt "22600,6500,29000,7500" 752 817 st "counter_result" 753 818 ju 2 … … 757 822 ) 758 823 dt (MLText 759 uid 486,0 760 va (VaSet 761 font "Courier New,8,0" 824 uid 890,0 825 va (VaSet 762 826 ) 763 827 ) … … 769 833 b "(11 DOWNTO 0)" 770 834 o 3 771 suid 32,0772 ) 773 ) 774 ) 775 *6 3(CptPort776 uid 487,0835 suid 67,0 836 ) 837 ) 838 ) 839 *66 (CptPort 840 uid 891,0 777 841 ps "OnEdgeStrategy" 778 842 shape (Triangle 779 uid 488,0843 uid 892,0 780 844 ro 270 781 845 va (VaSet … … 786 850 ) 787 851 tg (CPTG 788 uid 489,0852 uid 893,0 789 853 ps "CptPortTextPlaceStrategy" 790 854 stg "VerticalLayoutStrategy" 791 855 f (Text 792 uid 490,0793 va (VaSet 794 ) 795 xt "16000,7500,19 200,8500"856 uid 894,0 857 va (VaSet 858 ) 859 xt "16000,7500,19800,8500" 796 860 st "refclk_in" 797 861 blo "16000,8300" … … 800 864 ) 801 865 dt (MLText 802 uid 491,0 803 va (VaSet 804 font "Courier New,8,0" 866 uid 895,0 867 va (VaSet 805 868 ) 806 869 ) … … 810 873 n "refclk_in" 811 874 t "std_logic" 812 o 4813 suid 33,0875 o 5 876 suid 68,0 814 877 ) 815 878 ) … … 824 887 lineWidth 2 825 888 ) 826 xt "15000,6000,30000,10000" 827 ) 889 xt "15000,6000,30000,11000" 890 ) 891 oxt "15000,6000,30000,10000" 828 892 biTextGroup (BiTextGroup 829 893 uid 10,0 … … 835 899 font "Arial,8,1" 836 900 ) 837 xt "17350,7 000,25050,8000"901 xt "17350,7500,25050,8500" 838 902 st "FACT_FAD_TB_lib" 839 blo "17350, 7800"903 blo "17350,8300" 840 904 ) 841 905 second (Text … … 844 908 font "Arial,8,1" 845 909 ) 846 xt "17350,8 000,27650,9000"910 xt "17350,8500,27650,9500" 847 911 st "REFCLK_counter_tester" 848 blo "17350, 8800"849 ) 850 ) 851 gi *6 4(GenericInterface912 blo "17350,9300" 913 ) 914 ) 915 gi *67 (GenericInterface 852 916 uid 13,0 853 917 ps "CenterOffsetStrategy" … … 859 923 font "Courier New,8,0" 860 924 ) 861 xt "-1500,4 000,10000,4800"925 xt "-1500,4500,10000,5300" 862 926 st "Generic Declarations" 863 927 ) … … 878 942 ) 879 943 ) 880 *6 5(Grouping944 *68 (Grouping 881 945 uid 16,0 882 946 optionalChildren [ 883 *6 6(CommentText947 *69 (CommentText 884 948 uid 18,0 885 949 shape (Rectangle … … 899 963 bg "0,0,32768" 900 964 ) 901 xt "36200,48000,4 5800,49000"965 xt "36200,48000,47300,49000" 902 966 st " 903 967 by %user on %dd %month %year … … 912 976 titleBlock 1 913 977 ) 914 * 67(CommentText978 *70 (CommentText 915 979 uid 21,0 916 980 shape (Rectangle … … 930 994 bg "0,0,32768" 931 995 ) 932 xt "53200,44000,56 200,45000"996 xt "53200,44000,56500,45000" 933 997 st " 934 998 Project: … … 943 1007 titleBlock 1 944 1008 ) 945 * 68(CommentText1009 *71 (CommentText 946 1010 uid 24,0 947 1011 shape (Rectangle … … 961 1025 bg "0,0,32768" 962 1026 ) 963 xt "36200,46000,4 6200,47000"1027 xt "36200,46000,47100,47000" 964 1028 st " 965 1029 <enter diagram title here> … … 974 1038 titleBlock 1 975 1039 ) 976 * 69(CommentText1040 *72 (CommentText 977 1041 uid 27,0 978 1042 shape (Rectangle … … 992 1056 bg "0,0,32768" 993 1057 ) 994 xt "32200,46000,34 300,47000"1058 xt "32200,46000,34500,47000" 995 1059 st " 996 1060 Title: … … 1005 1069 titleBlock 1 1006 1070 ) 1007 *7 0(CommentText1071 *73 (CommentText 1008 1072 uid 30,0 1009 1073 shape (Rectangle … … 1023 1087 bg "0,0,32768" 1024 1088 ) 1025 xt "53200,45200,6 2400,46200"1089 xt "53200,45200,63000,46200" 1026 1090 st " 1027 1091 <enter comments here> … … 1035 1099 titleBlock 1 1036 1100 ) 1037 *7 1(CommentText1101 *74 (CommentText 1038 1102 uid 33,0 1039 1103 shape (Rectangle … … 1053 1117 bg "0,0,32768" 1054 1118 ) 1055 xt "57200,44000,61 700,45000"1119 xt "57200,44000,61900,45000" 1056 1120 st " 1057 1121 %project_name … … 1066 1130 titleBlock 1 1067 1131 ) 1068 *7 2(CommentText1132 *75 (CommentText 1069 1133 uid 36,0 1070 1134 shape (Rectangle … … 1083 1147 fg "32768,0,0" 1084 1148 ) 1085 xt "39 150,44500,45850,45500"1149 xt "39200,44500,45800,45500" 1086 1150 st " 1087 1151 <company name> … … 1097 1161 titleBlock 1 1098 1162 ) 1099 *7 3(CommentText1163 *76 (CommentText 1100 1164 uid 39,0 1101 1165 shape (Rectangle … … 1115 1179 bg "0,0,32768" 1116 1180 ) 1117 xt "32200,47000,34 300,48000"1181 xt "32200,47000,34500,48000" 1118 1182 st " 1119 1183 Path: … … 1128 1192 titleBlock 1 1129 1193 ) 1130 *7 4(CommentText1194 *77 (CommentText 1131 1195 uid 42,0 1132 1196 shape (Rectangle … … 1146 1210 bg "0,0,32768" 1147 1211 ) 1148 xt "32200,48000,3 4900,49000"1212 xt "32200,48000,35300,49000" 1149 1213 st " 1150 1214 Edited: … … 1159 1223 titleBlock 1 1160 1224 ) 1161 *7 5(CommentText1225 *78 (CommentText 1162 1226 uid 45,0 1163 1227 shape (Rectangle … … 1177 1241 bg "0,0,32768" 1178 1242 ) 1179 xt "36200,47000, 52800,48000"1243 xt "36200,47000,44700,48000" 1180 1244 st " 1181 1245 %library/%unit/%view … … 1215 1279 color "26368,26368,26368" 1216 1280 ) 1217 packageList *7 6(PackageList1281 packageList *79 (PackageList 1218 1282 uid 48,0 1219 1283 stg "VerticalLayoutStrategy" 1220 1284 textVec [ 1221 * 77(Text1285 *80 (Text 1222 1286 uid 49,0 1223 1287 va (VaSet … … 1228 1292 blo "0,800" 1229 1293 ) 1230 * 78(MLText1294 *81 (MLText 1231 1295 uid 50,0 1232 1296 va (VaSet 1233 1297 ) 1234 xt "0,1000,1 5000,7000"1298 xt "0,1000,16700,7000" 1235 1299 st "LIBRARY ieee; 1236 1300 USE ieee.std_logic_1164.ALL; … … 1261 1325 fg "0,0,32768" 1262 1326 ) 1263 xt "200,200,2 000,1200"1327 xt "200,200,2400,1200" 1264 1328 st " 1265 1329 Text … … 1329 1393 ) 1330 1394 ) 1331 gi * 79(GenericInterface1395 gi *82 (GenericInterface 1332 1396 ps "CenterOffsetStrategy" 1333 1397 matrix (Matrix … … 1426 1490 ) 1427 1491 ) 1428 DeclarativeBlock *8 0(SymDeclBlock1492 DeclarativeBlock *83 (SymDeclBlock 1429 1493 uid 1,0 1430 1494 stg "SymDeclLayoutStrategy" … … 1484 1548 ) 1485 1549 ) 1486 lastUid 499,01550 lastUid 905,0 1487 1551 activeModelName "Symbol:CDM" 1488 1552 ) -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd
r10180 r10225 63 63 ) 64 64 (Instance 65 name "I_mainTB_w5300"66 duLibraryName "FACT_FAD_TB_lib"67 duName "w5300_emulator"68 elements [69 ]70 mwi 071 uid 306,072 )73 (Instance74 65 name "I_mainTB_max6662" 75 66 duLibraryName "FACT_FAD_TB_lib" … … 137 128 uid 1509,0 138 129 ) 130 (Instance 131 name "I_mainTB_w5300" 132 duLibraryName "FACT_FAD_TB_lib" 133 duName "w5300_emulator" 134 elements [ 135 ] 136 mwi 0 137 uid 2336,0 138 ) 139 139 ] 140 140 embeddedInstances [ … … 159 159 ) 160 160 version "29.1" 161 appVersion "2009. 2 (Build 10)"161 appVersion "2009.1 (Build 12)" 162 162 noEmbeddedEditors 1 163 163 model (BlockDiag … … 166 166 (vvPair 167 167 variable "HDLDir" 168 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"168 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" 169 169 ) 170 170 (vvPair 171 171 variable "HDSDir" 172 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"172 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 173 173 ) 174 174 (vvPair 175 175 variable "SideDataDesignDir" 176 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"176 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info" 177 177 ) 178 178 (vvPair 179 179 variable "SideDataUserDir" 180 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"180 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user" 181 181 ) 182 182 (vvPair 183 183 variable "SourceDir" 184 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"184 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 185 185 ) 186 186 (vvPair … … 198 198 (vvPair 199 199 variable "d" 200 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"200 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb" 201 201 ) 202 202 (vvPair 203 203 variable "d_logical" 204 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"204 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb" 205 205 ) 206 206 (vvPair 207 207 variable "date" 208 value " 25.02.2011"208 value "02.03.2011" 209 209 ) 210 210 (vvPair 211 211 variable "day" 212 value " Fr"212 value "Mi" 213 213 ) 214 214 (vvPair 215 215 variable "day_long" 216 value " Freitag"216 value "Mittwoch" 217 217 ) 218 218 (vvPair 219 219 variable "dd" 220 value " 25"220 value "02" 221 221 ) 222 222 (vvPair … … 246 246 (vvPair 247 247 variable "host" 248 value " E5B-LABOR6"248 value "IHP110" 249 249 ) 250 250 (vvPair … … 278 278 (vvPair 279 279 variable "mm" 280 value "0 2"280 value "03" 281 281 ) 282 282 (vvPair … … 286 286 (vvPair 287 287 variable "month" 288 value " Feb"288 value "Mrz" 289 289 ) 290 290 (vvPair 291 291 variable "month_long" 292 value " Februar"292 value "März" 293 293 ) 294 294 (vvPair 295 295 variable "p" 296 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"296 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd" 297 297 ) 298 298 (vvPair 299 299 variable "p_logical" 300 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"300 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd" 301 301 ) 302 302 (vvPair … … 322 322 (vvPair 323 323 variable "task_ModelSimPath" 324 value " C:\\modeltech_6.6a\\win32"324 value "D:\\modeltech_6.5e\\win32" 325 325 ) 326 326 (vvPair … … 354 354 (vvPair 355 355 variable "time" 356 value "1 3:51:45"356 value "15:31:34" 357 357 ) 358 358 (vvPair … … 362 362 (vvPair 363 363 variable "user" 364 value "d neise"364 value "daqct3" 365 365 ) 366 366 (vvPair 367 367 variable "version" 368 value "2009. 2 (Build 10)"368 value "2009.1 (Build 12)" 369 369 ) 370 370 (vvPair … … 406 406 bg "0,0,32768" 407 407 ) 408 xt "109200,97000,11 8800,98000"408 xt "109200,97000,119000,98000" 409 409 st " 410 410 by %user on %dd %month %year … … 1374 1374 fg "0,65535,0" 1375 1375 ) 1376 xt "80250,6 2625,81000,63375"1376 xt "80250,66625,81000,67375" 1377 1377 ) 1378 1378 tg (CPTG … … 1384 1384 va (VaSet 1385 1385 ) 1386 xt "82000,6 2500,86300,63500"1386 xt "82000,66500,86300,67500" 1387 1387 st "drs_dwrite" 1388 blo "82000,6 3300"1388 blo "82000,67300" 1389 1389 ) 1390 1390 ) … … 1582 1582 fg "0,65535,0" 1583 1583 ) 1584 xt "80250,6 5625,81000,66375"1584 xt "80250,64625,81000,65375" 1585 1585 ) 1586 1586 tg (CPTG … … 1592 1592 va (VaSet 1593 1593 ) 1594 xt "82000,6 5500,85000,66500"1594 xt "82000,64500,85000,65500" 1595 1595 st "SRCLK" 1596 blo "82000,6 6300"1596 blo "82000,65300" 1597 1597 ) 1598 1598 ) … … 1797 1797 shape (Triangle 1798 1798 uid 230,0 1799 ro 901799 ro 270 1800 1800 va (VaSet 1801 1801 vasetType 1 1802 1802 fg "0,65535,0" 1803 1803 ) 1804 xt " 109000,70625,109750,71375"1804 xt "80250,65625,81000,66375" 1805 1805 ) 1806 1806 tg (CPTG 1807 1807 uid 231,0 1808 1808 ps "CptPortTextPlaceStrategy" 1809 stg " RightVerticalLayoutStrategy"1809 stg "VerticalLayoutStrategy" 1810 1810 f (Text 1811 1811 uid 232,0 1812 1812 va (VaSet 1813 1813 ) 1814 xt " 105000,70500,108000,71500"1814 xt "82000,65500,85000,66500" 1815 1815 st "denable" 1816 ju 2 1817 blo "108000,71300" 1816 blo "82000,66300" 1818 1817 ) 1819 1818 ) … … 2506 2505 ) 2507 2506 ) 2508 *65 (SaComponent 2509 uid 306,0 2510 optionalChildren [ 2511 *66 (CptPort 2512 uid 290,0 2513 ps "OnEdgeStrategy" 2514 shape (Triangle 2515 uid 291,0 2516 ro 90 2517 va (VaSet 2518 vasetType 1 2519 fg "0,65535,0" 2520 ) 2521 xt "122250,20625,123000,21375" 2522 ) 2523 tg (CPTG 2524 uid 292,0 2525 ps "CptPortTextPlaceStrategy" 2526 stg "VerticalLayoutStrategy" 2527 f (Text 2528 uid 293,0 2529 va (VaSet 2530 ) 2531 xt "124000,20500,128500,21500" 2532 st "addr : (9:0)" 2533 blo "124000,21300" 2534 ) 2535 ) 2536 thePort (LogicalPort 2537 decl (Decl 2538 n "addr" 2539 t "std_logic_vector" 2540 b "(9 DOWNTO 0)" 2541 preAdd 0 2542 posAdd 0 2543 o 2 2544 suid 1,0 2545 ) 2546 ) 2547 ) 2548 *67 (CptPort 2549 uid 294,0 2550 ps "OnEdgeStrategy" 2551 shape (Diamond 2552 uid 295,0 2553 ro 270 2554 va (VaSet 2555 vasetType 1 2556 fg "0,65535,0" 2557 ) 2558 xt "122250,21625,123000,22375" 2559 ) 2560 tg (CPTG 2561 uid 296,0 2562 ps "CptPortTextPlaceStrategy" 2563 stg "VerticalLayoutStrategy" 2564 f (Text 2565 uid 297,0 2566 va (VaSet 2567 ) 2568 xt "124000,21500,128800,22500" 2569 st "data : (15:0)" 2570 blo "124000,22300" 2571 ) 2572 ) 2573 thePort (LogicalPort 2574 m 2 2575 decl (Decl 2576 n "data" 2577 t "std_logic_vector" 2578 b "(15 DOWNTO 0)" 2579 preAdd 0 2580 posAdd 0 2581 o 3 2582 suid 2,0 2583 ) 2584 ) 2585 ) 2586 *68 (CptPort 2587 uid 298,0 2588 ps "OnEdgeStrategy" 2589 shape (Triangle 2590 uid 299,0 2591 ro 90 2592 va (VaSet 2593 vasetType 1 2594 fg "0,65535,0" 2595 ) 2596 xt "122250,24625,123000,25375" 2597 ) 2598 tg (CPTG 2599 uid 300,0 2600 ps "CptPortTextPlaceStrategy" 2601 stg "VerticalLayoutStrategy" 2602 f (Text 2603 uid 301,0 2604 va (VaSet 2605 ) 2606 xt "124000,24500,125100,25500" 2607 st "rd" 2608 blo "124000,25300" 2609 ) 2610 ) 2611 thePort (LogicalPort 2612 decl (Decl 2613 n "rd" 2614 t "std_logic" 2615 preAdd 0 2616 posAdd 0 2617 o 4 2618 suid 3,0 2619 ) 2620 ) 2621 ) 2622 *69 (CptPort 2623 uid 302,0 2624 ps "OnEdgeStrategy" 2625 shape (Triangle 2626 uid 303,0 2627 ro 90 2628 va (VaSet 2629 vasetType 1 2630 fg "0,65535,0" 2631 ) 2632 xt "122250,25625,123000,26375" 2633 ) 2634 tg (CPTG 2635 uid 304,0 2636 ps "CptPortTextPlaceStrategy" 2637 stg "VerticalLayoutStrategy" 2638 f (Text 2639 uid 305,0 2640 va (VaSet 2641 ) 2642 xt "124000,25500,125200,26500" 2643 st "wr" 2644 blo "124000,26300" 2645 ) 2646 ) 2647 thePort (LogicalPort 2648 decl (Decl 2649 n "wr" 2650 t "std_logic" 2651 preAdd 0 2652 posAdd 0 2653 o 5 2654 suid 4,0 2655 ) 2656 ) 2657 ) 2658 *70 (CptPort 2659 uid 2108,0 2660 ps "OnEdgeStrategy" 2661 shape (Triangle 2662 uid 2109,0 2663 ro 270 2664 va (VaSet 2665 vasetType 1 2666 fg "0,65535,0" 2667 ) 2668 xt "122250,26625,123000,27375" 2669 ) 2670 tg (CPTG 2671 uid 2110,0 2672 ps "CptPortTextPlaceStrategy" 2673 stg "VerticalLayoutStrategy" 2674 f (Text 2675 uid 2111,0 2676 va (VaSet 2677 ) 2678 xt "124000,26500,125200,27500" 2679 st "int" 2680 blo "124000,27300" 2681 ) 2682 t (Text 2683 uid 2112,0 2684 va (VaSet 2685 ) 2686 xt "124000,27500,125200,28500" 2687 st "'0'" 2688 blo "124000,28300" 2689 ) 2690 ) 2691 thePort (LogicalPort 2692 m 1 2693 decl (Decl 2694 n "int" 2695 t "std_logic" 2696 o 1 2697 suid 5,0 2698 i "'0'" 2699 ) 2700 ) 2701 ) 2702 ] 2703 shape (Rectangle 2704 uid 307,0 2705 va (VaSet 2706 vasetType 1 2707 fg "0,49152,49152" 2708 lineColor "0,0,50000" 2709 lineWidth 2 2710 ) 2711 xt "123000,19000,133000,31000" 2712 ) 2713 oxt "29000,2000,39000,12000" 2714 ttg (MlTextGroup 2715 uid 308,0 2716 ps "CenterOffsetStrategy" 2717 stg "VerticalLayoutStrategy" 2718 textVec [ 2719 *71 (Text 2720 uid 309,0 2721 va (VaSet 2722 font "Arial,8,1" 2723 ) 2724 xt "123200,31000,130900,32000" 2725 st "FACT_FAD_TB_lib" 2726 blo "123200,31800" 2727 tm "BdLibraryNameMgr" 2728 ) 2729 *72 (Text 2730 uid 310,0 2731 va (VaSet 2732 font "Arial,8,1" 2733 ) 2734 xt "123200,32000,129800,33000" 2735 st "w5300_emulator" 2736 blo "123200,32800" 2737 tm "CptNameMgr" 2738 ) 2739 *73 (Text 2740 uid 311,0 2741 va (VaSet 2742 font "Arial,8,1" 2743 ) 2744 xt "123200,33000,130000,34000" 2745 st "I_mainTB_w5300" 2746 blo "123200,33800" 2747 tm "InstanceNameMgr" 2748 ) 2749 ] 2750 ) 2751 ga (GenericAssociation 2752 uid 312,0 2753 ps "EdgeToEdgeStrategy" 2754 matrix (Matrix 2755 uid 313,0 2756 text (MLText 2757 uid 314,0 2758 va (VaSet 2759 font "Courier New,8,0" 2760 ) 2761 xt "123000,18000,123000,18000" 2762 ) 2763 header "" 2764 ) 2765 elements [ 2766 ] 2767 ) 2768 viewicon (ZoomableIcon 2769 uid 315,0 2770 sl 0 2771 va (VaSet 2772 vasetType 1 2773 fg "49152,49152,49152" 2774 ) 2775 xt "123250,29250,124750,30750" 2776 iconName "VhdlFileViewIcon.png" 2777 iconMaskName "VhdlFileViewIcon.msk" 2778 ftype 10 2779 ) 2780 ordering 1 2781 viewiconposition 0 2782 portVis (PortSigDisplay 2783 sIVOD 1 2784 ) 2785 archFileType "UNKNOWN" 2786 ) 2787 *74 (Net 2507 *65 (Net 2788 2508 uid 316,0 2789 2509 decl (Decl … … 2803 2523 ) 2804 2524 ) 2805 * 75(Net2525 *66 (Net 2806 2526 uid 322,0 2807 2527 decl (Decl … … 2821 2541 ) 2822 2542 ) 2823 * 76(Net2543 *67 (Net 2824 2544 uid 328,0 2825 2545 decl (Decl … … 2839 2559 ) 2840 2560 ) 2841 * 77(Net2561 *68 (Net 2842 2562 uid 334,0 2843 2563 decl (Decl … … 2857 2577 ) 2858 2578 ) 2859 * 78(SaComponent2579 *69 (SaComponent 2860 2580 uid 362,0 2861 2581 optionalChildren [ 2862 *7 9(CptPort2582 *70 (CptPort 2863 2583 uid 350,0 2864 2584 ps "OnEdgeStrategy" … … 2896 2616 ) 2897 2617 ) 2898 * 80(CptPort2618 *71 (CptPort 2899 2619 uid 354,0 2900 2620 ps "OnEdgeStrategy" … … 2933 2653 ) 2934 2654 ) 2935 * 81(CptPort2655 *72 (CptPort 2936 2656 uid 358,0 2937 2657 ps "OnEdgeStrategy" … … 2987 2707 stg "VerticalLayoutStrategy" 2988 2708 textVec [ 2989 * 82(Text2709 *73 (Text 2990 2710 uid 365,0 2991 2711 va (VaSet … … 2997 2717 tm "BdLibraryNameMgr" 2998 2718 ) 2999 * 83(Text2719 *74 (Text 3000 2720 uid 366,0 3001 2721 va (VaSet … … 3007 2727 tm "CptNameMgr" 3008 2728 ) 3009 * 84(Text2729 *75 (Text 3010 2730 uid 367,0 3011 2731 va (VaSet … … 3061 2781 archFileType "UNKNOWN" 3062 2782 ) 3063 * 85(Net2783 *76 (Net 3064 2784 uid 372,0 3065 2785 decl (Decl … … 3079 2799 ) 3080 2800 ) 3081 * 86(Net2801 *77 (Net 3082 2802 uid 378,0 3083 2803 decl (Decl … … 3096 2816 ) 3097 2817 ) 3098 * 87(Net2818 *78 (Net 3099 2819 uid 384,0 3100 2820 decl (Decl … … 3115 2835 ) 3116 2836 ) 3117 * 88(SaComponent2837 *79 (SaComponent 3118 2838 uid 414,0 3119 2839 optionalChildren [ 3120 *8 9(CptPort2840 *80 (CptPort 3121 2841 uid 410,0 3122 2842 ps "OnEdgeStrategy" … … 3173 2893 stg "VerticalLayoutStrategy" 3174 2894 textVec [ 3175 * 90(Text2895 *81 (Text 3176 2896 uid 417,0 3177 2897 va (VaSet … … 3183 2903 tm "BdLibraryNameMgr" 3184 2904 ) 3185 * 91(Text2905 *82 (Text 3186 2906 uid 418,0 3187 2907 va (VaSet … … 3193 2913 tm "CptNameMgr" 3194 2914 ) 3195 * 92(Text2915 *83 (Text 3196 2916 uid 419,0 3197 2917 va (VaSet … … 3253 2973 archFileType "UNKNOWN" 3254 2974 ) 3255 * 93(Net2975 *84 (Net 3256 2976 uid 424,0 3257 2977 decl (Decl … … 3272 2992 ) 3273 2993 ) 3274 * 94(HdlText2994 *85 (HdlText 3275 2995 uid 430,0 3276 2996 optionalChildren [ 3277 * 95(EmbeddedText2997 *86 (EmbeddedText 3278 2998 uid 436,0 3279 2999 commentText (CommentText … … 3326 3046 stg "VerticalLayoutStrategy" 3327 3047 textVec [ 3328 * 96(Text3048 *87 (Text 3329 3049 uid 433,0 3330 3050 va (VaSet … … 3336 3056 tm "HdlTextNameMgr" 3337 3057 ) 3338 * 97(Text3058 *88 (Text 3339 3059 uid 434,0 3340 3060 va (VaSet … … 3362 3082 viewiconposition 0 3363 3083 ) 3364 * 98(Net3084 *89 (Net 3365 3085 uid 440,0 3366 3086 decl (Decl … … 3382 3102 ) 3383 3103 ) 3384 *9 9(Net3104 *90 (Net 3385 3105 uid 448,0 3386 3106 decl (Decl … … 3400 3120 ) 3401 3121 ) 3402 * 100(SaComponent3122 *91 (SaComponent 3403 3123 uid 508,0 3404 3124 optionalChildren [ 3405 * 101(CptPort3125 *92 (CptPort 3406 3126 uid 489,0 3407 3127 ps "OnEdgeStrategy" … … 3439 3159 ) 3440 3160 ) 3441 * 102(CptPort3161 *93 (CptPort 3442 3162 uid 493,0 3443 3163 ps "OnEdgeStrategy" … … 3478 3198 ) 3479 3199 ) 3480 * 103(CptPort3200 *94 (CptPort 3481 3201 uid 497,0 3482 3202 ps "OnEdgeStrategy" … … 3516 3236 ) 3517 3237 ) 3518 * 104(CptPort3238 *95 (CptPort 3519 3239 uid 501,0 3520 3240 ps "OnEdgeStrategy" … … 3570 3290 stg "VerticalLayoutStrategy" 3571 3291 textVec [ 3572 * 105(Text3292 *96 (Text 3573 3293 uid 511,0 3574 3294 va (VaSet … … 3580 3300 tm "BdLibraryNameMgr" 3581 3301 ) 3582 * 106(Text3302 *97 (Text 3583 3303 uid 512,0 3584 3304 va (VaSet … … 3590 3310 tm "CptNameMgr" 3591 3311 ) 3592 * 107(Text3312 *98 (Text 3593 3313 uid 513,0 3594 3314 va (VaSet … … 3644 3364 archFileType "UNKNOWN" 3645 3365 ) 3646 * 108(HdlText3366 *99 (HdlText 3647 3367 uid 518,0 3648 3368 optionalChildren [ 3649 *10 9(EmbeddedText3369 *100 (EmbeddedText 3650 3370 uid 524,0 3651 3371 commentText (CommentText … … 3704 3424 stg "VerticalLayoutStrategy" 3705 3425 textVec [ 3706 *1 10(Text3426 *101 (Text 3707 3427 uid 521,0 3708 3428 va (VaSet … … 3714 3434 tm "HdlTextNameMgr" 3715 3435 ) 3716 *1 11(Text3436 *102 (Text 3717 3437 uid 522,0 3718 3438 va (VaSet … … 3740 3460 viewiconposition 0 3741 3461 ) 3742 *1 12(Net3462 *103 (Net 3743 3463 uid 528,0 3744 3464 decl (Decl … … 3758 3478 ) 3759 3479 ) 3760 *1 13(Net3480 *104 (Net 3761 3481 uid 536,0 3762 3482 decl (Decl … … 3775 3495 ) 3776 3496 ) 3777 *1 14(Net3497 *105 (Net 3778 3498 uid 544,0 3779 3499 decl (Decl … … 3794 3514 ) 3795 3515 ) 3796 *1 15(Net3516 *106 (Net 3797 3517 uid 560,0 3798 3518 decl (Decl … … 3813 3533 ) 3814 3534 ) 3815 *1 16(Net3535 *107 (Net 3816 3536 uid 568,0 3817 3537 decl (Decl … … 3833 3553 ) 3834 3554 ) 3835 *1 17(Net3555 *108 (Net 3836 3556 uid 767,0 3837 3557 decl (Decl … … 3851 3571 ) 3852 3572 ) 3853 *1 18(Net3573 *109 (Net 3854 3574 uid 775,0 3855 3575 decl (Decl … … 3871 3591 ) 3872 3592 ) 3873 *11 9(Net3593 *110 (Net 3874 3594 uid 783,0 3875 3595 decl (Decl … … 3889 3609 ) 3890 3610 ) 3891 *1 20(Net3611 *111 (Net 3892 3612 uid 791,0 3893 3613 decl (Decl … … 3906 3626 ) 3907 3627 ) 3908 *1 21(Net3628 *112 (Net 3909 3629 uid 799,0 3910 3630 decl (Decl … … 3923 3643 ) 3924 3644 ) 3925 *1 22(Net3645 *113 (Net 3926 3646 uid 807,0 3927 3647 decl (Decl … … 3941 3661 ) 3942 3662 ) 3943 *1 23(Net3663 *114 (Net 3944 3664 uid 815,0 3945 3665 decl (Decl … … 3961 3681 ) 3962 3682 ) 3963 *1 24(Net3683 *115 (Net 3964 3684 uid 823,0 3965 3685 decl (Decl … … 3978 3698 ) 3979 3699 ) 3980 *1 25(Net3700 *116 (Net 3981 3701 uid 831,0 3982 3702 decl (Decl … … 3995 3715 ) 3996 3716 ) 3997 *1 26(Net3717 *117 (Net 3998 3718 uid 839,0 3999 3719 decl (Decl … … 4014 3734 ) 4015 3735 ) 4016 *1 27(Net3736 *118 (Net 4017 3737 uid 847,0 4018 3738 decl (Decl … … 4032 3752 ) 4033 3753 ) 4034 *1 28(Net3754 *119 (Net 4035 3755 uid 855,0 4036 3756 decl (Decl … … 4050 3770 ) 4051 3771 ) 4052 *12 9(Net3772 *120 (Net 4053 3773 uid 863,0 4054 3774 decl (Decl … … 4068 3788 ) 4069 3789 ) 4070 *1 30(Net3790 *121 (Net 4071 3791 uid 871,0 4072 3792 decl (Decl … … 4085 3805 ) 4086 3806 ) 4087 *1 31(Net3807 *122 (Net 4088 3808 uid 879,0 4089 3809 decl (Decl … … 4102 3822 ) 4103 3823 ) 4104 *1 32(Net3824 *123 (Net 4105 3825 uid 887,0 4106 3826 decl (Decl … … 4119 3839 ) 4120 3840 ) 4121 *1 33(Net3841 *124 (Net 4122 3842 uid 895,0 4123 3843 decl (Decl … … 4136 3856 ) 4137 3857 ) 4138 *1 34(Net3858 *125 (Net 4139 3859 uid 1435,0 4140 3860 decl (Decl … … 4154 3874 ) 4155 3875 ) 4156 *1 35(Net3876 *126 (Net 4157 3877 uid 1443,0 4158 3878 decl (Decl … … 4171 3891 ) 4172 3892 ) 4173 *1 36(Net3893 *127 (Net 4174 3894 uid 1451,0 4175 3895 decl (Decl … … 4188 3908 ) 4189 3909 ) 4190 *1 37(Net3910 *128 (Net 4191 3911 uid 1459,0 4192 3912 decl (Decl … … 4205 3925 ) 4206 3926 ) 4207 *1 38(Net3927 *129 (Net 4208 3928 uid 1467,0 4209 3929 decl (Decl … … 4223 3943 ) 4224 3944 ) 4225 *13 9(Net3945 *130 (Net 4226 3946 uid 1475,0 4227 3947 decl (Decl … … 4241 3961 ) 4242 3962 ) 4243 *1 40(Net3963 *131 (Net 4244 3964 uid 1483,0 4245 3965 decl (Decl … … 4258 3978 ) 4259 3979 ) 4260 *1 41(HdlText3980 *132 (HdlText 4261 3981 uid 1491,0 4262 3982 optionalChildren [ 4263 *1 42(EmbeddedText3983 *133 (EmbeddedText 4264 3984 uid 1497,0 4265 3985 commentText (CommentText … … 4316 4036 stg "VerticalLayoutStrategy" 4317 4037 textVec [ 4318 *1 43(Text4038 *134 (Text 4319 4039 uid 1494,0 4320 4040 va (VaSet … … 4326 4046 tm "HdlTextNameMgr" 4327 4047 ) 4328 *1 44(Text4048 *135 (Text 4329 4049 uid 1495,0 4330 4050 va (VaSet … … 4352 4072 viewiconposition 0 4353 4073 ) 4354 *1 45(Net4074 *136 (Net 4355 4075 uid 1501,0 4356 4076 decl (Decl … … 4370 4090 ) 4371 4091 ) 4372 *1 46(SaComponent4092 *137 (SaComponent 4373 4093 uid 1509,0 4374 4094 optionalChildren [ 4375 *1 47(CptPort4095 *138 (CptPort 4376 4096 uid 1519,0 4377 4097 ps "OnEdgeStrategy" … … 4409 4129 ) 4410 4130 ) 4411 *1 48(CptPort4131 *139 (CptPort 4412 4132 uid 1523,0 4413 4133 ps "OnEdgeStrategy" … … 4462 4182 stg "VerticalLayoutStrategy" 4463 4183 textVec [ 4464 *14 9(Text4184 *140 (Text 4465 4185 uid 1512,0 4466 4186 va (VaSet … … 4472 4192 tm "BdLibraryNameMgr" 4473 4193 ) 4474 *1 50(Text4194 *141 (Text 4475 4195 uid 1513,0 4476 4196 va (VaSet … … 4482 4202 tm "CptNameMgr" 4483 4203 ) 4484 *1 51(Text4204 *142 (Text 4485 4205 uid 1514,0 4486 4206 va (VaSet … … 4541 4261 archFileType "UNKNOWN" 4542 4262 ) 4543 *1 52(Net4263 *143 (Net 4544 4264 uid 1559,0 4545 4265 decl (Decl … … 4560 4280 ) 4561 4281 ) 4562 *1 53(Net4282 *144 (Net 4563 4283 uid 1682,0 4564 4284 lang 2 … … 4578 4298 ) 4579 4299 ) 4580 *1 54(Net4300 *145 (Net 4581 4301 uid 2001,0 4582 4302 decl (Decl … … 4595 4315 st "SIGNAL REF_CLK : STD_LOGIC := '0'" 4596 4316 ) 4317 ) 4318 *146 (SaComponent 4319 uid 2336,0 4320 optionalChildren [ 4321 *147 (CptPort 4322 uid 2315,0 4323 ps "OnEdgeStrategy" 4324 shape (Triangle 4325 uid 2316,0 4326 ro 90 4327 va (VaSet 4328 vasetType 1 4329 fg "0,65535,0" 4330 ) 4331 xt "122250,20625,123000,21375" 4332 ) 4333 tg (CPTG 4334 uid 2317,0 4335 ps "CptPortTextPlaceStrategy" 4336 stg "VerticalLayoutStrategy" 4337 f (Text 4338 uid 2318,0 4339 va (VaSet 4340 ) 4341 xt "124000,20500,128500,21500" 4342 st "addr : (9:0)" 4343 blo "124000,21300" 4344 ) 4345 ) 4346 thePort (LogicalPort 4347 decl (Decl 4348 n "addr" 4349 t "std_logic_vector" 4350 b "(9 DOWNTO 0)" 4351 preAdd 0 4352 posAdd 0 4353 o 2 4354 suid 1,0 4355 ) 4356 ) 4357 ) 4358 *148 (CptPort 4359 uid 2319,0 4360 ps "OnEdgeStrategy" 4361 shape (Diamond 4362 uid 2320,0 4363 ro 270 4364 va (VaSet 4365 vasetType 1 4366 fg "0,65535,0" 4367 ) 4368 xt "122250,21625,123000,22375" 4369 ) 4370 tg (CPTG 4371 uid 2321,0 4372 ps "CptPortTextPlaceStrategy" 4373 stg "VerticalLayoutStrategy" 4374 f (Text 4375 uid 2322,0 4376 va (VaSet 4377 ) 4378 xt "124000,21500,128800,22500" 4379 st "data : (15:0)" 4380 blo "124000,22300" 4381 ) 4382 ) 4383 thePort (LogicalPort 4384 m 2 4385 decl (Decl 4386 n "data" 4387 t "std_logic_vector" 4388 b "(15 DOWNTO 0)" 4389 preAdd 0 4390 posAdd 0 4391 o 3 4392 suid 2,0 4393 ) 4394 ) 4395 ) 4396 *149 (CptPort 4397 uid 2323,0 4398 ps "OnEdgeStrategy" 4399 shape (Triangle 4400 uid 2324,0 4401 ro 90 4402 va (VaSet 4403 vasetType 1 4404 fg "0,65535,0" 4405 ) 4406 xt "122250,24625,123000,25375" 4407 ) 4408 tg (CPTG 4409 uid 2325,0 4410 ps "CptPortTextPlaceStrategy" 4411 stg "VerticalLayoutStrategy" 4412 f (Text 4413 uid 2326,0 4414 va (VaSet 4415 ) 4416 xt "124000,24500,125100,25500" 4417 st "rd" 4418 blo "124000,25300" 4419 ) 4420 ) 4421 thePort (LogicalPort 4422 decl (Decl 4423 n "rd" 4424 t "std_logic" 4425 preAdd 0 4426 posAdd 0 4427 o 4 4428 suid 3,0 4429 ) 4430 ) 4431 ) 4432 *150 (CptPort 4433 uid 2327,0 4434 ps "OnEdgeStrategy" 4435 shape (Triangle 4436 uid 2328,0 4437 ro 90 4438 va (VaSet 4439 vasetType 1 4440 fg "0,65535,0" 4441 ) 4442 xt "122250,25625,123000,26375" 4443 ) 4444 tg (CPTG 4445 uid 2329,0 4446 ps "CptPortTextPlaceStrategy" 4447 stg "VerticalLayoutStrategy" 4448 f (Text 4449 uid 2330,0 4450 va (VaSet 4451 ) 4452 xt "124000,25500,125200,26500" 4453 st "wr" 4454 blo "124000,26300" 4455 ) 4456 ) 4457 thePort (LogicalPort 4458 decl (Decl 4459 n "wr" 4460 t "std_logic" 4461 preAdd 0 4462 posAdd 0 4463 o 5 4464 suid 4,0 4465 ) 4466 ) 4467 ) 4468 *151 (CptPort 4469 uid 2331,0 4470 ps "OnEdgeStrategy" 4471 shape (Triangle 4472 uid 2332,0 4473 ro 270 4474 va (VaSet 4475 vasetType 1 4476 fg "0,65535,0" 4477 ) 4478 xt "122250,26625,123000,27375" 4479 ) 4480 tg (CPTG 4481 uid 2333,0 4482 ps "CptPortTextPlaceStrategy" 4483 stg "VerticalLayoutStrategy" 4484 f (Text 4485 uid 2334,0 4486 va (VaSet 4487 ) 4488 xt "124000,26500,125200,27500" 4489 st "int" 4490 blo "124000,27300" 4491 ) 4492 t (Text 4493 uid 2335,0 4494 va (VaSet 4495 ) 4496 xt "124000,27500,125200,28500" 4497 st "'1'" 4498 blo "124000,28300" 4499 ) 4500 ) 4501 thePort (LogicalPort 4502 m 1 4503 decl (Decl 4504 n "int" 4505 t "std_logic" 4506 o 1 4507 suid 5,0 4508 i "'1'" 4509 ) 4510 ) 4511 ) 4512 ] 4513 shape (Rectangle 4514 uid 2337,0 4515 va (VaSet 4516 vasetType 1 4517 fg "0,49152,49152" 4518 lineColor "0,0,50000" 4519 lineWidth 2 4520 ) 4521 xt "123000,19000,133000,31000" 4522 ) 4523 oxt "29000,0,39000,12000" 4524 ttg (MlTextGroup 4525 uid 2338,0 4526 ps "CenterOffsetStrategy" 4527 stg "VerticalLayoutStrategy" 4528 textVec [ 4529 *152 (Text 4530 uid 2339,0 4531 va (VaSet 4532 font "Arial,8,1" 4533 ) 4534 xt "123200,31000,130900,32000" 4535 st "FACT_FAD_TB_lib" 4536 blo "123200,31800" 4537 tm "BdLibraryNameMgr" 4538 ) 4539 *153 (Text 4540 uid 2340,0 4541 va (VaSet 4542 font "Arial,8,1" 4543 ) 4544 xt "123200,32000,129800,33000" 4545 st "w5300_emulator" 4546 blo "123200,32800" 4547 tm "CptNameMgr" 4548 ) 4549 *154 (Text 4550 uid 2341,0 4551 va (VaSet 4552 font "Arial,8,1" 4553 ) 4554 xt "123200,33000,130000,34000" 4555 st "I_mainTB_w5300" 4556 blo "123200,33800" 4557 tm "InstanceNameMgr" 4558 ) 4559 ] 4560 ) 4561 ga (GenericAssociation 4562 uid 2342,0 4563 ps "EdgeToEdgeStrategy" 4564 matrix (Matrix 4565 uid 2343,0 4566 text (MLText 4567 uid 2344,0 4568 va (VaSet 4569 font "Courier New,8,0" 4570 ) 4571 xt "123000,18000,123000,18000" 4572 ) 4573 header "" 4574 ) 4575 elements [ 4576 ] 4577 ) 4578 viewicon (ZoomableIcon 4579 uid 2345,0 4580 sl 0 4581 va (VaSet 4582 vasetType 1 4583 fg "49152,49152,49152" 4584 ) 4585 xt "123250,29250,124750,30750" 4586 iconName "VhdlFileViewIcon.png" 4587 iconMaskName "VhdlFileViewIcon.msk" 4588 ftype 10 4589 ) 4590 ordering 1 4591 viewiconposition 0 4592 portVis (PortSigDisplay 4593 sIVOD 1 4594 ) 4595 archFileType "UNKNOWN" 4597 4596 ) 4598 4597 *155 (Wire … … 4647 4646 ) 4648 4647 start &19 4649 end & 664648 end &147 4650 4649 sat 32 4651 4650 eat 32 … … 4668 4667 ) 4669 4668 ) 4670 on & 744669 on &65 4671 4670 ) 4672 4671 *157 (Wire … … 4685 4684 ) 4686 4685 start &20 4687 end & 674686 end &148 4688 4687 sat 32 4689 4688 eat 32 … … 4706 4705 ) 4707 4706 ) 4708 on & 754707 on &66 4709 4708 ) 4710 4709 *158 (Wire … … 4722 4721 ) 4723 4722 start &23 4724 end & 684723 end &149 4725 4724 sat 32 4726 4725 eat 32 … … 4742 4741 ) 4743 4742 ) 4744 on & 764743 on &67 4745 4744 ) 4746 4745 *159 (Wire … … 4758 4757 ) 4759 4758 start &22 4760 end & 694759 end &150 4761 4760 sat 32 4762 4761 eat 32 … … 4778 4777 ) 4779 4778 ) 4780 on & 774779 on &68 4781 4780 ) 4782 4781 *160 (Wire … … 4797 4796 ) 4798 4797 start &41 4799 end & 814798 end &72 4800 4799 sat 32 4801 4800 eat 32 … … 4818 4817 ) 4819 4818 ) 4820 on & 854819 on &76 4821 4820 ) 4822 4821 *161 (Wire … … 4834 4833 ) 4835 4834 start &38 4836 end &7 94835 end &70 4837 4836 sat 32 4838 4837 eat 32 … … 4854 4853 ) 4855 4854 ) 4856 on & 864855 on &77 4857 4856 ) 4858 4857 *162 (Wire … … 4870 4869 ) 4871 4870 start &39 4872 end & 804871 end &71 4873 4872 sat 32 4874 4873 eat 32 … … 4890 4889 ) 4891 4890 ) 4892 on & 874891 on &78 4893 4892 ) 4894 4893 *163 (Wire … … 4905 4904 ] 4906 4905 ) 4907 start &8 94906 start &80 4908 4907 end &15 4909 4908 sat 32 … … 4925 4924 ) 4926 4925 ) 4927 on & 934926 on &84 4928 4927 ) 4929 4928 *164 (Wire … … 4944 4943 ) 4945 4944 start &17 4946 end & 944945 end &85 4947 4946 sat 32 4948 4947 eat 2 … … 4965 4964 ) 4966 4965 ) 4967 on & 984966 on &89 4968 4967 ) 4969 4968 *165 (Wire … … 4984 4983 ) 4985 4984 start &18 4986 end & 944985 end &85 4987 4986 sat 32 4988 4987 eat 2 … … 5005 5004 ) 5006 5005 ) 5007 on &9 95006 on &90 5008 5007 ) 5009 5008 *166 (Wire … … 5024 5023 ) 5025 5024 start &28 5026 end & 1085025 end &99 5027 5026 sat 32 5028 5027 eat 2 … … 5045 5044 ) 5046 5045 ) 5047 on &1 125046 on &103 5048 5047 ) 5049 5048 *167 (Wire … … 5064 5063 ) 5065 5064 start &29 5066 end & 1085065 end &99 5067 5066 sat 32 5068 5067 eat 2 … … 5085 5084 ) 5086 5085 ) 5087 on &1 135086 on &104 5088 5087 ) 5089 5088 *168 (Wire … … 5103 5102 ) 5104 5103 start &16 5105 end & 1085104 end &99 5106 5105 sat 32 5107 5106 eat 1 … … 5123 5122 ) 5124 5123 ) 5125 on &1 145124 on &105 5126 5125 ) 5127 5126 *169 (Wire … … 5138 5137 ] 5139 5138 ) 5140 start & 1085141 end & 1045139 start &99 5140 end &95 5142 5141 sat 2 5143 5142 eat 32 … … 5158 5157 ) 5159 5158 ) 5160 on &1 145159 on &105 5161 5160 ) 5162 5161 *170 (Wire … … 5173 5172 ] 5174 5173 ) 5175 start & 1035176 end & 1085174 start &94 5175 end &99 5177 5176 sat 32 5178 5177 eat 1 … … 5193 5192 ) 5194 5193 ) 5195 on &1 155194 on &106 5196 5195 ) 5197 5196 *171 (Wire … … 5209 5208 ] 5210 5209 ) 5211 start & 1025212 end & 1085210 start &93 5211 end &99 5213 5212 sat 32 5214 5213 eat 1 … … 5230 5229 ) 5231 5230 ) 5232 on &1 165231 on &107 5233 5232 ) 5234 5233 *172 (Wire … … 5245 5244 ] 5246 5245 ) 5247 start & 1015246 start &92 5248 5247 sat 32 5249 5248 eat 16 … … 5264 5263 ) 5265 5264 ) 5266 on &1 535265 on &144 5267 5266 ) 5268 5267 *173 (Wire … … 5299 5298 ) 5300 5299 ) 5301 on &1 175300 on &108 5302 5301 ) 5303 5302 *174 (Wire … … 5336 5335 ) 5337 5336 ) 5338 on &1 185337 on &109 5339 5338 ) 5340 5339 *175 (Wire … … 5371 5370 ) 5372 5371 ) 5373 on &11 95372 on &110 5374 5373 ) 5375 5374 *176 (Wire … … 5386 5385 ] 5387 5386 ) 5388 start & 705387 start &151 5389 5388 end &24 5390 ss 05391 5389 sat 32 5392 5390 eat 32 … … 5408 5406 ) 5409 5407 ) 5410 on &1 205408 on &111 5411 5409 ) 5412 5410 *177 (Wire … … 5443 5441 ) 5444 5442 ) 5445 on &1 215443 on &112 5446 5444 ) 5447 5445 *178 (Wire … … 5478 5476 ) 5479 5477 ) 5480 on &1 225478 on &113 5481 5479 ) 5482 5480 *179 (Wire … … 5487 5485 vasetType 3 5488 5486 ) 5489 xt " 109750,71000,116000,71000"5487 xt "70000,66000,80250,66000" 5490 5488 pts [ 5491 " 109750,71000"5492 " 116000,71000"5489 "80250,66000" 5490 "70000,66000" 5493 5491 ] 5494 5492 ) … … 5507 5505 va (VaSet 5508 5506 ) 5509 xt " 111000,70000,114000,71000"5507 xt "71000,65000,74000,66000" 5510 5508 st "denable" 5511 blo " 111000,70800"5509 blo "71000,65800" 5512 5510 tm "WireNameMgr" 5513 5511 ) 5514 5512 ) 5515 on &1 235513 on &114 5516 5514 ) 5517 5515 *180 (Wire … … 5548 5546 ) 5549 5547 ) 5550 on &1 245548 on &115 5551 5549 ) 5552 5550 *181 (Wire … … 5583 5581 ) 5584 5582 ) 5585 on &1 255583 on &116 5586 5584 ) 5587 5585 *182 (Wire … … 5620 5618 ) 5621 5619 ) 5622 on &1 265620 on &117 5623 5621 ) 5624 5622 *183 (Wire … … 5629 5627 vasetType 3 5630 5628 ) 5631 xt "70000,6 3000,80250,63000"5629 xt "70000,67000,80250,67000" 5632 5630 pts [ 5633 "80250,6 3000"5634 "70000,6 3000"5631 "80250,67000" 5632 "70000,67000" 5635 5633 ] 5636 5634 ) 5637 5635 start &31 5636 ss 0 5638 5637 sat 32 5639 5638 eat 16 … … 5649 5648 va (VaSet 5650 5649 ) 5651 xt "71000,6 2000,75300,63000"5650 xt "71000,66000,75300,67000" 5652 5651 st "drs_dwrite" 5653 blo "71000,6 2800"5652 blo "71000,66800" 5654 5653 tm "WireNameMgr" 5655 5654 ) 5656 5655 ) 5657 on &1 275656 on &118 5658 5657 ) 5659 5658 *184 (Wire … … 5690 5689 ) 5691 5690 ) 5692 on &1 285691 on &119 5693 5692 ) 5694 5693 *185 (Wire … … 5699 5698 vasetType 3 5700 5699 ) 5701 xt "70000,6 6000,80250,66000"5700 xt "70000,65000,80250,65000" 5702 5701 pts [ 5703 "80250,6 6000"5704 "70000,6 6000"5702 "80250,65000" 5703 "70000,65000" 5705 5704 ] 5706 5705 ) … … 5719 5718 va (VaSet 5720 5719 ) 5721 xt "71000,6 5000,74000,66000"5720 xt "71000,64000,74000,65000" 5722 5721 st "SRCLK" 5723 blo "71000,6 5800"5722 blo "71000,64800" 5724 5723 tm "WireNameMgr" 5725 5724 ) 5726 5725 ) 5727 on &12 95726 on &120 5728 5727 ) 5729 5728 *186 (Wire … … 5760 5759 ) 5761 5760 ) 5762 on &1 305761 on &121 5763 5762 ) 5764 5763 *187 (Wire … … 5795 5794 ) 5796 5795 ) 5797 on &1 315796 on &122 5798 5797 ) 5799 5798 *188 (Wire … … 5830 5829 ) 5831 5830 ) 5832 on &1 325831 on &123 5833 5832 ) 5834 5833 *189 (Wire … … 5865 5864 ) 5866 5865 ) 5867 on &1 335866 on &124 5868 5867 ) 5869 5868 *190 (Wire … … 5900 5899 ) 5901 5900 ) 5902 on &1 345901 on &125 5903 5902 ) 5904 5903 *191 (Wire … … 5935 5934 ) 5936 5935 ) 5937 on &1 355936 on &126 5938 5937 ) 5939 5938 *192 (Wire … … 5970 5969 ) 5971 5970 ) 5972 on &1 365971 on &127 5973 5972 ) 5974 5973 *193 (Wire … … 6005 6004 ) 6006 6005 ) 6007 on &1 376006 on &128 6008 6007 ) 6009 6008 *194 (Wire … … 6042 6041 ) 6043 6042 ) 6044 on &1 386043 on &129 6045 6044 ) 6046 6045 *195 (Wire … … 6077 6076 ) 6078 6077 ) 6079 on &13 96078 on &130 6080 6079 ) 6081 6080 *196 (Wire … … 6112 6111 ) 6113 6112 ) 6114 on &1 406113 on &131 6115 6114 ) 6116 6115 *197 (Wire … … 6149 6148 ) 6150 6149 ) 6151 on &1 456150 on &136 6152 6151 ) 6153 6152 *198 (Wire … … 6166 6165 ] 6167 6166 ) 6168 start &1 476167 start &138 6169 6168 end &49 6170 6169 sat 32 … … 6187 6186 ) 6188 6187 ) 6189 on &1 546188 on &145 6190 6189 ) 6191 6190 *199 (Wire … … 6202 6201 ] 6203 6202 ) 6204 start &1 416203 start &132 6205 6204 sat 2 6206 6205 eat 16 … … 6222 6221 ) 6223 6222 ) 6224 on &1 456223 on &136 6225 6224 ) 6226 6225 *200 (Wire … … 6259 6258 ) 6260 6259 ) 6261 on &1 526260 on &143 6262 6261 ) 6263 6262 *201 (Wire … … 6274 6273 ] 6275 6274 ) 6276 start &1 416275 start &132 6277 6276 sat 2 6278 6277 eat 16 … … 6294 6293 ) 6295 6294 ) 6296 on &1 526295 on &143 6297 6296 ) 6298 6297 *202 (Wire … … 6329 6328 ) 6330 6329 ) 6331 on &1 536330 on &144 6332 6331 ) 6333 6332 ] … … 6448 6447 associable 1 6449 6448 ) 6450 windowSize "0, 22,1281,1024"6451 viewArea "6 9170,4172,136013,56147"6449 windowSize "0,0,1681,1030" 6450 viewArea "60000,4200,152106,60144" 6452 6451 cachedDiagramExtent "-92000,0,146000,98000" 6453 6452 pageSetupInfo (PageSetupInfo … … 6462 6461 hasePageBreakOrigin 1 6463 6462 pageBreakOrigin "-146000,0" 6464 lastUid 2 112,06463 lastUid 2446,0 6465 6464 defaultCommentText (CommentText 6466 6465 shape (Rectangle -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd.bak
r10180 r10225 63 63 ) 64 64 (Instance 65 name "I_mainTB_w5300"66 duLibraryName "FACT_FAD_TB_lib"67 duName "w5300_emulator"68 elements [69 ]70 mwi 071 uid 306,072 )73 (Instance74 65 name "I_mainTB_max6662" 75 66 duLibraryName "FACT_FAD_TB_lib" … … 137 128 uid 1509,0 138 129 ) 130 (Instance 131 name "I_mainTB_w5300" 132 duLibraryName "FACT_FAD_TB_lib" 133 duName "w5300_emulator" 134 elements [ 135 ] 136 mwi 0 137 uid 2336,0 138 ) 139 139 ] 140 140 embeddedInstances [ … … 159 159 ) 160 160 version "29.1" 161 appVersion "2009. 2 (Build 10)"161 appVersion "2009.1 (Build 12)" 162 162 noEmbeddedEditors 1 163 163 model (BlockDiag … … 166 166 (vvPair 167 167 variable "HDLDir" 168 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"168 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" 169 169 ) 170 170 (vvPair 171 171 variable "HDSDir" 172 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"172 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 173 173 ) 174 174 (vvPair 175 175 variable "SideDataDesignDir" 176 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"176 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info" 177 177 ) 178 178 (vvPair 179 179 variable "SideDataUserDir" 180 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"180 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user" 181 181 ) 182 182 (vvPair 183 183 variable "SourceDir" 184 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"184 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 185 185 ) 186 186 (vvPair … … 198 198 (vvPair 199 199 variable "d" 200 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"200 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb" 201 201 ) 202 202 (vvPair 203 203 variable "d_logical" 204 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"204 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb" 205 205 ) 206 206 (vvPair 207 207 variable "date" 208 value " 25.02.2011"208 value "02.03.2011" 209 209 ) 210 210 (vvPair 211 211 variable "day" 212 value " Fr"212 value "Mi" 213 213 ) 214 214 (vvPair 215 215 variable "day_long" 216 value " Freitag"216 value "Mittwoch" 217 217 ) 218 218 (vvPair 219 219 variable "dd" 220 value " 25"220 value "02" 221 221 ) 222 222 (vvPair … … 246 246 (vvPair 247 247 variable "host" 248 value " E5B-LABOR6"248 value "IHP110" 249 249 ) 250 250 (vvPair … … 278 278 (vvPair 279 279 variable "mm" 280 value "0 2"280 value "03" 281 281 ) 282 282 (vvPair … … 286 286 (vvPair 287 287 variable "month" 288 value " Feb"288 value "Mrz" 289 289 ) 290 290 (vvPair 291 291 variable "month_long" 292 value " Februar"292 value "März" 293 293 ) 294 294 (vvPair 295 295 variable "p" 296 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"296 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd" 297 297 ) 298 298 (vvPair 299 299 variable "p_logical" 300 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"300 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd" 301 301 ) 302 302 (vvPair … … 322 322 (vvPair 323 323 variable "task_ModelSimPath" 324 value " C:\\modeltech_6.6a\\win32"324 value "D:\\modeltech_6.5e\\win32" 325 325 ) 326 326 (vvPair … … 354 354 (vvPair 355 355 variable "time" 356 value "1 3:44:06"356 value "15:30:11" 357 357 ) 358 358 (vvPair … … 362 362 (vvPair 363 363 variable "user" 364 value "d neise"364 value "daqct3" 365 365 ) 366 366 (vvPair 367 367 variable "version" 368 value "2009. 2 (Build 10)"368 value "2009.1 (Build 12)" 369 369 ) 370 370 (vvPair … … 406 406 bg "0,0,32768" 407 407 ) 408 xt "109200,97000,11 8800,98000"408 xt "109200,97000,119000,98000" 409 409 st " 410 410 by %user on %dd %month %year … … 1374 1374 fg "0,65535,0" 1375 1375 ) 1376 xt "80250,6 2625,81000,63375"1376 xt "80250,66625,81000,67375" 1377 1377 ) 1378 1378 tg (CPTG … … 1384 1384 va (VaSet 1385 1385 ) 1386 xt "82000,6 2500,86300,63500"1386 xt "82000,66500,86300,67500" 1387 1387 st "drs_dwrite" 1388 blo "82000,6 3300"1388 blo "82000,67300" 1389 1389 ) 1390 1390 ) … … 1582 1582 fg "0,65535,0" 1583 1583 ) 1584 xt "80250,6 5625,81000,66375"1584 xt "80250,64625,81000,65375" 1585 1585 ) 1586 1586 tg (CPTG … … 1592 1592 va (VaSet 1593 1593 ) 1594 xt "82000,6 5500,85000,66500"1594 xt "82000,64500,85000,65500" 1595 1595 st "SRCLK" 1596 blo "82000,6 6300"1596 blo "82000,65300" 1597 1597 ) 1598 1598 ) … … 1797 1797 shape (Triangle 1798 1798 uid 230,0 1799 ro 901799 ro 270 1800 1800 va (VaSet 1801 1801 vasetType 1 1802 1802 fg "0,65535,0" 1803 1803 ) 1804 xt " 109000,70625,109750,71375"1804 xt "80250,65625,81000,66375" 1805 1805 ) 1806 1806 tg (CPTG 1807 1807 uid 231,0 1808 1808 ps "CptPortTextPlaceStrategy" 1809 stg " RightVerticalLayoutStrategy"1809 stg "VerticalLayoutStrategy" 1810 1810 f (Text 1811 1811 uid 232,0 1812 1812 va (VaSet 1813 1813 ) 1814 xt " 105000,70500,108000,71500"1814 xt "82000,65500,85000,66500" 1815 1815 st "denable" 1816 ju 2 1817 blo "108000,71300" 1816 blo "82000,66300" 1818 1817 ) 1819 1818 ) … … 2506 2505 ) 2507 2506 ) 2508 *65 (SaComponent 2509 uid 306,0 2510 optionalChildren [ 2511 *66 (CptPort 2512 uid 290,0 2513 ps "OnEdgeStrategy" 2514 shape (Triangle 2515 uid 291,0 2516 ro 90 2517 va (VaSet 2518 vasetType 1 2519 fg "0,65535,0" 2520 ) 2521 xt "122250,20625,123000,21375" 2522 ) 2523 tg (CPTG 2524 uid 292,0 2525 ps "CptPortTextPlaceStrategy" 2526 stg "VerticalLayoutStrategy" 2527 f (Text 2528 uid 293,0 2529 va (VaSet 2530 ) 2531 xt "124000,20500,128500,21500" 2532 st "addr : (9:0)" 2533 blo "124000,21300" 2534 ) 2535 ) 2536 thePort (LogicalPort 2537 decl (Decl 2538 n "addr" 2539 t "std_logic_vector" 2540 b "(9 downto 0)" 2541 preAdd 0 2542 posAdd 0 2543 o 1 2544 suid 1,0 2545 ) 2546 ) 2547 ) 2548 *67 (CptPort 2549 uid 294,0 2550 ps "OnEdgeStrategy" 2551 shape (Diamond 2552 uid 295,0 2553 ro 270 2554 va (VaSet 2555 vasetType 1 2556 fg "0,65535,0" 2557 ) 2558 xt "122250,21625,123000,22375" 2559 ) 2560 tg (CPTG 2561 uid 296,0 2562 ps "CptPortTextPlaceStrategy" 2563 stg "VerticalLayoutStrategy" 2564 f (Text 2565 uid 297,0 2566 va (VaSet 2567 ) 2568 xt "124000,21500,128800,22500" 2569 st "data : (15:0)" 2570 blo "124000,22300" 2571 ) 2572 ) 2573 thePort (LogicalPort 2574 m 2 2575 decl (Decl 2576 n "data" 2577 t "std_logic_vector" 2578 b "(15 downto 0)" 2579 preAdd 0 2580 posAdd 0 2581 o 2 2582 suid 2,0 2583 ) 2584 ) 2585 ) 2586 *68 (CptPort 2587 uid 298,0 2588 ps "OnEdgeStrategy" 2589 shape (Triangle 2590 uid 299,0 2591 ro 90 2592 va (VaSet 2593 vasetType 1 2594 fg "0,65535,0" 2595 ) 2596 xt "122250,24625,123000,25375" 2597 ) 2598 tg (CPTG 2599 uid 300,0 2600 ps "CptPortTextPlaceStrategy" 2601 stg "VerticalLayoutStrategy" 2602 f (Text 2603 uid 301,0 2604 va (VaSet 2605 ) 2606 xt "124000,24500,125100,25500" 2607 st "rd" 2608 blo "124000,25300" 2609 ) 2610 ) 2611 thePort (LogicalPort 2612 decl (Decl 2613 n "rd" 2614 t "std_logic" 2615 preAdd 0 2616 posAdd 0 2617 o 3 2618 suid 3,0 2619 ) 2620 ) 2621 ) 2622 *69 (CptPort 2623 uid 302,0 2624 ps "OnEdgeStrategy" 2625 shape (Triangle 2626 uid 303,0 2627 ro 90 2628 va (VaSet 2629 vasetType 1 2630 fg "0,65535,0" 2631 ) 2632 xt "122250,25625,123000,26375" 2633 ) 2634 tg (CPTG 2635 uid 304,0 2636 ps "CptPortTextPlaceStrategy" 2637 stg "VerticalLayoutStrategy" 2638 f (Text 2639 uid 305,0 2640 va (VaSet 2641 ) 2642 xt "124000,25500,125200,26500" 2643 st "wr" 2644 blo "124000,26300" 2645 ) 2646 ) 2647 thePort (LogicalPort 2648 decl (Decl 2649 n "wr" 2650 t "std_logic" 2651 preAdd 0 2652 posAdd 0 2653 o 4 2654 suid 4,0 2655 ) 2656 ) 2657 ) 2658 ] 2659 shape (Rectangle 2660 uid 307,0 2661 va (VaSet 2662 vasetType 1 2663 fg "0,49152,49152" 2664 lineColor "0,0,50000" 2665 lineWidth 2 2666 ) 2667 xt "123000,19000,133000,31000" 2668 ) 2669 oxt "29000,2000,39000,12000" 2670 ttg (MlTextGroup 2671 uid 308,0 2672 ps "CenterOffsetStrategy" 2673 stg "VerticalLayoutStrategy" 2674 textVec [ 2675 *70 (Text 2676 uid 309,0 2677 va (VaSet 2678 font "Arial,8,1" 2679 ) 2680 xt "123200,31000,130900,32000" 2681 st "FACT_FAD_TB_lib" 2682 blo "123200,31800" 2683 tm "BdLibraryNameMgr" 2684 ) 2685 *71 (Text 2686 uid 310,0 2687 va (VaSet 2688 font "Arial,8,1" 2689 ) 2690 xt "123200,32000,129800,33000" 2691 st "w5300_emulator" 2692 blo "123200,32800" 2693 tm "CptNameMgr" 2694 ) 2695 *72 (Text 2696 uid 311,0 2697 va (VaSet 2698 font "Arial,8,1" 2699 ) 2700 xt "123200,33000,130000,34000" 2701 st "I_mainTB_w5300" 2702 blo "123200,33800" 2703 tm "InstanceNameMgr" 2704 ) 2705 ] 2706 ) 2707 ga (GenericAssociation 2708 uid 312,0 2709 ps "EdgeToEdgeStrategy" 2710 matrix (Matrix 2711 uid 313,0 2712 text (MLText 2713 uid 314,0 2714 va (VaSet 2715 font "Courier New,8,0" 2716 ) 2717 xt "123000,18000,123000,18000" 2718 ) 2719 header "" 2720 ) 2721 elements [ 2722 ] 2723 ) 2724 viewicon (ZoomableIcon 2725 uid 315,0 2726 sl 0 2727 va (VaSet 2728 vasetType 1 2729 fg "49152,49152,49152" 2730 ) 2731 xt "123250,29250,124750,30750" 2732 iconName "VhdlFileViewIcon.png" 2733 iconMaskName "VhdlFileViewIcon.msk" 2734 ftype 10 2735 ) 2736 ordering 1 2737 viewiconposition 0 2738 portVis (PortSigDisplay 2739 sIVOD 1 2740 ) 2741 archFileType "UNKNOWN" 2742 ) 2743 *73 (Net 2507 *65 (Net 2744 2508 uid 316,0 2745 2509 decl (Decl … … 2759 2523 ) 2760 2524 ) 2761 * 74(Net2525 *66 (Net 2762 2526 uid 322,0 2763 2527 decl (Decl … … 2777 2541 ) 2778 2542 ) 2779 * 75(Net2543 *67 (Net 2780 2544 uid 328,0 2781 2545 decl (Decl … … 2795 2559 ) 2796 2560 ) 2797 * 76(Net2561 *68 (Net 2798 2562 uid 334,0 2799 2563 decl (Decl … … 2813 2577 ) 2814 2578 ) 2815 * 77(SaComponent2579 *69 (SaComponent 2816 2580 uid 362,0 2817 2581 optionalChildren [ 2818 *7 8(CptPort2582 *70 (CptPort 2819 2583 uid 350,0 2820 2584 ps "OnEdgeStrategy" … … 2852 2616 ) 2853 2617 ) 2854 *7 9(CptPort2618 *71 (CptPort 2855 2619 uid 354,0 2856 2620 ps "OnEdgeStrategy" … … 2889 2653 ) 2890 2654 ) 2891 * 80(CptPort2655 *72 (CptPort 2892 2656 uid 358,0 2893 2657 ps "OnEdgeStrategy" … … 2943 2707 stg "VerticalLayoutStrategy" 2944 2708 textVec [ 2945 * 81(Text2709 *73 (Text 2946 2710 uid 365,0 2947 2711 va (VaSet … … 2953 2717 tm "BdLibraryNameMgr" 2954 2718 ) 2955 * 82(Text2719 *74 (Text 2956 2720 uid 366,0 2957 2721 va (VaSet … … 2963 2727 tm "CptNameMgr" 2964 2728 ) 2965 * 83(Text2729 *75 (Text 2966 2730 uid 367,0 2967 2731 va (VaSet … … 3017 2781 archFileType "UNKNOWN" 3018 2782 ) 3019 * 84(Net2783 *76 (Net 3020 2784 uid 372,0 3021 2785 decl (Decl … … 3035 2799 ) 3036 2800 ) 3037 * 85(Net2801 *77 (Net 3038 2802 uid 378,0 3039 2803 decl (Decl … … 3052 2816 ) 3053 2817 ) 3054 * 86(Net2818 *78 (Net 3055 2819 uid 384,0 3056 2820 decl (Decl … … 3071 2835 ) 3072 2836 ) 3073 * 87(SaComponent2837 *79 (SaComponent 3074 2838 uid 414,0 3075 2839 optionalChildren [ 3076 *8 8(CptPort2840 *80 (CptPort 3077 2841 uid 410,0 3078 2842 ps "OnEdgeStrategy" … … 3129 2893 stg "VerticalLayoutStrategy" 3130 2894 textVec [ 3131 *8 9(Text2895 *81 (Text 3132 2896 uid 417,0 3133 2897 va (VaSet … … 3139 2903 tm "BdLibraryNameMgr" 3140 2904 ) 3141 * 90(Text2905 *82 (Text 3142 2906 uid 418,0 3143 2907 va (VaSet … … 3149 2913 tm "CptNameMgr" 3150 2914 ) 3151 * 91(Text2915 *83 (Text 3152 2916 uid 419,0 3153 2917 va (VaSet … … 3209 2973 archFileType "UNKNOWN" 3210 2974 ) 3211 * 92(Net2975 *84 (Net 3212 2976 uid 424,0 3213 2977 decl (Decl … … 3228 2992 ) 3229 2993 ) 3230 * 93(HdlText2994 *85 (HdlText 3231 2995 uid 430,0 3232 2996 optionalChildren [ 3233 * 94(EmbeddedText2997 *86 (EmbeddedText 3234 2998 uid 436,0 3235 2999 commentText (CommentText … … 3282 3046 stg "VerticalLayoutStrategy" 3283 3047 textVec [ 3284 * 95(Text3048 *87 (Text 3285 3049 uid 433,0 3286 3050 va (VaSet … … 3292 3056 tm "HdlTextNameMgr" 3293 3057 ) 3294 * 96(Text3058 *88 (Text 3295 3059 uid 434,0 3296 3060 va (VaSet … … 3318 3082 viewiconposition 0 3319 3083 ) 3320 * 97(Net3084 *89 (Net 3321 3085 uid 440,0 3322 3086 decl (Decl … … 3338 3102 ) 3339 3103 ) 3340 *9 8(Net3104 *90 (Net 3341 3105 uid 448,0 3342 3106 decl (Decl … … 3356 3120 ) 3357 3121 ) 3358 *9 9(SaComponent3122 *91 (SaComponent 3359 3123 uid 508,0 3360 3124 optionalChildren [ 3361 * 100(CptPort3125 *92 (CptPort 3362 3126 uid 489,0 3363 3127 ps "OnEdgeStrategy" … … 3395 3159 ) 3396 3160 ) 3397 * 101(CptPort3161 *93 (CptPort 3398 3162 uid 493,0 3399 3163 ps "OnEdgeStrategy" … … 3434 3198 ) 3435 3199 ) 3436 * 102(CptPort3200 *94 (CptPort 3437 3201 uid 497,0 3438 3202 ps "OnEdgeStrategy" … … 3472 3236 ) 3473 3237 ) 3474 * 103(CptPort3238 *95 (CptPort 3475 3239 uid 501,0 3476 3240 ps "OnEdgeStrategy" … … 3526 3290 stg "VerticalLayoutStrategy" 3527 3291 textVec [ 3528 * 104(Text3292 *96 (Text 3529 3293 uid 511,0 3530 3294 va (VaSet … … 3536 3300 tm "BdLibraryNameMgr" 3537 3301 ) 3538 * 105(Text3302 *97 (Text 3539 3303 uid 512,0 3540 3304 va (VaSet … … 3546 3310 tm "CptNameMgr" 3547 3311 ) 3548 * 106(Text3312 *98 (Text 3549 3313 uid 513,0 3550 3314 va (VaSet … … 3600 3364 archFileType "UNKNOWN" 3601 3365 ) 3602 * 107(HdlText3366 *99 (HdlText 3603 3367 uid 518,0 3604 3368 optionalChildren [ 3605 *10 8(EmbeddedText3369 *100 (EmbeddedText 3606 3370 uid 524,0 3607 3371 commentText (CommentText … … 3660 3424 stg "VerticalLayoutStrategy" 3661 3425 textVec [ 3662 *10 9(Text3426 *101 (Text 3663 3427 uid 521,0 3664 3428 va (VaSet … … 3670 3434 tm "HdlTextNameMgr" 3671 3435 ) 3672 *1 10(Text3436 *102 (Text 3673 3437 uid 522,0 3674 3438 va (VaSet … … 3696 3460 viewiconposition 0 3697 3461 ) 3698 *1 11(Net3462 *103 (Net 3699 3463 uid 528,0 3700 3464 decl (Decl … … 3714 3478 ) 3715 3479 ) 3716 *1 12(Net3480 *104 (Net 3717 3481 uid 536,0 3718 3482 decl (Decl … … 3731 3495 ) 3732 3496 ) 3733 *1 13(Net3497 *105 (Net 3734 3498 uid 544,0 3735 3499 decl (Decl … … 3750 3514 ) 3751 3515 ) 3752 *1 14(Net3516 *106 (Net 3753 3517 uid 560,0 3754 3518 decl (Decl … … 3769 3533 ) 3770 3534 ) 3771 *1 15(Net3535 *107 (Net 3772 3536 uid 568,0 3773 3537 decl (Decl … … 3789 3553 ) 3790 3554 ) 3791 *1 16(Net3555 *108 (Net 3792 3556 uid 767,0 3793 3557 decl (Decl … … 3807 3571 ) 3808 3572 ) 3809 *1 17(Net3573 *109 (Net 3810 3574 uid 775,0 3811 3575 decl (Decl … … 3827 3591 ) 3828 3592 ) 3829 *11 8(Net3593 *110 (Net 3830 3594 uid 783,0 3831 3595 decl (Decl … … 3845 3609 ) 3846 3610 ) 3847 *11 9(Net3611 *111 (Net 3848 3612 uid 791,0 3849 3613 decl (Decl … … 3862 3626 ) 3863 3627 ) 3864 *1 20(Net3628 *112 (Net 3865 3629 uid 799,0 3866 3630 decl (Decl … … 3879 3643 ) 3880 3644 ) 3881 *1 21(Net3645 *113 (Net 3882 3646 uid 807,0 3883 3647 decl (Decl … … 3897 3661 ) 3898 3662 ) 3899 *1 22(Net3663 *114 (Net 3900 3664 uid 815,0 3901 3665 decl (Decl … … 3917 3681 ) 3918 3682 ) 3919 *1 23(Net3683 *115 (Net 3920 3684 uid 823,0 3921 3685 decl (Decl … … 3934 3698 ) 3935 3699 ) 3936 *1 24(Net3700 *116 (Net 3937 3701 uid 831,0 3938 3702 decl (Decl … … 3951 3715 ) 3952 3716 ) 3953 *1 25(Net3717 *117 (Net 3954 3718 uid 839,0 3955 3719 decl (Decl … … 3970 3734 ) 3971 3735 ) 3972 *1 26(Net3736 *118 (Net 3973 3737 uid 847,0 3974 3738 decl (Decl … … 3988 3752 ) 3989 3753 ) 3990 *1 27(Net3754 *119 (Net 3991 3755 uid 855,0 3992 3756 decl (Decl … … 4006 3770 ) 4007 3771 ) 4008 *12 8(Net3772 *120 (Net 4009 3773 uid 863,0 4010 3774 decl (Decl … … 4024 3788 ) 4025 3789 ) 4026 *12 9(Net3790 *121 (Net 4027 3791 uid 871,0 4028 3792 decl (Decl … … 4041 3805 ) 4042 3806 ) 4043 *1 30(Net3807 *122 (Net 4044 3808 uid 879,0 4045 3809 decl (Decl … … 4058 3822 ) 4059 3823 ) 4060 *1 31(Net3824 *123 (Net 4061 3825 uid 887,0 4062 3826 decl (Decl … … 4075 3839 ) 4076 3840 ) 4077 *1 32(Net3841 *124 (Net 4078 3842 uid 895,0 4079 3843 decl (Decl … … 4092 3856 ) 4093 3857 ) 4094 *1 33(Net3858 *125 (Net 4095 3859 uid 1435,0 4096 3860 decl (Decl … … 4110 3874 ) 4111 3875 ) 4112 *1 34(Net3876 *126 (Net 4113 3877 uid 1443,0 4114 3878 decl (Decl … … 4127 3891 ) 4128 3892 ) 4129 *1 35(Net3893 *127 (Net 4130 3894 uid 1451,0 4131 3895 decl (Decl … … 4144 3908 ) 4145 3909 ) 4146 *1 36(Net3910 *128 (Net 4147 3911 uid 1459,0 4148 3912 decl (Decl … … 4161 3925 ) 4162 3926 ) 4163 *1 37(Net3927 *129 (Net 4164 3928 uid 1467,0 4165 3929 decl (Decl … … 4179 3943 ) 4180 3944 ) 4181 *13 8(Net3945 *130 (Net 4182 3946 uid 1475,0 4183 3947 decl (Decl … … 4197 3961 ) 4198 3962 ) 4199 *13 9(Net3963 *131 (Net 4200 3964 uid 1483,0 4201 3965 decl (Decl … … 4214 3978 ) 4215 3979 ) 4216 *1 40(HdlText3980 *132 (HdlText 4217 3981 uid 1491,0 4218 3982 optionalChildren [ 4219 *1 41(EmbeddedText3983 *133 (EmbeddedText 4220 3984 uid 1497,0 4221 3985 commentText (CommentText … … 4272 4036 stg "VerticalLayoutStrategy" 4273 4037 textVec [ 4274 *1 42(Text4038 *134 (Text 4275 4039 uid 1494,0 4276 4040 va (VaSet … … 4282 4046 tm "HdlTextNameMgr" 4283 4047 ) 4284 *1 43(Text4048 *135 (Text 4285 4049 uid 1495,0 4286 4050 va (VaSet … … 4308 4072 viewiconposition 0 4309 4073 ) 4310 *1 44(Net4074 *136 (Net 4311 4075 uid 1501,0 4312 4076 decl (Decl … … 4326 4090 ) 4327 4091 ) 4328 *1 45(SaComponent4092 *137 (SaComponent 4329 4093 uid 1509,0 4330 4094 optionalChildren [ 4331 *1 46(CptPort4095 *138 (CptPort 4332 4096 uid 1519,0 4333 4097 ps "OnEdgeStrategy" … … 4365 4129 ) 4366 4130 ) 4367 *1 47(CptPort4131 *139 (CptPort 4368 4132 uid 1523,0 4369 4133 ps "OnEdgeStrategy" … … 4418 4182 stg "VerticalLayoutStrategy" 4419 4183 textVec [ 4420 *14 8(Text4184 *140 (Text 4421 4185 uid 1512,0 4422 4186 va (VaSet … … 4428 4192 tm "BdLibraryNameMgr" 4429 4193 ) 4430 *14 9(Text4194 *141 (Text 4431 4195 uid 1513,0 4432 4196 va (VaSet … … 4438 4202 tm "CptNameMgr" 4439 4203 ) 4440 *1 50(Text4204 *142 (Text 4441 4205 uid 1514,0 4442 4206 va (VaSet … … 4462 4226 xt "55000,82400,73000,84000" 4463 4227 st "clock_period = 1 us ( time ) 4464 reset_time = 1 us ( time ) 4465 " 4228 reset_time = 1 us ( time ) " 4466 4229 ) 4467 4230 header "" … … 4498 4261 archFileType "UNKNOWN" 4499 4262 ) 4500 *1 51(Net4263 *143 (Net 4501 4264 uid 1559,0 4502 4265 decl (Decl … … 4517 4280 ) 4518 4281 ) 4519 *1 52(Net4282 *144 (Net 4520 4283 uid 1682,0 4521 4284 lang 2 … … 4535 4298 ) 4536 4299 ) 4537 *1 53(Net4300 *145 (Net 4538 4301 uid 2001,0 4539 4302 decl (Decl … … 4553 4316 ) 4554 4317 ) 4555 *154 (Wire 4318 *146 (SaComponent 4319 uid 2336,0 4320 optionalChildren [ 4321 *147 (CptPort 4322 uid 2315,0 4323 ps "OnEdgeStrategy" 4324 shape (Triangle 4325 uid 2316,0 4326 ro 90 4327 va (VaSet 4328 vasetType 1 4329 fg "0,65535,0" 4330 ) 4331 xt "122250,20625,123000,21375" 4332 ) 4333 tg (CPTG 4334 uid 2317,0 4335 ps "CptPortTextPlaceStrategy" 4336 stg "VerticalLayoutStrategy" 4337 f (Text 4338 uid 2318,0 4339 va (VaSet 4340 ) 4341 xt "124000,20500,128500,21500" 4342 st "addr : (9:0)" 4343 blo "124000,21300" 4344 ) 4345 ) 4346 thePort (LogicalPort 4347 decl (Decl 4348 n "addr" 4349 t "std_logic_vector" 4350 b "(9 DOWNTO 0)" 4351 preAdd 0 4352 posAdd 0 4353 o 2 4354 suid 1,0 4355 ) 4356 ) 4357 ) 4358 *148 (CptPort 4359 uid 2319,0 4360 ps "OnEdgeStrategy" 4361 shape (Diamond 4362 uid 2320,0 4363 ro 270 4364 va (VaSet 4365 vasetType 1 4366 fg "0,65535,0" 4367 ) 4368 xt "122250,21625,123000,22375" 4369 ) 4370 tg (CPTG 4371 uid 2321,0 4372 ps "CptPortTextPlaceStrategy" 4373 stg "VerticalLayoutStrategy" 4374 f (Text 4375 uid 2322,0 4376 va (VaSet 4377 ) 4378 xt "124000,21500,128800,22500" 4379 st "data : (15:0)" 4380 blo "124000,22300" 4381 ) 4382 ) 4383 thePort (LogicalPort 4384 m 2 4385 decl (Decl 4386 n "data" 4387 t "std_logic_vector" 4388 b "(15 DOWNTO 0)" 4389 preAdd 0 4390 posAdd 0 4391 o 3 4392 suid 2,0 4393 ) 4394 ) 4395 ) 4396 *149 (CptPort 4397 uid 2323,0 4398 ps "OnEdgeStrategy" 4399 shape (Triangle 4400 uid 2324,0 4401 ro 90 4402 va (VaSet 4403 vasetType 1 4404 fg "0,65535,0" 4405 ) 4406 xt "122250,24625,123000,25375" 4407 ) 4408 tg (CPTG 4409 uid 2325,0 4410 ps "CptPortTextPlaceStrategy" 4411 stg "VerticalLayoutStrategy" 4412 f (Text 4413 uid 2326,0 4414 va (VaSet 4415 ) 4416 xt "124000,24500,125100,25500" 4417 st "rd" 4418 blo "124000,25300" 4419 ) 4420 ) 4421 thePort (LogicalPort 4422 decl (Decl 4423 n "rd" 4424 t "std_logic" 4425 preAdd 0 4426 posAdd 0 4427 o 4 4428 suid 3,0 4429 ) 4430 ) 4431 ) 4432 *150 (CptPort 4433 uid 2327,0 4434 ps "OnEdgeStrategy" 4435 shape (Triangle 4436 uid 2328,0 4437 ro 90 4438 va (VaSet 4439 vasetType 1 4440 fg "0,65535,0" 4441 ) 4442 xt "122250,25625,123000,26375" 4443 ) 4444 tg (CPTG 4445 uid 2329,0 4446 ps "CptPortTextPlaceStrategy" 4447 stg "VerticalLayoutStrategy" 4448 f (Text 4449 uid 2330,0 4450 va (VaSet 4451 ) 4452 xt "124000,25500,125200,26500" 4453 st "wr" 4454 blo "124000,26300" 4455 ) 4456 ) 4457 thePort (LogicalPort 4458 decl (Decl 4459 n "wr" 4460 t "std_logic" 4461 prec "-- int : out std_logic := '1';" 4462 preAdd 0 4463 posAdd 0 4464 o 5 4465 suid 4,0 4466 ) 4467 ) 4468 ) 4469 *151 (CptPort 4470 uid 2331,0 4471 ps "OnEdgeStrategy" 4472 shape (Triangle 4473 uid 2332,0 4474 ro 270 4475 va (VaSet 4476 vasetType 1 4477 fg "0,65535,0" 4478 ) 4479 xt "122250,26625,123000,27375" 4480 ) 4481 tg (CPTG 4482 uid 2333,0 4483 ps "CptPortTextPlaceStrategy" 4484 stg "VerticalLayoutStrategy" 4485 f (Text 4486 uid 2334,0 4487 va (VaSet 4488 ) 4489 xt "124000,26500,125200,27500" 4490 st "int" 4491 blo "124000,27300" 4492 ) 4493 t (Text 4494 uid 2335,0 4495 va (VaSet 4496 ) 4497 xt "124000,27500,125200,28500" 4498 st "'0'" 4499 blo "124000,28300" 4500 ) 4501 ) 4502 thePort (LogicalPort 4503 m 1 4504 decl (Decl 4505 n "int" 4506 t "std_logic" 4507 o 1 4508 suid 5,0 4509 i "'0'" 4510 ) 4511 ) 4512 ) 4513 ] 4514 shape (Rectangle 4515 uid 2337,0 4516 va (VaSet 4517 vasetType 1 4518 fg "0,49152,49152" 4519 lineColor "0,0,50000" 4520 lineWidth 2 4521 ) 4522 xt "123000,19000,133000,31000" 4523 ) 4524 oxt "29000,0,39000,12000" 4525 ttg (MlTextGroup 4526 uid 2338,0 4527 ps "CenterOffsetStrategy" 4528 stg "VerticalLayoutStrategy" 4529 textVec [ 4530 *152 (Text 4531 uid 2339,0 4532 va (VaSet 4533 font "Arial,8,1" 4534 ) 4535 xt "123200,31000,130900,32000" 4536 st "FACT_FAD_TB_lib" 4537 blo "123200,31800" 4538 tm "BdLibraryNameMgr" 4539 ) 4540 *153 (Text 4541 uid 2340,0 4542 va (VaSet 4543 font "Arial,8,1" 4544 ) 4545 xt "123200,32000,129800,33000" 4546 st "w5300_emulator" 4547 blo "123200,32800" 4548 tm "CptNameMgr" 4549 ) 4550 *154 (Text 4551 uid 2341,0 4552 va (VaSet 4553 font "Arial,8,1" 4554 ) 4555 xt "123200,33000,130000,34000" 4556 st "I_mainTB_w5300" 4557 blo "123200,33800" 4558 tm "InstanceNameMgr" 4559 ) 4560 ] 4561 ) 4562 ga (GenericAssociation 4563 uid 2342,0 4564 ps "EdgeToEdgeStrategy" 4565 matrix (Matrix 4566 uid 2343,0 4567 text (MLText 4568 uid 2344,0 4569 va (VaSet 4570 font "Courier New,8,0" 4571 ) 4572 xt "123000,18000,123000,18000" 4573 ) 4574 header "" 4575 ) 4576 elements [ 4577 ] 4578 ) 4579 viewicon (ZoomableIcon 4580 uid 2345,0 4581 sl 0 4582 va (VaSet 4583 vasetType 1 4584 fg "49152,49152,49152" 4585 ) 4586 xt "123250,29250,124750,30750" 4587 iconName "VhdlFileViewIcon.png" 4588 iconMaskName "VhdlFileViewIcon.msk" 4589 ftype 10 4590 ) 4591 ordering 1 4592 viewiconposition 0 4593 portVis (PortSigDisplay 4594 sIVOD 1 4595 ) 4596 archFileType "UNKNOWN" 4597 ) 4598 *155 (Wire 4556 4599 uid 286,0 4557 4600 shape (OrthoPolyLine … … 4589 4632 on &64 4590 4633 ) 4591 *15 5(Wire4634 *156 (Wire 4592 4635 uid 318,0 4593 4636 shape (OrthoPolyLine … … 4604 4647 ) 4605 4648 start &19 4606 end & 664649 end &147 4607 4650 sat 32 4608 4651 eat 32 … … 4625 4668 ) 4626 4669 ) 4627 on & 734628 ) 4629 *15 6(Wire4670 on &65 4671 ) 4672 *157 (Wire 4630 4673 uid 324,0 4631 4674 shape (OrthoPolyLine … … 4642 4685 ) 4643 4686 start &20 4644 end & 674687 end &148 4645 4688 sat 32 4646 4689 eat 32 … … 4663 4706 ) 4664 4707 ) 4665 on & 744666 ) 4667 *15 7(Wire4708 on &66 4709 ) 4710 *158 (Wire 4668 4711 uid 330,0 4669 4712 shape (OrthoPolyLine … … 4679 4722 ) 4680 4723 start &23 4681 end & 684724 end &149 4682 4725 sat 32 4683 4726 eat 32 … … 4699 4742 ) 4700 4743 ) 4701 on & 754702 ) 4703 *15 8(Wire4744 on &67 4745 ) 4746 *159 (Wire 4704 4747 uid 336,0 4705 4748 shape (OrthoPolyLine … … 4715 4758 ) 4716 4759 start &22 4717 end & 694760 end &150 4718 4761 sat 32 4719 4762 eat 32 … … 4735 4778 ) 4736 4779 ) 4737 on & 764738 ) 4739 *1 59(Wire4780 on &68 4781 ) 4782 *160 (Wire 4740 4783 uid 374,0 4741 4784 shape (OrthoPolyLine … … 4754 4797 ) 4755 4798 start &41 4756 end & 804799 end &72 4757 4800 sat 32 4758 4801 eat 32 … … 4775 4818 ) 4776 4819 ) 4777 on & 844778 ) 4779 *16 0(Wire4820 on &76 4821 ) 4822 *161 (Wire 4780 4823 uid 380,0 4781 4824 shape (OrthoPolyLine … … 4791 4834 ) 4792 4835 start &38 4793 end &7 84836 end &70 4794 4837 sat 32 4795 4838 eat 32 … … 4811 4854 ) 4812 4855 ) 4813 on & 854814 ) 4815 *16 1(Wire4856 on &77 4857 ) 4858 *162 (Wire 4816 4859 uid 386,0 4817 4860 shape (OrthoPolyLine … … 4827 4870 ) 4828 4871 start &39 4829 end &7 94872 end &71 4830 4873 sat 32 4831 4874 eat 32 … … 4847 4890 ) 4848 4891 ) 4849 on & 864850 ) 4851 *16 2(Wire4892 on &78 4893 ) 4894 *163 (Wire 4852 4895 uid 426,0 4853 4896 shape (OrthoPolyLine … … 4862 4905 ] 4863 4906 ) 4864 start &8 84907 start &80 4865 4908 end &15 4866 4909 sat 32 … … 4882 4925 ) 4883 4926 ) 4884 on & 924885 ) 4886 *16 3(Wire4927 on &84 4928 ) 4929 *164 (Wire 4887 4930 uid 442,0 4888 4931 shape (OrthoPolyLine … … 4901 4944 ) 4902 4945 start &17 4903 end & 934946 end &85 4904 4947 sat 32 4905 4948 eat 2 … … 4922 4965 ) 4923 4966 ) 4924 on & 974925 ) 4926 *16 4(Wire4967 on &89 4968 ) 4969 *165 (Wire 4927 4970 uid 450,0 4928 4971 shape (OrthoPolyLine … … 4941 4984 ) 4942 4985 start &18 4943 end & 934986 end &85 4944 4987 sat 32 4945 4988 eat 2 … … 4962 5005 ) 4963 5006 ) 4964 on &9 84965 ) 4966 *16 5(Wire5007 on &90 5008 ) 5009 *166 (Wire 4967 5010 uid 530,0 4968 5011 shape (OrthoPolyLine … … 4981 5024 ) 4982 5025 start &28 4983 end & 1075026 end &99 4984 5027 sat 32 4985 5028 eat 2 … … 5002 5045 ) 5003 5046 ) 5004 on &1 115005 ) 5006 *16 6(Wire5047 on &103 5048 ) 5049 *167 (Wire 5007 5050 uid 538,0 5008 5051 shape (OrthoPolyLine … … 5021 5064 ) 5022 5065 start &29 5023 end & 1075066 end &99 5024 5067 sat 32 5025 5068 eat 2 … … 5042 5085 ) 5043 5086 ) 5044 on &1 125045 ) 5046 *16 7(Wire5087 on &104 5088 ) 5089 *168 (Wire 5047 5090 uid 546,0 5048 5091 shape (OrthoPolyLine … … 5060 5103 ) 5061 5104 start &16 5062 end & 1075105 end &99 5063 5106 sat 32 5064 5107 eat 1 … … 5080 5123 ) 5081 5124 ) 5082 on &1 135083 ) 5084 *16 8(Wire5125 on &105 5126 ) 5127 *169 (Wire 5085 5128 uid 554,0 5086 5129 shape (OrthoPolyLine … … 5095 5138 ] 5096 5139 ) 5097 start & 1075098 end & 1035140 start &99 5141 end &95 5099 5142 sat 2 5100 5143 eat 32 … … 5115 5158 ) 5116 5159 ) 5117 on &1 135118 ) 5119 *1 69(Wire5160 on &105 5161 ) 5162 *170 (Wire 5120 5163 uid 562,0 5121 5164 shape (OrthoPolyLine … … 5130 5173 ] 5131 5174 ) 5132 start & 1025133 end & 1075175 start &94 5176 end &99 5134 5177 sat 32 5135 5178 eat 1 … … 5150 5193 ) 5151 5194 ) 5152 on &1 145153 ) 5154 *17 0(Wire5195 on &106 5196 ) 5197 *171 (Wire 5155 5198 uid 570,0 5156 5199 shape (OrthoPolyLine … … 5166 5209 ] 5167 5210 ) 5168 start & 1015169 end & 1075211 start &93 5212 end &99 5170 5213 sat 32 5171 5214 eat 1 … … 5187 5230 ) 5188 5231 ) 5189 on &1 155190 ) 5191 *17 1(Wire5232 on &107 5233 ) 5234 *172 (Wire 5192 5235 uid 578,0 5193 5236 shape (OrthoPolyLine … … 5202 5245 ] 5203 5246 ) 5204 start & 1005247 start &92 5205 5248 sat 32 5206 5249 eat 16 … … 5221 5264 ) 5222 5265 ) 5223 on &1 525224 ) 5225 *17 2(Wire5266 on &144 5267 ) 5268 *173 (Wire 5226 5269 uid 769,0 5227 5270 shape (OrthoPolyLine … … 5256 5299 ) 5257 5300 ) 5258 on &1 165259 ) 5260 *17 3(Wire5301 on &108 5302 ) 5303 *174 (Wire 5261 5304 uid 777,0 5262 5305 shape (OrthoPolyLine … … 5293 5336 ) 5294 5337 ) 5295 on &1 175296 ) 5297 *17 4(Wire5338 on &109 5339 ) 5340 *175 (Wire 5298 5341 uid 785,0 5299 5342 shape (OrthoPolyLine … … 5328 5371 ) 5329 5372 ) 5330 on &11 85331 ) 5332 *17 5(Wire5373 on &110 5374 ) 5375 *176 (Wire 5333 5376 uid 793,0 5334 5377 shape (OrthoPolyLine … … 5337 5380 vasetType 3 5338 5381 ) 5339 xt "109750,27000,1 16000,27000"5382 xt "109750,27000,122250,27000" 5340 5383 pts [ 5341 "1 16000,27000"5384 "122250,27000" 5342 5385 "109750,27000" 5343 5386 ] 5344 5387 ) 5388 start &151 5345 5389 end &24 5346 sat 165390 sat 32 5347 5391 eat 32 5348 5392 st 0 … … 5363 5407 ) 5364 5408 ) 5365 on &11 95366 ) 5367 *17 6(Wire5409 on &111 5410 ) 5411 *177 (Wire 5368 5412 uid 801,0 5369 5413 shape (OrthoPolyLine … … 5398 5442 ) 5399 5443 ) 5400 on &1 205401 ) 5402 *17 7(Wire5444 on &112 5445 ) 5446 *178 (Wire 5403 5447 uid 809,0 5404 5448 shape (OrthoPolyLine … … 5433 5477 ) 5434 5478 ) 5435 on &1 215436 ) 5437 *17 8(Wire5479 on &113 5480 ) 5481 *179 (Wire 5438 5482 uid 817,0 5439 5483 shape (OrthoPolyLine … … 5442 5486 vasetType 3 5443 5487 ) 5444 xt " 109750,71000,116000,71000"5488 xt "70000,66000,80250,66000" 5445 5489 pts [ 5446 " 109750,71000"5447 " 116000,71000"5490 "80250,66000" 5491 "70000,66000" 5448 5492 ] 5449 5493 ) … … 5462 5506 va (VaSet 5463 5507 ) 5464 xt " 111000,70000,114000,71000"5508 xt "71000,65000,74000,66000" 5465 5509 st "denable" 5466 blo " 111000,70800"5510 blo "71000,65800" 5467 5511 tm "WireNameMgr" 5468 5512 ) 5469 5513 ) 5470 on &1 225471 ) 5472 *1 79(Wire5514 on &114 5515 ) 5516 *180 (Wire 5473 5517 uid 825,0 5474 5518 shape (OrthoPolyLine … … 5503 5547 ) 5504 5548 ) 5505 on &1 235506 ) 5507 *18 0(Wire5549 on &115 5550 ) 5551 *181 (Wire 5508 5552 uid 833,0 5509 5553 shape (OrthoPolyLine … … 5538 5582 ) 5539 5583 ) 5540 on &1 245541 ) 5542 *18 1(Wire5584 on &116 5585 ) 5586 *182 (Wire 5543 5587 uid 841,0 5544 5588 shape (OrthoPolyLine … … 5575 5619 ) 5576 5620 ) 5577 on &1 255578 ) 5579 *18 2(Wire5621 on &117 5622 ) 5623 *183 (Wire 5580 5624 uid 849,0 5581 5625 shape (OrthoPolyLine … … 5584 5628 vasetType 3 5585 5629 ) 5586 xt "70000,6 3000,80250,63000"5630 xt "70000,67000,80250,67000" 5587 5631 pts [ 5588 "80250,6 3000"5589 "70000,6 3000"5632 "80250,67000" 5633 "70000,67000" 5590 5634 ] 5591 5635 ) 5592 5636 start &31 5637 ss 0 5593 5638 sat 32 5594 5639 eat 16 … … 5604 5649 va (VaSet 5605 5650 ) 5606 xt "71000,6 2000,75300,63000"5651 xt "71000,66000,75300,67000" 5607 5652 st "drs_dwrite" 5608 blo "71000,6 2800"5653 blo "71000,66800" 5609 5654 tm "WireNameMgr" 5610 5655 ) 5611 5656 ) 5612 on &1 265613 ) 5614 *18 3(Wire5657 on &118 5658 ) 5659 *184 (Wire 5615 5660 uid 857,0 5616 5661 shape (OrthoPolyLine … … 5645 5690 ) 5646 5691 ) 5647 on &1 275648 ) 5649 *18 4(Wire5692 on &119 5693 ) 5694 *185 (Wire 5650 5695 uid 865,0 5651 5696 shape (OrthoPolyLine … … 5654 5699 vasetType 3 5655 5700 ) 5656 xt "70000,6 6000,80250,66000"5701 xt "70000,65000,80250,65000" 5657 5702 pts [ 5658 "80250,6 6000"5659 "70000,6 6000"5703 "80250,65000" 5704 "70000,65000" 5660 5705 ] 5661 5706 ) … … 5674 5719 va (VaSet 5675 5720 ) 5676 xt "71000,6 5000,74000,66000"5721 xt "71000,64000,74000,65000" 5677 5722 st "SRCLK" 5678 blo "71000,6 5800"5723 blo "71000,64800" 5679 5724 tm "WireNameMgr" 5680 5725 ) 5681 5726 ) 5682 on &12 85683 ) 5684 *18 5(Wire5727 on &120 5728 ) 5729 *186 (Wire 5685 5730 uid 873,0 5686 5731 shape (OrthoPolyLine … … 5715 5760 ) 5716 5761 ) 5717 on &12 95718 ) 5719 *18 6(Wire5762 on &121 5763 ) 5764 *187 (Wire 5720 5765 uid 881,0 5721 5766 shape (OrthoPolyLine … … 5750 5795 ) 5751 5796 ) 5752 on &1 305753 ) 5754 *18 7(Wire5797 on &122 5798 ) 5799 *188 (Wire 5755 5800 uid 889,0 5756 5801 shape (OrthoPolyLine … … 5785 5830 ) 5786 5831 ) 5787 on &1 315788 ) 5789 *18 8(Wire5832 on &123 5833 ) 5834 *189 (Wire 5790 5835 uid 897,0 5791 5836 shape (OrthoPolyLine … … 5820 5865 ) 5821 5866 ) 5822 on &1 325823 ) 5824 *1 89(Wire5867 on &124 5868 ) 5869 *190 (Wire 5825 5870 uid 1437,0 5826 5871 shape (OrthoPolyLine … … 5855 5900 ) 5856 5901 ) 5857 on &1 335858 ) 5859 *19 0(Wire5902 on &125 5903 ) 5904 *191 (Wire 5860 5905 uid 1445,0 5861 5906 shape (OrthoPolyLine … … 5890 5935 ) 5891 5936 ) 5892 on &1 345893 ) 5894 *19 1(Wire5937 on &126 5938 ) 5939 *192 (Wire 5895 5940 uid 1453,0 5896 5941 shape (OrthoPolyLine … … 5925 5970 ) 5926 5971 ) 5927 on &1 355928 ) 5929 *19 2(Wire5972 on &127 5973 ) 5974 *193 (Wire 5930 5975 uid 1461,0 5931 5976 shape (OrthoPolyLine … … 5960 6005 ) 5961 6006 ) 5962 on &1 365963 ) 5964 *19 3(Wire6007 on &128 6008 ) 6009 *194 (Wire 5965 6010 uid 1469,0 5966 6011 shape (OrthoPolyLine … … 5997 6042 ) 5998 6043 ) 5999 on &1 376000 ) 6001 *19 4(Wire6044 on &129 6045 ) 6046 *195 (Wire 6002 6047 uid 1477,0 6003 6048 shape (OrthoPolyLine … … 6032 6077 ) 6033 6078 ) 6034 on &13 86035 ) 6036 *19 5(Wire6079 on &130 6080 ) 6081 *196 (Wire 6037 6082 uid 1485,0 6038 6083 shape (OrthoPolyLine … … 6067 6112 ) 6068 6113 ) 6069 on &13 96070 ) 6071 *19 6(Wire6114 on &131 6115 ) 6116 *197 (Wire 6072 6117 uid 1503,0 6073 6118 shape (OrthoPolyLine … … 6104 6149 ) 6105 6150 ) 6106 on &1 446107 ) 6108 *19 7(Wire6151 on &136 6152 ) 6153 *198 (Wire 6109 6154 uid 1529,0 6110 6155 shape (OrthoPolyLine … … 6121 6166 ] 6122 6167 ) 6123 start &1 466168 start &138 6124 6169 end &49 6125 6170 sat 32 … … 6142 6187 ) 6143 6188 ) 6144 on &1 536145 ) 6146 *19 8(Wire6189 on &145 6190 ) 6191 *199 (Wire 6147 6192 uid 1533,0 6148 6193 shape (OrthoPolyLine … … 6157 6202 ] 6158 6203 ) 6159 start &1 406204 start &132 6160 6205 sat 2 6161 6206 eat 16 … … 6177 6222 ) 6178 6223 ) 6179 on &1 446180 ) 6181 * 199(Wire6224 on &136 6225 ) 6226 *200 (Wire 6182 6227 uid 1561,0 6183 6228 shape (OrthoPolyLine … … 6214 6259 ) 6215 6260 ) 6216 on &1 516217 ) 6218 *20 0(Wire6261 on &143 6262 ) 6263 *201 (Wire 6219 6264 uid 1567,0 6220 6265 shape (OrthoPolyLine … … 6229 6274 ] 6230 6275 ) 6231 start &1 406276 start &132 6232 6277 sat 2 6233 6278 eat 16 … … 6249 6294 ) 6250 6295 ) 6251 on &1 516252 ) 6253 *20 1(Wire6296 on &143 6297 ) 6298 *202 (Wire 6254 6299 uid 1684,0 6255 6300 shape (OrthoPolyLine … … 6284 6329 ) 6285 6330 ) 6286 on &1 526331 on &144 6287 6332 ) 6288 6333 ] … … 6298 6343 color "26368,26368,26368" 6299 6344 ) 6300 packageList *20 2(PackageList6345 packageList *203 (PackageList 6301 6346 uid 41,0 6302 6347 stg "VerticalLayoutStrategy" 6303 6348 textVec [ 6304 *20 3(Text6349 *204 (Text 6305 6350 uid 42,0 6306 6351 va (VaSet … … 6311 6356 blo "-87000,800" 6312 6357 ) 6313 *20 4(MLText6358 *205 (MLText 6314 6359 uid 43,0 6315 6360 va (VaSet … … 6334 6379 stg "VerticalLayoutStrategy" 6335 6380 textVec [ 6336 *20 5(Text6381 *206 (Text 6337 6382 uid 45,0 6338 6383 va (VaSet … … 6344 6389 blo "20000,800" 6345 6390 ) 6346 *20 6(Text6391 *207 (Text 6347 6392 uid 46,0 6348 6393 va (VaSet … … 6354 6399 blo "20000,1800" 6355 6400 ) 6356 *20 7(MLText6401 *208 (MLText 6357 6402 uid 47,0 6358 6403 va (VaSet … … 6364 6409 tm "BdCompilerDirectivesTextMgr" 6365 6410 ) 6366 *20 8(Text6411 *209 (Text 6367 6412 uid 48,0 6368 6413 va (VaSet … … 6374 6419 blo "20000,4800" 6375 6420 ) 6376 *2 09(MLText6421 *210 (MLText 6377 6422 uid 49,0 6378 6423 va (VaSet … … 6382 6427 tm "BdCompilerDirectivesTextMgr" 6383 6428 ) 6384 *21 0(Text6429 *211 (Text 6385 6430 uid 50,0 6386 6431 va (VaSet … … 6392 6437 blo "20000,5800" 6393 6438 ) 6394 *21 1(MLText6439 *212 (MLText 6395 6440 uid 51,0 6396 6441 va (VaSet … … 6403 6448 associable 1 6404 6449 ) 6405 windowSize "0, 22,1281,1024"6406 viewArea " 19400,45496,86243,97471"6450 windowSize "0,0,1681,1030" 6451 viewArea "59994,4224,152100,60168" 6407 6452 cachedDiagramExtent "-92000,0,146000,98000" 6408 6453 pageSetupInfo (PageSetupInfo … … 6417 6462 hasePageBreakOrigin 1 6418 6463 pageBreakOrigin "-146000,0" 6419 lastUid 2 004,06464 lastUid 2345,0 6420 6465 defaultCommentText (CommentText 6421 6466 shape (Rectangle … … 6479 6524 stg "VerticalLayoutStrategy" 6480 6525 textVec [ 6481 *21 2(Text6526 *213 (Text 6482 6527 va (VaSet 6483 6528 font "Arial,8,1" … … 6488 6533 tm "BdLibraryNameMgr" 6489 6534 ) 6490 *21 3(Text6535 *214 (Text 6491 6536 va (VaSet 6492 6537 font "Arial,8,1" … … 6497 6542 tm "BlkNameMgr" 6498 6543 ) 6499 *21 4(Text6544 *215 (Text 6500 6545 va (VaSet 6501 6546 font "Arial,8,1" … … 6548 6593 stg "VerticalLayoutStrategy" 6549 6594 textVec [ 6550 *21 5(Text6595 *216 (Text 6551 6596 va (VaSet 6552 6597 font "Arial,8,1" … … 6556 6601 blo "550,4300" 6557 6602 ) 6558 *21 6(Text6603 *217 (Text 6559 6604 va (VaSet 6560 6605 font "Arial,8,1" … … 6564 6609 blo "550,5300" 6565 6610 ) 6566 *21 7(Text6611 *218 (Text 6567 6612 va (VaSet 6568 6613 font "Arial,8,1" … … 6613 6658 stg "VerticalLayoutStrategy" 6614 6659 textVec [ 6615 *21 8(Text6660 *219 (Text 6616 6661 va (VaSet 6617 6662 font "Arial,8,1" … … 6622 6667 tm "BdLibraryNameMgr" 6623 6668 ) 6624 *2 19(Text6669 *220 (Text 6625 6670 va (VaSet 6626 6671 font "Arial,8,1" … … 6631 6676 tm "CptNameMgr" 6632 6677 ) 6633 *22 0(Text6678 *221 (Text 6634 6679 va (VaSet 6635 6680 font "Arial,8,1" … … 6685 6730 stg "VerticalLayoutStrategy" 6686 6731 textVec [ 6687 *22 1(Text6732 *222 (Text 6688 6733 va (VaSet 6689 6734 font "Arial,8,1" … … 6693 6738 blo "500,4300" 6694 6739 ) 6695 *22 2(Text6740 *223 (Text 6696 6741 va (VaSet 6697 6742 font "Arial,8,1" … … 6701 6746 blo "500,5300" 6702 6747 ) 6703 *22 3(Text6748 *224 (Text 6704 6749 va (VaSet 6705 6750 font "Arial,8,1" … … 6746 6791 stg "VerticalLayoutStrategy" 6747 6792 textVec [ 6748 *22 4(Text6793 *225 (Text 6749 6794 va (VaSet 6750 6795 font "Arial,8,1" … … 6754 6799 blo "50,4300" 6755 6800 ) 6756 *22 5(Text6801 *226 (Text 6757 6802 va (VaSet 6758 6803 font "Arial,8,1" … … 6762 6807 blo "50,5300" 6763 6808 ) 6764 *22 6(Text6809 *227 (Text 6765 6810 va (VaSet 6766 6811 font "Arial,8,1" … … 6803 6848 stg "VerticalLayoutStrategy" 6804 6849 textVec [ 6805 *22 7(Text6850 *228 (Text 6806 6851 va (VaSet 6807 6852 font "Arial,8,1" … … 6812 6857 tm "HdlTextNameMgr" 6813 6858 ) 6814 *22 8(Text6859 *229 (Text 6815 6860 va (VaSet 6816 6861 font "Arial,8,1" … … 7215 7260 stg "VerticalLayoutStrategy" 7216 7261 textVec [ 7217 *2 29(Text7262 *230 (Text 7218 7263 va (VaSet 7219 7264 font "Arial,8,1" … … 7223 7268 blo "14100,20800" 7224 7269 ) 7225 *23 0(MLText7270 *231 (MLText 7226 7271 va (VaSet 7227 7272 ) … … 7275 7320 stg "VerticalLayoutStrategy" 7276 7321 textVec [ 7277 *23 1(Text7322 *232 (Text 7278 7323 va (VaSet 7279 7324 font "Arial,8,1" … … 7283 7328 blo "14100,20800" 7284 7329 ) 7285 *23 2(MLText7330 *233 (MLText 7286 7331 va (VaSet 7287 7332 ) … … 7429 7474 suid 51,0 7430 7475 usingSuid 1 7431 emptyRow *23 3(LEmptyRow7476 emptyRow *234 (LEmptyRow 7432 7477 ) 7433 7478 uid 54,0 7434 7479 optionalChildren [ 7435 *23 4(RefLabelRowHdr7436 ) 7437 *23 5(TitleRowHdr7438 ) 7439 *23 6(FilterRowHdr7440 ) 7441 *23 7(RefLabelColHdr7480 *235 (RefLabelRowHdr 7481 ) 7482 *236 (TitleRowHdr 7483 ) 7484 *237 (FilterRowHdr 7485 ) 7486 *238 (RefLabelColHdr 7442 7487 tm "RefLabelColHdrMgr" 7443 7488 ) 7444 *23 8(RowExpandColHdr7489 *239 (RowExpandColHdr 7445 7490 tm "RowExpandColHdrMgr" 7446 7491 ) 7447 *2 39(GroupColHdr7492 *240 (GroupColHdr 7448 7493 tm "GroupColHdrMgr" 7449 7494 ) 7450 *24 0(NameColHdr7495 *241 (NameColHdr 7451 7496 tm "BlockDiagramNameColHdrMgr" 7452 7497 ) 7453 *24 1(ModeColHdr7498 *242 (ModeColHdr 7454 7499 tm "BlockDiagramModeColHdrMgr" 7455 7500 ) 7456 *24 2(TypeColHdr7501 *243 (TypeColHdr 7457 7502 tm "BlockDiagramTypeColHdrMgr" 7458 7503 ) 7459 *24 3(BoundsColHdr7504 *244 (BoundsColHdr 7460 7505 tm "BlockDiagramBoundsColHdrMgr" 7461 7506 ) 7462 *24 4(InitColHdr7507 *245 (InitColHdr 7463 7508 tm "BlockDiagramInitColHdrMgr" 7464 7509 ) 7465 *24 5(EolColHdr7510 *246 (EolColHdr 7466 7511 tm "BlockDiagramEolColHdrMgr" 7467 7512 ) 7468 *24 6(LeafLogPort7513 *247 (LeafLogPort 7469 7514 port (LogicalPort 7470 7515 m 4 … … 7480 7525 uid 340,0 7481 7526 ) 7482 *24 7(LeafLogPort7527 *248 (LeafLogPort 7483 7528 port (LogicalPort 7484 7529 m 4 … … 7493 7538 uid 342,0 7494 7539 ) 7495 *24 8(LeafLogPort7540 *249 (LeafLogPort 7496 7541 port (LogicalPort 7497 7542 m 4 … … 7506 7551 uid 344,0 7507 7552 ) 7508 *2 49(LeafLogPort7553 *250 (LeafLogPort 7509 7554 port (LogicalPort 7510 7555 m 4 … … 7519 7564 uid 346,0 7520 7565 ) 7521 *25 0(LeafLogPort7566 *251 (LeafLogPort 7522 7567 port (LogicalPort 7523 7568 m 4 … … 7532 7577 uid 348,0 7533 7578 ) 7534 *25 1(LeafLogPort7579 *252 (LeafLogPort 7535 7580 port (LogicalPort 7536 7581 m 4 … … 7545 7590 uid 404,0 7546 7591 ) 7547 *25 2(LeafLogPort7592 *253 (LeafLogPort 7548 7593 port (LogicalPort 7549 7594 m 4 … … 7557 7602 uid 406,0 7558 7603 ) 7559 *25 3(LeafLogPort7604 *254 (LeafLogPort 7560 7605 port (LogicalPort 7561 7606 m 4 … … 7571 7616 uid 408,0 7572 7617 ) 7573 *25 4(LeafLogPort7618 *255 (LeafLogPort 7574 7619 port (LogicalPort 7575 7620 m 4 … … 7585 7630 uid 456,0 7586 7631 ) 7587 *25 5(LeafLogPort7632 *256 (LeafLogPort 7588 7633 port (LogicalPort 7589 7634 m 4 … … 7600 7645 uid 458,0 7601 7646 ) 7602 *25 6(LeafLogPort7647 *257 (LeafLogPort 7603 7648 port (LogicalPort 7604 7649 m 4 … … 7613 7658 uid 460,0 7614 7659 ) 7615 *25 7(LeafLogPort7660 *258 (LeafLogPort 7616 7661 port (LogicalPort 7617 7662 m 4 … … 7626 7671 uid 584,0 7627 7672 ) 7628 *25 8(LeafLogPort7673 *259 (LeafLogPort 7629 7674 port (LogicalPort 7630 7675 m 4 … … 7638 7683 uid 586,0 7639 7684 ) 7640 *2 59(LeafLogPort7685 *260 (LeafLogPort 7641 7686 port (LogicalPort 7642 7687 m 4 … … 7652 7697 uid 588,0 7653 7698 ) 7654 *26 0(LeafLogPort7699 *261 (LeafLogPort 7655 7700 port (LogicalPort 7656 7701 m 4 … … 7666 7711 uid 590,0 7667 7712 ) 7668 *26 1(LeafLogPort7713 *262 (LeafLogPort 7669 7714 port (LogicalPort 7670 7715 m 4 … … 7681 7726 uid 592,0 7682 7727 ) 7683 *26 2(LeafLogPort7728 *263 (LeafLogPort 7684 7729 port (LogicalPort 7685 7730 m 4 … … 7694 7739 uid 903,0 7695 7740 ) 7696 *26 3(LeafLogPort7741 *264 (LeafLogPort 7697 7742 port (LogicalPort 7698 7743 m 4 … … 7709 7754 uid 905,0 7710 7755 ) 7711 *26 4(LeafLogPort7756 *265 (LeafLogPort 7712 7757 port (LogicalPort 7713 7758 m 4 … … 7722 7767 uid 907,0 7723 7768 ) 7724 *265 (LeafLogPort7725 port (LogicalPort7726 m 47727 decl (Decl7728 n "wiz_int"7729 t "std_logic"7730 o 247731 suid 26,07732 )7733 )7734 uid 909,07735 )7736 7769 *266 (LeafLogPort 7737 7770 port (LogicalPort 7738 7771 m 4 7739 7772 decl (Decl 7740 n " dac_cs"7741 t "std_logic" 7742 o 2 57743 suid 2 7,07744 ) 7745 ) 7746 uid 9 11,07773 n "wiz_int" 7774 t "std_logic" 7775 o 24 7776 suid 26,0 7777 ) 7778 ) 7779 uid 909,0 7747 7780 ) 7748 7781 *267 (LeafLogPort … … 7750 7783 m 4 7751 7784 decl (Decl 7785 n "dac_cs" 7786 t "std_logic" 7787 o 25 7788 suid 27,0 7789 ) 7790 ) 7791 uid 911,0 7792 ) 7793 *268 (LeafLogPort 7794 port (LogicalPort 7795 m 4 7796 decl (Decl 7752 7797 n "mosi" 7753 7798 t "std_logic" … … 7759 7804 uid 913,0 7760 7805 ) 7761 *26 8(LeafLogPort7806 *269 (LeafLogPort 7762 7807 port (LogicalPort 7763 7808 m 4 … … 7774 7819 uid 915,0 7775 7820 ) 7776 *269 (LeafLogPort7777 port (LogicalPort7778 m 47779 decl (Decl7780 n "CLK_25_PS"7781 t "std_logic"7782 o 287783 suid 30,07784 )7785 )7786 uid 917,07787 )7788 7821 *270 (LeafLogPort 7789 7822 port (LogicalPort 7790 7823 m 4 7791 7824 decl (Decl 7825 n "CLK_25_PS" 7826 t "std_logic" 7827 o 28 7828 suid 30,0 7829 ) 7830 ) 7831 uid 917,0 7832 ) 7833 *271 (LeafLogPort 7834 port (LogicalPort 7835 m 4 7836 decl (Decl 7792 7837 n "CLK_50" 7793 7838 t "std_logic" … … 7798 7843 uid 919,0 7799 7844 ) 7800 *27 1(LeafLogPort7845 *272 (LeafLogPort 7801 7846 port (LogicalPort 7802 7847 m 4 … … 7812 7857 uid 921,0 7813 7858 ) 7814 *27 2(LeafLogPort7859 *273 (LeafLogPort 7815 7860 port (LogicalPort 7816 7861 m 4 … … 7825 7870 uid 923,0 7826 7871 ) 7827 *27 3(LeafLogPort7872 *274 (LeafLogPort 7828 7873 port (LogicalPort 7829 7874 m 4 … … 7838 7883 uid 925,0 7839 7884 ) 7840 *27 4(LeafLogPort7885 *275 (LeafLogPort 7841 7886 port (LogicalPort 7842 7887 m 4 … … 7851 7896 uid 927,0 7852 7897 ) 7853 *275 (LeafLogPort7854 port (LogicalPort7855 m 47856 decl (Decl7857 n "SROUT_in_0"7858 t "std_logic"7859 o 307860 suid 36,07861 )7862 )7863 uid 929,07864 )7865 7898 *276 (LeafLogPort 7866 7899 port (LogicalPort 7867 7900 m 4 7868 7901 decl (Decl 7869 n "SROUT_in_ 1"7870 t "std_logic" 7871 o 3 17872 suid 3 7,07873 ) 7874 ) 7875 uid 9 31,07902 n "SROUT_in_0" 7903 t "std_logic" 7904 o 30 7905 suid 36,0 7906 ) 7907 ) 7908 uid 929,0 7876 7909 ) 7877 7910 *277 (LeafLogPort … … 7879 7912 m 4 7880 7913 decl (Decl 7881 n "SROUT_in_ 2"7882 t "std_logic" 7883 o 3 27884 suid 3 8,07885 ) 7886 ) 7887 uid 93 3,07914 n "SROUT_in_1" 7915 t "std_logic" 7916 o 31 7917 suid 37,0 7918 ) 7919 ) 7920 uid 931,0 7888 7921 ) 7889 7922 *278 (LeafLogPort … … 7891 7924 m 4 7892 7925 decl (Decl 7893 n "SROUT_in_ 3"7894 t "std_logic" 7895 o 3 37896 suid 3 9,07897 ) 7898 ) 7899 uid 93 5,07926 n "SROUT_in_2" 7927 t "std_logic" 7928 o 32 7929 suid 38,0 7930 ) 7931 ) 7932 uid 933,0 7900 7933 ) 7901 7934 *279 (LeafLogPort … … 7903 7936 m 4 7904 7937 decl (Decl 7938 n "SROUT_in_3" 7939 t "std_logic" 7940 o 33 7941 suid 39,0 7942 ) 7943 ) 7944 uid 935,0 7945 ) 7946 *280 (LeafLogPort 7947 port (LogicalPort 7948 m 4 7949 decl (Decl 7905 7950 n "SRIN_out" 7906 7951 t "std_logic" … … 7912 7957 uid 1541,0 7913 7958 ) 7914 *280 (LeafLogPort7915 port (LogicalPort7916 m 47917 decl (Decl7918 n "amber"7919 t "std_logic"7920 o 357921 suid 41,07922 )7923 )7924 uid 1543,07925 )7926 7959 *281 (LeafLogPort 7927 7960 port (LogicalPort 7928 7961 m 4 7929 7962 decl (Decl 7930 n " red"7931 t "std_logic" 7932 o 3 67933 suid 4 2,07934 ) 7935 ) 7936 uid 154 5,07963 n "amber" 7964 t "std_logic" 7965 o 35 7966 suid 41,0 7967 ) 7968 ) 7969 uid 1543,0 7937 7970 ) 7938 7971 *282 (LeafLogPort … … 7940 7973 m 4 7941 7974 decl (Decl 7975 n "red" 7976 t "std_logic" 7977 o 36 7978 suid 42,0 7979 ) 7980 ) 7981 uid 1545,0 7982 ) 7983 *283 (LeafLogPort 7984 port (LogicalPort 7985 m 4 7986 decl (Decl 7942 7987 n "green" 7943 7988 t "std_logic" … … 7948 7993 uid 1547,0 7949 7994 ) 7950 *28 3(LeafLogPort7995 *284 (LeafLogPort 7951 7996 port (LogicalPort 7952 7997 m 4 … … 7961 8006 uid 1549,0 7962 8007 ) 7963 *28 4(LeafLogPort8008 *285 (LeafLogPort 7964 8009 port (LogicalPort 7965 8010 m 4 … … 7974 8019 uid 1551,0 7975 8020 ) 7976 *28 5(LeafLogPort8021 *286 (LeafLogPort 7977 8022 port (LogicalPort 7978 8023 m 4 … … 7986 8031 uid 1553,0 7987 8032 ) 7988 *28 6(LeafLogPort8033 *287 (LeafLogPort 7989 8034 port (LogicalPort 7990 8035 m 4 … … 7999 8044 uid 1555,0 8000 8045 ) 8001 *28 7(LeafLogPort8046 *288 (LeafLogPort 8002 8047 port (LogicalPort 8003 8048 m 4 … … 8013 8058 uid 1575,0 8014 8059 ) 8015 *28 8(LeafLogPort8060 *289 (LeafLogPort 8016 8061 port (LogicalPort 8017 8062 lang 2 … … 8026 8071 uid 1690,0 8027 8072 ) 8028 *2 89(LeafLogPort8073 *290 (LeafLogPort 8029 8074 port (LogicalPort 8030 8075 m 4 … … 8046 8091 uid 67,0 8047 8092 optionalChildren [ 8048 *29 0(Sheet8093 *291 (Sheet 8049 8094 sheetRow (SheetRow 8050 8095 headerVa (MVa … … 8063 8108 font "Tahoma,10,0" 8064 8109 ) 8065 emptyMRCItem *29 1(MRCItem8066 litem &23 38110 emptyMRCItem *292 (MRCItem 8111 litem &234 8067 8112 pos 44 8068 8113 dimension 20 … … 8070 8115 uid 69,0 8071 8116 optionalChildren [ 8072 *29 2(MRCItem8073 litem &23 48117 *293 (MRCItem 8118 litem &235 8074 8119 pos 0 8075 8120 dimension 20 8076 8121 uid 70,0 8077 8122 ) 8078 *29 3(MRCItem8079 litem &23 58123 *294 (MRCItem 8124 litem &236 8080 8125 pos 1 8081 8126 dimension 23 8082 8127 uid 71,0 8083 8128 ) 8084 *29 4(MRCItem8085 litem &23 68129 *295 (MRCItem 8130 litem &237 8086 8131 pos 2 8087 8132 hidden 1 … … 8089 8134 uid 72,0 8090 8135 ) 8091 *29 5(MRCItem8092 litem &24 68136 *296 (MRCItem 8137 litem &247 8093 8138 pos 0 8094 8139 dimension 20 8095 8140 uid 341,0 8096 8141 ) 8097 *29 6(MRCItem8098 litem &24 78142 *297 (MRCItem 8143 litem &248 8099 8144 pos 1 8100 8145 dimension 20 8101 8146 uid 343,0 8102 8147 ) 8103 *29 7(MRCItem8104 litem &24 88148 *298 (MRCItem 8149 litem &249 8105 8150 pos 2 8106 8151 dimension 20 8107 8152 uid 345,0 8108 8153 ) 8109 *29 8(MRCItem8110 litem &2 498154 *299 (MRCItem 8155 litem &250 8111 8156 pos 3 8112 8157 dimension 20 8113 8158 uid 347,0 8114 8159 ) 8115 * 299(MRCItem8116 litem &25 08160 *300 (MRCItem 8161 litem &251 8117 8162 pos 4 8118 8163 dimension 20 8119 8164 uid 349,0 8120 8165 ) 8121 *30 0(MRCItem8122 litem &25 18166 *301 (MRCItem 8167 litem &252 8123 8168 pos 5 8124 8169 dimension 20 8125 8170 uid 405,0 8126 8171 ) 8127 *30 1(MRCItem8128 litem &25 28172 *302 (MRCItem 8173 litem &253 8129 8174 pos 6 8130 8175 dimension 20 8131 8176 uid 407,0 8132 8177 ) 8133 *30 2(MRCItem8134 litem &25 38178 *303 (MRCItem 8179 litem &254 8135 8180 pos 7 8136 8181 dimension 20 8137 8182 uid 409,0 8138 8183 ) 8139 *30 3(MRCItem8140 litem &25 48184 *304 (MRCItem 8185 litem &255 8141 8186 pos 8 8142 8187 dimension 20 8143 8188 uid 457,0 8144 8189 ) 8145 *30 4(MRCItem8146 litem &25 58190 *305 (MRCItem 8191 litem &256 8147 8192 pos 9 8148 8193 dimension 20 8149 8194 uid 459,0 8150 8195 ) 8151 *30 5(MRCItem8152 litem &25 68196 *306 (MRCItem 8197 litem &257 8153 8198 pos 10 8154 8199 dimension 20 8155 8200 uid 461,0 8156 8201 ) 8157 *30 6(MRCItem8158 litem &25 78202 *307 (MRCItem 8203 litem &258 8159 8204 pos 11 8160 8205 dimension 20 8161 8206 uid 585,0 8162 8207 ) 8163 *30 7(MRCItem8164 litem &25 88208 *308 (MRCItem 8209 litem &259 8165 8210 pos 12 8166 8211 dimension 20 8167 8212 uid 587,0 8168 8213 ) 8169 *30 8(MRCItem8170 litem &2 598214 *309 (MRCItem 8215 litem &260 8171 8216 pos 13 8172 8217 dimension 20 8173 8218 uid 589,0 8174 8219 ) 8175 *3 09(MRCItem8176 litem &26 08220 *310 (MRCItem 8221 litem &261 8177 8222 pos 14 8178 8223 dimension 20 8179 8224 uid 591,0 8180 8225 ) 8181 *31 0(MRCItem8182 litem &26 18226 *311 (MRCItem 8227 litem &262 8183 8228 pos 15 8184 8229 dimension 20 8185 8230 uid 593,0 8186 8231 ) 8187 *31 1(MRCItem8188 litem &26 28232 *312 (MRCItem 8233 litem &263 8189 8234 pos 16 8190 8235 dimension 20 8191 8236 uid 904,0 8192 8237 ) 8193 *31 2(MRCItem8194 litem &26 38238 *313 (MRCItem 8239 litem &264 8195 8240 pos 17 8196 8241 dimension 20 8197 8242 uid 906,0 8198 8243 ) 8199 *31 3(MRCItem8200 litem &26 48244 *314 (MRCItem 8245 litem &265 8201 8246 pos 18 8202 8247 dimension 20 8203 8248 uid 908,0 8204 8249 ) 8205 *31 4(MRCItem8206 litem &26 58250 *315 (MRCItem 8251 litem &266 8207 8252 pos 19 8208 8253 dimension 20 8209 8254 uid 910,0 8210 8255 ) 8211 *31 5(MRCItem8212 litem &26 68256 *316 (MRCItem 8257 litem &267 8213 8258 pos 20 8214 8259 dimension 20 8215 8260 uid 912,0 8216 8261 ) 8217 *31 6(MRCItem8218 litem &26 78262 *317 (MRCItem 8263 litem &268 8219 8264 pos 21 8220 8265 dimension 20 8221 8266 uid 914,0 8222 8267 ) 8223 *31 7(MRCItem8224 litem &26 88268 *318 (MRCItem 8269 litem &269 8225 8270 pos 22 8226 8271 dimension 20 8227 8272 uid 916,0 8228 8273 ) 8229 *31 8(MRCItem8230 litem &2 698274 *319 (MRCItem 8275 litem &270 8231 8276 pos 23 8232 8277 dimension 20 8233 8278 uid 918,0 8234 8279 ) 8235 *3 19(MRCItem8236 litem &27 08280 *320 (MRCItem 8281 litem &271 8237 8282 pos 24 8238 8283 dimension 20 8239 8284 uid 920,0 8240 8285 ) 8241 *32 0(MRCItem8242 litem &27 18286 *321 (MRCItem 8287 litem &272 8243 8288 pos 25 8244 8289 dimension 20 8245 8290 uid 922,0 8246 8291 ) 8247 *32 1(MRCItem8248 litem &27 28292 *322 (MRCItem 8293 litem &273 8249 8294 pos 26 8250 8295 dimension 20 8251 8296 uid 924,0 8252 8297 ) 8253 *32 2(MRCItem8254 litem &27 38298 *323 (MRCItem 8299 litem &274 8255 8300 pos 27 8256 8301 dimension 20 8257 8302 uid 926,0 8258 8303 ) 8259 *32 3(MRCItem8260 litem &27 48304 *324 (MRCItem 8305 litem &275 8261 8306 pos 28 8262 8307 dimension 20 8263 8308 uid 928,0 8264 8309 ) 8265 *32 4(MRCItem8266 litem &27 58310 *325 (MRCItem 8311 litem &276 8267 8312 pos 29 8268 8313 dimension 20 8269 8314 uid 930,0 8270 8315 ) 8271 *32 5(MRCItem8272 litem &27 68316 *326 (MRCItem 8317 litem &277 8273 8318 pos 30 8274 8319 dimension 20 8275 8320 uid 932,0 8276 8321 ) 8277 *32 6(MRCItem8278 litem &27 78322 *327 (MRCItem 8323 litem &278 8279 8324 pos 31 8280 8325 dimension 20 8281 8326 uid 934,0 8282 8327 ) 8283 *32 7(MRCItem8284 litem &27 88328 *328 (MRCItem 8329 litem &279 8285 8330 pos 32 8286 8331 dimension 20 8287 8332 uid 936,0 8288 8333 ) 8289 *32 8(MRCItem8290 litem &2 798334 *329 (MRCItem 8335 litem &280 8291 8336 pos 33 8292 8337 dimension 20 8293 8338 uid 1542,0 8294 8339 ) 8295 *3 29(MRCItem8296 litem &28 08340 *330 (MRCItem 8341 litem &281 8297 8342 pos 34 8298 8343 dimension 20 8299 8344 uid 1544,0 8300 8345 ) 8301 *33 0(MRCItem8302 litem &28 18346 *331 (MRCItem 8347 litem &282 8303 8348 pos 35 8304 8349 dimension 20 8305 8350 uid 1546,0 8306 8351 ) 8307 *33 1(MRCItem8308 litem &28 28352 *332 (MRCItem 8353 litem &283 8309 8354 pos 36 8310 8355 dimension 20 8311 8356 uid 1548,0 8312 8357 ) 8313 *33 2(MRCItem8314 litem &28 38358 *333 (MRCItem 8359 litem &284 8315 8360 pos 37 8316 8361 dimension 20 8317 8362 uid 1550,0 8318 8363 ) 8319 *33 3(MRCItem8320 litem &28 48364 *334 (MRCItem 8365 litem &285 8321 8366 pos 38 8322 8367 dimension 20 8323 8368 uid 1552,0 8324 8369 ) 8325 *33 4(MRCItem8326 litem &28 58370 *335 (MRCItem 8371 litem &286 8327 8372 pos 39 8328 8373 dimension 20 8329 8374 uid 1554,0 8330 8375 ) 8331 *33 5(MRCItem8332 litem &28 68376 *336 (MRCItem 8377 litem &287 8333 8378 pos 40 8334 8379 dimension 20 8335 8380 uid 1556,0 8336 8381 ) 8337 *33 6(MRCItem8338 litem &28 78382 *337 (MRCItem 8383 litem &288 8339 8384 pos 41 8340 8385 dimension 20 8341 8386 uid 1576,0 8342 8387 ) 8343 *33 7(MRCItem8344 litem &28 88388 *338 (MRCItem 8389 litem &289 8345 8390 pos 42 8346 8391 dimension 20 8347 8392 uid 1691,0 8348 8393 ) 8349 *33 8(MRCItem8350 litem &2 898394 *339 (MRCItem 8395 litem &290 8351 8396 pos 43 8352 8397 dimension 20 … … 8364 8409 uid 73,0 8365 8410 optionalChildren [ 8366 *3 39(MRCItem8367 litem &23 78411 *340 (MRCItem 8412 litem &238 8368 8413 pos 0 8369 8414 dimension 20 8370 8415 uid 74,0 8371 8416 ) 8372 *34 0(MRCItem8373 litem &2 398417 *341 (MRCItem 8418 litem &240 8374 8419 pos 1 8375 8420 dimension 50 8376 8421 uid 75,0 8377 8422 ) 8378 *34 1(MRCItem8379 litem &24 08423 *342 (MRCItem 8424 litem &241 8380 8425 pos 2 8381 8426 dimension 100 8382 8427 uid 76,0 8383 8428 ) 8384 *34 2(MRCItem8385 litem &24 18429 *343 (MRCItem 8430 litem &242 8386 8431 pos 3 8387 8432 dimension 50 8388 8433 uid 77,0 8389 8434 ) 8390 *34 3(MRCItem8391 litem &24 28435 *344 (MRCItem 8436 litem &243 8392 8437 pos 4 8393 8438 dimension 100 8394 8439 uid 78,0 8395 8440 ) 8396 *34 4(MRCItem8397 litem &24 38441 *345 (MRCItem 8442 litem &244 8398 8443 pos 5 8399 8444 dimension 100 8400 8445 uid 79,0 8401 8446 ) 8402 *34 5(MRCItem8403 litem &24 48447 *346 (MRCItem 8448 litem &245 8404 8449 pos 6 8405 8450 dimension 50 8406 8451 uid 80,0 8407 8452 ) 8408 *34 6(MRCItem8409 litem &24 58453 *347 (MRCItem 8454 litem &246 8410 8455 pos 7 8411 8456 dimension 80 … … 8427 8472 genericsCommonDM (CommonDM 8428 8473 ldm (LogicalDM 8429 emptyRow *34 7(LEmptyRow8474 emptyRow *348 (LEmptyRow 8430 8475 ) 8431 8476 uid 83,0 8432 8477 optionalChildren [ 8433 *34 8(RefLabelRowHdr8434 ) 8435 *3 49(TitleRowHdr8436 ) 8437 *35 0(FilterRowHdr8438 ) 8439 *35 1(RefLabelColHdr8478 *349 (RefLabelRowHdr 8479 ) 8480 *350 (TitleRowHdr 8481 ) 8482 *351 (FilterRowHdr 8483 ) 8484 *352 (RefLabelColHdr 8440 8485 tm "RefLabelColHdrMgr" 8441 8486 ) 8442 *35 2(RowExpandColHdr8487 *353 (RowExpandColHdr 8443 8488 tm "RowExpandColHdrMgr" 8444 8489 ) 8445 *35 3(GroupColHdr8490 *354 (GroupColHdr 8446 8491 tm "GroupColHdrMgr" 8447 8492 ) 8448 *35 4(NameColHdr8493 *355 (NameColHdr 8449 8494 tm "GenericNameColHdrMgr" 8450 8495 ) 8451 *35 5(TypeColHdr8496 *356 (TypeColHdr 8452 8497 tm "GenericTypeColHdrMgr" 8453 8498 ) 8454 *35 6(InitColHdr8499 *357 (InitColHdr 8455 8500 tm "GenericValueColHdrMgr" 8456 8501 ) 8457 *35 7(PragmaColHdr8502 *358 (PragmaColHdr 8458 8503 tm "GenericPragmaColHdrMgr" 8459 8504 ) 8460 *35 8(EolColHdr8505 *359 (EolColHdr 8461 8506 tm "GenericEolColHdrMgr" 8462 8507 ) … … 8468 8513 uid 95,0 8469 8514 optionalChildren [ 8470 *3 59(Sheet8515 *360 (Sheet 8471 8516 sheetRow (SheetRow 8472 8517 headerVa (MVa … … 8485 8530 font "Tahoma,10,0" 8486 8531 ) 8487 emptyMRCItem *360 (MRCItem 8488 litem &347 8489 pos 0 8490 dimension 20 8491 ) 8492 uid 97,0 8493 optionalChildren [ 8494 *361 (MRCItem 8532 emptyMRCItem *361 (MRCItem 8495 8533 litem &348 8496 8534 pos 0 8497 8535 dimension 20 8498 uid 98,0 8499 ) 8536 ) 8537 uid 97,0 8538 optionalChildren [ 8500 8539 *362 (MRCItem 8501 8540 litem &349 8541 pos 0 8542 dimension 20 8543 uid 98,0 8544 ) 8545 *363 (MRCItem 8546 litem &350 8502 8547 pos 1 8503 8548 dimension 23 8504 8549 uid 99,0 8505 8550 ) 8506 *36 3(MRCItem8507 litem &35 08551 *364 (MRCItem 8552 litem &351 8508 8553 pos 2 8509 8554 hidden 1 … … 8522 8567 uid 101,0 8523 8568 optionalChildren [ 8524 *36 4(MRCItem8525 litem &35 18569 *365 (MRCItem 8570 litem &352 8526 8571 pos 0 8527 8572 dimension 20 8528 8573 uid 102,0 8529 8574 ) 8530 *36 5(MRCItem8531 litem &35 38575 *366 (MRCItem 8576 litem &354 8532 8577 pos 1 8533 8578 dimension 50 8534 8579 uid 103,0 8535 8580 ) 8536 *36 6(MRCItem8537 litem &35 48581 *367 (MRCItem 8582 litem &355 8538 8583 pos 2 8539 8584 dimension 100 8540 8585 uid 104,0 8541 8586 ) 8542 *36 7(MRCItem8543 litem &35 58587 *368 (MRCItem 8588 litem &356 8544 8589 pos 3 8545 8590 dimension 100 8546 8591 uid 105,0 8547 8592 ) 8548 *36 8(MRCItem8549 litem &35 68593 *369 (MRCItem 8594 litem &357 8550 8595 pos 4 8551 8596 dimension 50 8552 8597 uid 106,0 8553 8598 ) 8554 *3 69(MRCItem8555 litem &35 78599 *370 (MRCItem 8600 litem &358 8556 8601 pos 5 8557 8602 dimension 50 8558 8603 uid 107,0 8559 8604 ) 8560 *37 0(MRCItem8561 litem &35 88605 *371 (MRCItem 8606 litem &359 8562 8607 pos 6 8563 8608 dimension 80 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/w5300_emulator/symbol.sb
r10180 r10225 26 26 ) 27 27 version "24.1" 28 appVersion "2009. 2 (Build 10)"28 appVersion "2009.1 (Build 12)" 29 29 model (Symbol 30 30 commonDM (CommonDM … … 133 133 o 1 134 134 suid 5,0 135 i "' 0'"135 i "'1'" 136 136 ) 137 137 ) … … 447 447 (vvPair 448 448 variable "HDLDir" 449 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"449 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" 450 450 ) 451 451 (vvPair 452 452 variable "HDSDir" 453 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"453 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 454 454 ) 455 455 (vvPair 456 456 variable "SideDataDesignDir" 457 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.info"457 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.info" 458 458 ) 459 459 (vvPair 460 460 variable "SideDataUserDir" 461 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.user"461 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.user" 462 462 ) 463 463 (vvPair 464 464 variable "SourceDir" 465 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"465 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 466 466 ) 467 467 (vvPair … … 479 479 (vvPair 480 480 variable "d" 481 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"481 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator" 482 482 ) 483 483 (vvPair 484 484 variable "d_logical" 485 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"485 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator" 486 486 ) 487 487 (vvPair 488 488 variable "date" 489 value " 25.02.2011"489 value "02.03.2011" 490 490 ) 491 491 (vvPair 492 492 variable "day" 493 value " Fr"493 value "Mi" 494 494 ) 495 495 (vvPair 496 496 variable "day_long" 497 value " Freitag"497 value "Mittwoch" 498 498 ) 499 499 (vvPair 500 500 variable "dd" 501 value " 25"501 value "02" 502 502 ) 503 503 (vvPair … … 527 527 (vvPair 528 528 variable "host" 529 value " E5B-LABOR6"529 value "IHP110" 530 530 ) 531 531 (vvPair … … 559 559 (vvPair 560 560 variable "mm" 561 value "0 2"561 value "03" 562 562 ) 563 563 (vvPair … … 567 567 (vvPair 568 568 variable "month" 569 value " Feb"569 value "Mrz" 570 570 ) 571 571 (vvPair 572 572 variable "month_long" 573 value " Februar"573 value "März" 574 574 ) 575 575 (vvPair 576 576 variable "p" 577 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"577 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb" 578 578 ) 579 579 (vvPair 580 580 variable "p_logical" 581 value " C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"581 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb" 582 582 ) 583 583 (vvPair … … 603 603 (vvPair 604 604 variable "task_ModelSimPath" 605 value " C:\\modeltech_6.6a\\win32"605 value "D:\\modeltech_6.5e\\win32" 606 606 ) 607 607 (vvPair … … 635 635 (vvPair 636 636 variable "time" 637 value "1 3:51:16"637 value "15:31:22" 638 638 ) 639 639 (vvPair … … 643 643 (vvPair 644 644 variable "user" 645 value "d neise"645 value "daqct3" 646 646 ) 647 647 (vvPair 648 648 variable "version" 649 value "2009. 2 (Build 10)"649 value "2009.1 (Build 12)" 650 650 ) 651 651 (vvPair … … 887 887 ) 888 888 xt "36800,2500,38000,3500" 889 st "' 0'"889 st "'1'" 890 890 ju 2 891 891 blo "38000,3300" … … 899 899 ) 900 900 xt "2000,11000,20000,11800" 901 st "int : OUT std_logic := ' 0' ;901 st "int : OUT std_logic := '1' ; 902 902 " 903 903 ) … … 909 909 o 1 910 910 suid 5,0 911 i "' 0'"911 i "'1'" 912 912 ) 913 913 ) … … 995 995 bg "0,0,32768" 996 996 ) 997 xt "33200,20000,4 6200,21000"997 xt "33200,20000,43000,21000" 998 998 st " 999 999 by %user on %dd %month %year … … 1612 1612 ) 1613 1613 ) 1614 lastUid 170,01614 lastUid 262,0 1615 1615 activeModelName "Symbol:CDM" 1616 1616 )
Note:
See TracChangeset
for help on using the changeset viewer.