Ignore:
Timestamp:
05/27/11 17:51:42 (14 years ago)
Author:
neise
Message:
one week of changes in zurich :-(
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib
Files:
7 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/fad_main_tb_struct.vhd

    r10225 r10883  
    33-- Created:
    44--          by - daqct3.UNKNOWN (IHP110)
    5 --          at - 18:33:01 02.03.2011
     5--          at - 22:55:01 26.05.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    2121-- Created:
    2222--          by - daqct3.UNKNOWN (IHP110)
    23 --          at - 18:33:01 02.03.2011
     23--          at - 22:55:01 26.05.2011
    2424--
    2525-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
     
    4747   SIGNAL CLK_25_PS             : std_logic;
    4848   SIGNAL CLK_50                : std_logic;
     49   -- for debugging
     50   SIGNAL DG_state              : std_logic_vector(7 DOWNTO 0);
    4951   SIGNAL D_T_in                : std_logic_vector(1 DOWNTO 0);
     52   SIGNAL FTM_RS485_rx_d        : std_logic;
     53   SIGNAL FTM_RS485_rx_en       : std_logic;
     54   SIGNAL FTM_RS485_tx_d        : std_logic;
     55   SIGNAL FTM_RS485_tx_en       : std_logic;
    5056   SIGNAL REF_CLK               : STD_LOGIC                    := '0';
    5157   SIGNAL RSRLOAD               : std_logic                    := '0';
     
    6975   SIGNAL crate_id              : std_logic_vector(1 DOWNTO 0);
    7076   SIGNAL dac_cs                : std_logic;
     77   SIGNAL debug_data_ram_empty  : std_logic;
     78   SIGNAL debug_data_valid      : std_logic;
    7179   SIGNAL denable               : std_logic                    := '0';                -- default domino wave off
    7280   SIGNAL drs_channel_id        : std_logic_vector(3 DOWNTO 0) := (others => '0');
     
    7482   SIGNAL green                 : std_logic;
    7583   SIGNAL led                   : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
     84   SIGNAL mem_manager_state     : std_logic_vector(3 DOWNTO 0);                       -- state is encoded here ... useful for debugging.
    7685   SIGNAL mosi                  : std_logic                    := '0';
    7786   SIGNAL plllock_in            : std_logic_vector(3 DOWNTO 0);                       -- high level, if dominowave is running and DRS PLL locked
     
    8089   SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0);
    8190   SIGNAL sio                   : std_logic;
     91   SIGNAL socket_tx_free_out    : std_logic_vector(16 DOWNTO 0);                      -- 17bit value .. that's true
    8292   SIGNAL trigger               : std_logic;
     93   SIGNAL trigger_veto          : std_logic                    := '1';
     94   SIGNAL w5300_state           : std_logic_vector(7 DOWNTO 0);                       -- state is encoded here ... useful for debugging.
    8395   SIGNAL wiz_addr              : std_logic_vector(9 DOWNTO 0);
    8496   SIGNAL wiz_cs                : std_logic                    := '1';
     
    98110      CLK                   : IN     std_logic ;
    99111      D_T_in                : IN     std_logic_vector (1 DOWNTO 0);
     112      FTM_RS485_rx_d        : IN     std_logic ;
    100113      SROUT_in_0            : IN     std_logic ;
    101114      SROUT_in_1            : IN     std_logic ;
     
    113126      CLK_25_PS             : OUT    std_logic ;
    114127      CLK_50                : OUT    std_logic ;
     128      -- for debugging
     129      DG_state              : OUT    std_logic_vector (7 DOWNTO 0);
     130      FTM_RS485_rx_en       : OUT    std_logic ;
     131      FTM_RS485_tx_d        : OUT    std_logic ;
     132      FTM_RS485_tx_en       : OUT    std_logic ;
    115133      RSRLOAD               : OUT    std_logic                     := '0';
    116134      SRCLK                 : OUT    std_logic                     := '0';
     
    122140      counter_result        : OUT    std_logic_vector (11 DOWNTO 0);
    123141      dac_cs                : OUT    std_logic ;
     142      debug_data_ram_empty  : OUT    std_logic ;
     143      debug_data_valid      : OUT    std_logic ;
    124144      denable               : OUT    std_logic                     := '0';           -- default domino wave off
    125145      drs_channel_id        : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
     
    127147      green                 : OUT    std_logic ;
    128148      led                   : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
     149      mem_manager_state     : OUT    std_logic_vector (3 DOWNTO 0);                  -- state is encoded here ... useful for debugging.
    129150      mosi                  : OUT    std_logic                     := '0';
    130151      red                   : OUT    std_logic ;
    131152      sclk                  : OUT    std_logic ;
    132153      sensor_cs             : OUT    std_logic_vector (3 DOWNTO 0);
     154      socket_tx_free_out    : OUT    std_logic_vector (16 DOWNTO 0);                 -- 17bit value .. that's true
     155      trigger_veto          : OUT    std_logic                     := '1';
     156      w5300_state           : OUT    std_logic_vector (7 DOWNTO 0);                  -- state is encoded here ... useful for debugging.
    133157      wiz_addr              : OUT    std_logic_vector (9 DOWNTO 0);
    134158      wiz_cs                : OUT    std_logic                     := '1';
     
    186210      data : INOUT  std_logic_vector (15 DOWNTO 0);
    187211      rd   : IN     std_logic ;
     212      cs   : IN     std_logic ;
    188213      wr   : IN     std_logic
    189214   );
     
    237262         CLK                   => clk,
    238263         D_T_in                => D_T_in,
     264         FTM_RS485_rx_d        => FTM_RS485_rx_d,
    239265         SROUT_in_0            => SROUT_in_0,
    240266         SROUT_in_1            => SROUT_in_1,
     
    252278         CLK_25_PS             => CLK_25_PS,
    253279         CLK_50                => CLK_50,
     280         DG_state              => DG_state,
     281         FTM_RS485_rx_en       => FTM_RS485_rx_en,
     282         FTM_RS485_tx_d        => FTM_RS485_tx_d,
     283         FTM_RS485_tx_en       => FTM_RS485_tx_en,
    254284         RSRLOAD               => RSRLOAD,
    255285         SRCLK                 => SRCLK,
     
    261291         counter_result        => counter_result,
    262292         dac_cs                => dac_cs,
     293         debug_data_ram_empty  => debug_data_ram_empty,
     294         debug_data_valid      => debug_data_valid,
    263295         denable               => denable,
    264296         drs_channel_id        => drs_channel_id,
     
    266298         green                 => green,
    267299         led                   => led,
     300         mem_manager_state     => mem_manager_state,
    268301         mosi                  => mosi,
    269302         red                   => red,
    270303         sclk                  => sclk,
    271304         sensor_cs             => sensor_cs,
     305         socket_tx_free_out    => socket_tx_free_out,
     306         trigger_veto          => trigger_veto,
     307         w5300_state           => w5300_state,
    272308         wiz_addr              => wiz_addr,
    273309         wiz_cs                => wiz_cs,
     
    329365         data => wiz_data,
    330366         rd   => wiz_rd,
     367         cs   => wiz_cs,
    331368         wr   => wiz_wr
    332369      );
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/trigger__generator_beha.vhd

    r9912 r10883  
    3434    trigger <= '0';
    3535    wait for TRIGGER_RATE;
    36     --trigger <= '1';
     36    trigger <= '1';
    3737    wait for PULSE_WIDTH;
    3838    trigger <= '0';
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/w5300_emulator_beha.vhd

    r10240 r10883  
    4949    wait for 150 us;
    5050    RSR_1 <= X"0001";
    51     wait for 100 us;
     51    wait for 500 us;
    5252    RSR_1 <= X"0002";
    53     wait for 500 us;
     53    wait for 1000 us;
    5454                FIFOR_CNT <= 1;
    55         wait for 100 us;
     55        wait for 1000 us;
     56        RSR_1 <= X"0004";
     57       
    5658                FIFOR_CNT <= 2;
    57         wait for 200 us;
     59        wait for 40 us;
    5860                FIFOR_CNT <= 3;
    59         wait for 200 ns;
     61       
     62        wait for 200000 us;
    6063                RSR_1 <= X"0000";
    61         wait for 2 ms;
    62                 RSR_1 <= X"0002";
    63                 FIFOR_CNT <= 2;
     64--      wait for 1 ms;
     65--              RSR_1 <= X"0002";
     66--              FIFOR_CNT <= 2;
    6467               
    65         wait for 6 ms;
    66         int <= '0';
     68--      wait for 6 ms;
     69--      int <= '0';
    6770         
    6871--      wait for 1 ms;
     
    9598         
    9699        elsif (FIFOR_CNT = 1) then
    97           data_temp <= X"2200";
     100          data_temp <= X"A000";
    98101         
    99102        elsif (FIFOR_CNT = 2) then
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/.xrf/fad_main_tb_struct.xrf

    r10225 r10883  
    3434DESIGN fad_main_tb
    3535VIEW struct.bd
    36 GRAPHIC 1501,0 48 0
    37 DESIGN fad_main_tb
    38 VIEW struct.bd
    39 GRAPHIC 2001,0 49 0
    40 DESIGN fad_main_tb
    41 VIEW struct.bd
    42 GRAPHIC 855,0 50 0
    43 DESIGN fad_main_tb
    44 VIEW struct.bd
    45 GRAPHIC 863,0 51 0
    46 DESIGN fad_main_tb
    47 VIEW struct.bd
    48 GRAPHIC 1435,0 52 0
    49 DESIGN fad_main_tb
    50 VIEW struct.bd
    51 GRAPHIC 871,0 53 0
    52 DESIGN fad_main_tb
    53 VIEW struct.bd
    54 GRAPHIC 879,0 54 0
    55 DESIGN fad_main_tb
    56 VIEW struct.bd
    57 GRAPHIC 887,0 55 0
    58 DESIGN fad_main_tb
    59 VIEW struct.bd
    60 GRAPHIC 895,0 56 0
    61 DESIGN fad_main_tb
    62 VIEW struct.bd
    63 GRAPHIC 568,0 57 0
    64 DESIGN fad_main_tb
    65 VIEW struct.bd
    66 GRAPHIC 536,0 58 0
    67 DESIGN fad_main_tb
    68 VIEW struct.bd
    69 GRAPHIC 544,0 59 0
    70 DESIGN fad_main_tb
    71 VIEW struct.bd
    72 GRAPHIC 560,0 60 0
    73 DESIGN fad_main_tb
    74 VIEW struct.bd
    75 GRAPHIC 528,0 61 0
    76 DESIGN fad_main_tb
    77 VIEW struct.bd
    78 GRAPHIC 1483,0 62 0
    79 DESIGN fad_main_tb
    80 VIEW struct.bd
    81 GRAPHIC 1475,0 63 0
    82 DESIGN fad_main_tb
    83 VIEW struct.bd
    84 GRAPHIC 1443,0 64 0
    85 DESIGN fad_main_tb
    86 VIEW struct.bd
    87 GRAPHIC 440,0 65 0
    88 DESIGN fad_main_tb
    89 VIEW struct.bd
    90 GRAPHIC 284,0 66 0
    91 DESIGN fad_main_tb
    92 VIEW struct.bd
    93 GRAPHIC 1467,0 67 0
    94 DESIGN fad_main_tb
    95 VIEW struct.bd
    96 GRAPHIC 448,0 68 0
    97 DESIGN fad_main_tb
    98 VIEW struct.bd
    99 GRAPHIC 799,0 69 0
    100 DESIGN fad_main_tb
    101 VIEW struct.bd
    102 GRAPHIC 815,0 70 0
    103 DESIGN fad_main_tb
    104 VIEW struct.bd
    105 GRAPHIC 839,0 71 0
    106 DESIGN fad_main_tb
    107 VIEW struct.bd
    108 GRAPHIC 847,0 72 0
    109 DESIGN fad_main_tb
    110 VIEW struct.bd
    111 GRAPHIC 1459,0 73 0
    112 DESIGN fad_main_tb
    113 VIEW struct.bd
    114 GRAPHIC 775,0 74 0
    115 DESIGN fad_main_tb
    116 VIEW struct.bd
    117 GRAPHIC 807,0 75 0
    118 DESIGN fad_main_tb
    119 VIEW struct.bd
    120 GRAPHIC 1559,0 76 0
    121 DESIGN fad_main_tb
    122 VIEW struct.bd
    123 GRAPHIC 1451,0 77 0
    124 DESIGN fad_main_tb
    125 VIEW struct.bd
    126 GRAPHIC 378,0 78 0
    127 DESIGN fad_main_tb
    128 VIEW struct.bd
    129 GRAPHIC 372,0 79 0
    130 DESIGN fad_main_tb
    131 VIEW struct.bd
    132 GRAPHIC 384,0 80 0
    133 DESIGN fad_main_tb
    134 VIEW struct.bd
    135 GRAPHIC 424,0 81 0
    136 DESIGN fad_main_tb
    137 VIEW struct.bd
    138 GRAPHIC 316,0 82 0
    139 DESIGN fad_main_tb
    140 VIEW struct.bd
    141 GRAPHIC 783,0 83 0
    142 DESIGN fad_main_tb
    143 VIEW struct.bd
    144 GRAPHIC 322,0 84 0
    145 DESIGN fad_main_tb
    146 VIEW struct.bd
    147 GRAPHIC 791,0 85 0
    148 DESIGN fad_main_tb
    149 VIEW struct.bd
    150 GRAPHIC 328,0 86 0
    151 DESIGN fad_main_tb
    152 VIEW struct.bd
    153 GRAPHIC 767,0 87 0
    154 DESIGN fad_main_tb
    155 VIEW struct.bd
    156 GRAPHIC 334,0 88 0
    157 DESIGN fad_main_tb
    158 VIEW struct.bd
    159 NO_GRAPHIC 89
    160 DESIGN fad_main_tb
    161 VIEW struct.bd
    162 NO_GRAPHIC 90
     36GRAPHIC 2721,0 49 0
     37DESIGN fad_main_tb
     38VIEW struct.bd
     39GRAPHIC 1501,0 50 0
     40DESIGN fad_main_tb
     41VIEW struct.bd
     42GRAPHIC 2777,0 51 0
     43DESIGN fad_main_tb
     44VIEW struct.bd
     45GRAPHIC 2729,0 52 0
     46DESIGN fad_main_tb
     47VIEW struct.bd
     48GRAPHIC 2737,0 53 0
     49DESIGN fad_main_tb
     50VIEW struct.bd
     51GRAPHIC 2745,0 54 0
     52DESIGN fad_main_tb
     53VIEW struct.bd
     54GRAPHIC 2001,0 55 0
     55DESIGN fad_main_tb
     56VIEW struct.bd
     57GRAPHIC 855,0 56 0
     58DESIGN fad_main_tb
     59VIEW struct.bd
     60GRAPHIC 863,0 57 0
     61DESIGN fad_main_tb
     62VIEW struct.bd
     63GRAPHIC 1435,0 58 0
     64DESIGN fad_main_tb
     65VIEW struct.bd
     66GRAPHIC 871,0 59 0
     67DESIGN fad_main_tb
     68VIEW struct.bd
     69GRAPHIC 879,0 60 0
     70DESIGN fad_main_tb
     71VIEW struct.bd
     72GRAPHIC 887,0 61 0
     73DESIGN fad_main_tb
     74VIEW struct.bd
     75GRAPHIC 895,0 62 0
     76DESIGN fad_main_tb
     77VIEW struct.bd
     78GRAPHIC 568,0 63 0
     79DESIGN fad_main_tb
     80VIEW struct.bd
     81GRAPHIC 536,0 64 0
     82DESIGN fad_main_tb
     83VIEW struct.bd
     84GRAPHIC 544,0 65 0
     85DESIGN fad_main_tb
     86VIEW struct.bd
     87GRAPHIC 560,0 66 0
     88DESIGN fad_main_tb
     89VIEW struct.bd
     90GRAPHIC 528,0 67 0
     91DESIGN fad_main_tb
     92VIEW struct.bd
     93GRAPHIC 1483,0 68 0
     94DESIGN fad_main_tb
     95VIEW struct.bd
     96GRAPHIC 1475,0 69 0
     97DESIGN fad_main_tb
     98VIEW struct.bd
     99GRAPHIC 1443,0 70 0
     100DESIGN fad_main_tb
     101VIEW struct.bd
     102GRAPHIC 440,0 71 0
     103DESIGN fad_main_tb
     104VIEW struct.bd
     105GRAPHIC 284,0 72 0
     106DESIGN fad_main_tb
     107VIEW struct.bd
     108GRAPHIC 1467,0 73 0
     109DESIGN fad_main_tb
     110VIEW struct.bd
     111GRAPHIC 448,0 74 0
     112DESIGN fad_main_tb
     113VIEW struct.bd
     114GRAPHIC 799,0 75 0
     115DESIGN fad_main_tb
     116VIEW struct.bd
     117GRAPHIC 2705,0 76 0
     118DESIGN fad_main_tb
     119VIEW struct.bd
     120GRAPHIC 2713,0 77 0
     121DESIGN fad_main_tb
     122VIEW struct.bd
     123GRAPHIC 815,0 78 0
     124DESIGN fad_main_tb
     125VIEW struct.bd
     126GRAPHIC 839,0 79 0
     127DESIGN fad_main_tb
     128VIEW struct.bd
     129GRAPHIC 847,0 80 0
     130DESIGN fad_main_tb
     131VIEW struct.bd
     132GRAPHIC 1459,0 81 0
     133DESIGN fad_main_tb
     134VIEW struct.bd
     135GRAPHIC 775,0 82 0
     136DESIGN fad_main_tb
     137VIEW struct.bd
     138GRAPHIC 2753,0 83 0
     139DESIGN fad_main_tb
     140VIEW struct.bd
     141GRAPHIC 807,0 84 0
     142DESIGN fad_main_tb
     143VIEW struct.bd
     144GRAPHIC 1559,0 85 0
     145DESIGN fad_main_tb
     146VIEW struct.bd
     147GRAPHIC 1451,0 86 0
     148DESIGN fad_main_tb
     149VIEW struct.bd
     150GRAPHIC 378,0 87 0
     151DESIGN fad_main_tb
     152VIEW struct.bd
     153GRAPHIC 372,0 88 0
     154DESIGN fad_main_tb
     155VIEW struct.bd
     156GRAPHIC 384,0 89 0
     157DESIGN fad_main_tb
     158VIEW struct.bd
     159GRAPHIC 2942,0 90 0
     160DESIGN fad_main_tb
     161VIEW struct.bd
     162GRAPHIC 424,0 91 0
     163DESIGN fad_main_tb
     164VIEW struct.bd
     165GRAPHIC 2761,0 92 0
     166DESIGN fad_main_tb
     167VIEW struct.bd
     168GRAPHIC 2769,0 93 0
     169DESIGN fad_main_tb
     170VIEW struct.bd
     171GRAPHIC 316,0 94 0
     172DESIGN fad_main_tb
     173VIEW struct.bd
     174GRAPHIC 783,0 95 0
     175DESIGN fad_main_tb
     176VIEW struct.bd
     177GRAPHIC 322,0 96 0
     178DESIGN fad_main_tb
     179VIEW struct.bd
     180GRAPHIC 791,0 97 0
     181DESIGN fad_main_tb
     182VIEW struct.bd
     183GRAPHIC 328,0 98 0
     184DESIGN fad_main_tb
     185VIEW struct.bd
     186GRAPHIC 767,0 99 0
     187DESIGN fad_main_tb
     188VIEW struct.bd
     189GRAPHIC 334,0 100 0
     190DESIGN fad_main_tb
     191VIEW struct.bd
     192NO_GRAPHIC 101
     193DESIGN fad_main_tb
     194VIEW struct.bd
     195NO_GRAPHIC 102
    163196LIBRARY FACT_FAD_lib
    164197DESIGN @f@a@d_main
    165198VIEW struct
    166 GRAPHIC 233,0 92 0
    167 DESIGN @f@a@d_main
    168 VIEW symbol.sb
    169 GRAPHIC 14,0 93 1
    170 DESIGN @f@a@d_main
    171 VIEW symbol.sb
    172 GRAPHIC 1755,0 97 0
    173 DESIGN @f@a@d_main
    174 VIEW symbol.sb
    175 GRAPHIC 5328,0 98 0
    176 DESIGN @f@a@d_main
    177 VIEW symbol.sb
    178 GRAPHIC 2710,0 99 0
    179 DESIGN @f@a@d_main
    180 VIEW symbol.sb
    181 GRAPHIC 2715,0 100 0
    182 DESIGN @f@a@d_main
    183 VIEW symbol.sb
    184 GRAPHIC 2720,0 101 0
    185 DESIGN @f@a@d_main
    186 VIEW symbol.sb
    187 GRAPHIC 2725,0 102 0
    188 DESIGN @f@a@d_main
    189 VIEW symbol.sb
    190 GRAPHIC 2282,0 103 0
    191 DESIGN @f@a@d_main
    192 VIEW symbol.sb
    193 GRAPHIC 1976,0 104 0
    194 DESIGN @f@a@d_main
    195 VIEW symbol.sb
    196 GRAPHIC 923,0 105 0
    197 DESIGN @f@a@d_main
    198 VIEW symbol.sb
    199 GRAPHIC 928,0 106 0
    200 DESIGN @f@a@d_main
    201 VIEW symbol.sb
    202 GRAPHIC 5427,0 107 0
    203 DESIGN @f@a@d_main
    204 VIEW symbol.sb
    205 GRAPHIC 5503,0 108 0
    206 DESIGN @f@a@d_main
    207 VIEW symbol.sb
    208 GRAPHIC 464,0 109 0
    209 DESIGN @f@a@d_main
    210 VIEW symbol.sb
    211 GRAPHIC 1062,0 110 0
    212 DESIGN @f@a@d_main
    213 VIEW symbol.sb
    214 GRAPHIC 6704,0 111 0
    215 DESIGN @f@a@d_main
    216 VIEW symbol.sb
    217 GRAPHIC 1389,0 112 0
    218 DESIGN @f@a@d_main
    219 VIEW symbol.sb
    220 GRAPHIC 1725,0 113 0
    221 DESIGN @f@a@d_main
    222 VIEW symbol.sb
    223 GRAPHIC 2987,0 114 0
    224 DESIGN @f@a@d_main
    225 VIEW symbol.sb
    226 GRAPHIC 2992,0 115 0
    227 DESIGN @f@a@d_main
    228 VIEW symbol.sb
    229 GRAPHIC 4780,0 116 0
    230 DESIGN @f@a@d_main
    231 VIEW symbol.sb
    232 GRAPHIC 833,0 117 0
    233 DESIGN @f@a@d_main
    234 VIEW symbol.sb
    235 GRAPHIC 5634,0 118 0
    236 DESIGN @f@a@d_main
    237 VIEW symbol.sb
    238 GRAPHIC 5639,0 119 0
    239 DESIGN @f@a@d_main
    240 VIEW symbol.sb
    241 GRAPHIC 4911,0 120 0
    242 DESIGN @f@a@d_main
    243 VIEW symbol.sb
    244 GRAPHIC 5629,0 121 0
    245 DESIGN @f@a@d_main
    246 VIEW symbol.sb
    247 GRAPHIC 3641,0 122 0
    248 DESIGN @f@a@d_main
    249 VIEW symbol.sb
    250 GRAPHIC 4144,0 123 0
    251 DESIGN @f@a@d_main
    252 VIEW symbol.sb
    253 GRAPHIC 2448,0 124 0
    254 DESIGN @f@a@d_main
    255 VIEW symbol.sb
    256 GRAPHIC 2453,0 125 0
    257 DESIGN @f@a@d_main
    258 VIEW symbol.sb
    259 GRAPHIC 4906,0 126 0
    260 DESIGN @f@a@d_main
    261 VIEW symbol.sb
    262 GRAPHIC 163,0 127 0
    263 DESIGN @f@a@d_main
    264 VIEW symbol.sb
    265 GRAPHIC 4067,0 128 0
    266 DESIGN @f@a@d_main
    267 VIEW symbol.sb
    268 GRAPHIC 4916,0 129 0
    269 DESIGN @f@a@d_main
    270 VIEW symbol.sb
    271 GRAPHIC 3631,0 130 0
    272 DESIGN @f@a@d_main
    273 VIEW symbol.sb
    274 GRAPHIC 3646,0 131 0
    275 DESIGN @f@a@d_main
    276 VIEW symbol.sb
    277 GRAPHIC 1037,0 132 0
    278 DESIGN @f@a@d_main
    279 VIEW symbol.sb
    280 GRAPHIC 1047,0 133 0
    281 DESIGN @f@a@d_main
    282 VIEW symbol.sb
    283 GRAPHIC 1057,0 134 0
    284 DESIGN @f@a@d_main
    285 VIEW symbol.sb
    286 GRAPHIC 135,0 135 0
    287 DESIGN @f@a@d_main
    288 VIEW symbol.sb
    289 GRAPHIC 1052,0 136 0
    290 DESIGN @f@a@d_main
    291 VIEW symbol.sb
    292 GRAPHIC 3636,0 137 0
    293 DESIGN @f@a@d_main
    294 VIEW symbol.sb
    295 GRAPHIC 1042,0 138 0
     199GRAPHIC 233,0 104 0
     200DESIGN @f@a@d_main
     201VIEW symbol.sb
     202GRAPHIC 14,0 105 1
     203DESIGN @f@a@d_main
     204VIEW symbol.sb
     205GRAPHIC 1755,0 109 0
     206DESIGN @f@a@d_main
     207VIEW symbol.sb
     208GRAPHIC 5328,0 110 0
     209DESIGN @f@a@d_main
     210VIEW symbol.sb
     211GRAPHIC 7621,0 111 0
     212DESIGN @f@a@d_main
     213VIEW symbol.sb
     214GRAPHIC 2710,0 112 0
     215DESIGN @f@a@d_main
     216VIEW symbol.sb
     217GRAPHIC 2715,0 113 0
     218DESIGN @f@a@d_main
     219VIEW symbol.sb
     220GRAPHIC 2720,0 114 0
     221DESIGN @f@a@d_main
     222VIEW symbol.sb
     223GRAPHIC 2725,0 115 0
     224DESIGN @f@a@d_main
     225VIEW symbol.sb
     226GRAPHIC 2282,0 116 0
     227DESIGN @f@a@d_main
     228VIEW symbol.sb
     229GRAPHIC 1976,0 117 0
     230DESIGN @f@a@d_main
     231VIEW symbol.sb
     232GRAPHIC 923,0 118 0
     233DESIGN @f@a@d_main
     234VIEW symbol.sb
     235GRAPHIC 928,0 119 0
     236DESIGN @f@a@d_main
     237VIEW symbol.sb
     238GRAPHIC 5427,0 120 0
     239DESIGN @f@a@d_main
     240VIEW symbol.sb
     241GRAPHIC 5503,0 121 0
     242DESIGN @f@a@d_main
     243VIEW symbol.sb
     244GRAPHIC 464,0 122 0
     245DESIGN @f@a@d_main
     246VIEW symbol.sb
     247GRAPHIC 1062,0 123 0
     248DESIGN @f@a@d_main
     249VIEW symbol.sb
     250GRAPHIC 6704,0 124 0
     251DESIGN @f@a@d_main
     252VIEW symbol.sb
     253GRAPHIC 1389,0 125 0
     254DESIGN @f@a@d_main
     255VIEW symbol.sb
     256GRAPHIC 1725,0 126 0
     257DESIGN @f@a@d_main
     258VIEW symbol.sb
     259GRAPHIC 8023,0 127 0
     260DESIGN @f@a@d_main
     261VIEW symbol.sb
     262GRAPHIC 7631,0 129 0
     263DESIGN @f@a@d_main
     264VIEW symbol.sb
     265GRAPHIC 7626,0 130 0
     266DESIGN @f@a@d_main
     267VIEW symbol.sb
     268GRAPHIC 7636,0 131 0
     269DESIGN @f@a@d_main
     270VIEW symbol.sb
     271GRAPHIC 2987,0 132 0
     272DESIGN @f@a@d_main
     273VIEW symbol.sb
     274GRAPHIC 2992,0 133 0
     275DESIGN @f@a@d_main
     276VIEW symbol.sb
     277GRAPHIC 4780,0 134 0
     278DESIGN @f@a@d_main
     279VIEW symbol.sb
     280GRAPHIC 833,0 135 0
     281DESIGN @f@a@d_main
     282VIEW symbol.sb
     283GRAPHIC 5634,0 136 0
     284DESIGN @f@a@d_main
     285VIEW symbol.sb
     286GRAPHIC 5639,0 137 0
     287DESIGN @f@a@d_main
     288VIEW symbol.sb
     289GRAPHIC 4911,0 138 0
     290DESIGN @f@a@d_main
     291VIEW symbol.sb
     292GRAPHIC 5629,0 139 0
     293DESIGN @f@a@d_main
     294VIEW symbol.sb
     295GRAPHIC 3641,0 140 0
     296DESIGN @f@a@d_main
     297VIEW symbol.sb
     298GRAPHIC 7882,0 141 0
     299DESIGN @f@a@d_main
     300VIEW symbol.sb
     301GRAPHIC 7887,0 142 0
     302DESIGN @f@a@d_main
     303VIEW symbol.sb
     304GRAPHIC 4144,0 143 0
     305DESIGN @f@a@d_main
     306VIEW symbol.sb
     307GRAPHIC 2448,0 144 0
     308DESIGN @f@a@d_main
     309VIEW symbol.sb
     310GRAPHIC 2453,0 145 0
     311DESIGN @f@a@d_main
     312VIEW symbol.sb
     313GRAPHIC 4906,0 146 0
     314DESIGN @f@a@d_main
     315VIEW symbol.sb
     316GRAPHIC 163,0 147 0
     317DESIGN @f@a@d_main
     318VIEW symbol.sb
     319GRAPHIC 7963,0 148 0
     320DESIGN @f@a@d_main
     321VIEW symbol.sb
     322GRAPHIC 4067,0 149 0
     323DESIGN @f@a@d_main
     324VIEW symbol.sb
     325GRAPHIC 4916,0 150 0
     326DESIGN @f@a@d_main
     327VIEW symbol.sb
     328GRAPHIC 3631,0 151 0
     329DESIGN @f@a@d_main
     330VIEW symbol.sb
     331GRAPHIC 3646,0 152 0
     332DESIGN @f@a@d_main
     333VIEW symbol.sb
     334GRAPHIC 8283,0 153 0
     335DESIGN @f@a@d_main
     336VIEW symbol.sb
     337GRAPHIC 7539,0 154 0
     338DESIGN @f@a@d_main
     339VIEW symbol.sb
     340GRAPHIC 7850,0 155 0
     341DESIGN @f@a@d_main
     342VIEW symbol.sb
     343GRAPHIC 1037,0 156 0
     344DESIGN @f@a@d_main
     345VIEW symbol.sb
     346GRAPHIC 1047,0 157 0
     347DESIGN @f@a@d_main
     348VIEW symbol.sb
     349GRAPHIC 1057,0 158 0
     350DESIGN @f@a@d_main
     351VIEW symbol.sb
     352GRAPHIC 135,0 159 0
     353DESIGN @f@a@d_main
     354VIEW symbol.sb
     355GRAPHIC 1052,0 160 0
     356DESIGN @f@a@d_main
     357VIEW symbol.sb
     358GRAPHIC 3636,0 161 0
     359DESIGN @f@a@d_main
     360VIEW symbol.sb
     361GRAPHIC 1042,0 162 0
    296362LIBRARY FACT_FAD_TB_lib
    297363DESIGN adc_emulator
    298364VIEW @behavioral
    299 GRAPHIC 508,0 141 0
     365GRAPHIC 508,0 165 0
    300366DESIGN adc_emulator
    301367VIEW symbol.sb
    302 GRAPHIC 14,0 142 1
     368GRAPHIC 14,0 166 1
    303369DESIGN adc_emulator
    304370VIEW @behavioral
    305 GRAPHIC 48,0 146 0
     371GRAPHIC 48,0 170 0
    306372DESIGN adc_emulator
    307373VIEW @behavioral
    308 GRAPHIC 53,0 147 0
     374GRAPHIC 53,0 171 0
    309375DESIGN adc_emulator
    310376VIEW @behavioral
    311 GRAPHIC 58,0 148 0
     377GRAPHIC 58,0 172 0
    312378DESIGN adc_emulator
    313379VIEW @behavioral
    314 GRAPHIC 63,0 149 0
    315 DESIGN fad_main_tb
    316 VIEW struct.bd
    317 GRAPHIC 274,0 152 0
     380GRAPHIC 63,0 173 0
     381DESIGN fad_main_tb
     382VIEW struct.bd
     383GRAPHIC 274,0 176 0
    318384DESIGN clock_generator
    319385VIEW symbol.sb
    320 GRAPHIC 14,0 153 1
     386GRAPHIC 14,0 177 1
    321387DESIGN clock_generator
    322388VIEW @behavioral
    323 GRAPHIC 48,0 158 0
     389GRAPHIC 48,0 182 0
    324390DESIGN clock_generator
    325391VIEW @behavioral
    326 GRAPHIC 53,0 159 0
    327 DESIGN fad_main_tb
    328 VIEW struct.bd
    329 GRAPHIC 362,0 162 0
     392GRAPHIC 53,0 183 0
     393DESIGN fad_main_tb
     394VIEW struct.bd
     395GRAPHIC 362,0 186 0
    330396DESIGN max6662_emulator
    331397VIEW symbol.sb
    332 GRAPHIC 14,0 163 1
     398GRAPHIC 14,0 187 1
    333399DESIGN max6662_emulator
    334400VIEW beha
    335 GRAPHIC 48,0 167 0
     401GRAPHIC 48,0 191 0
    336402DESIGN max6662_emulator
    337403VIEW beha
    338 GRAPHIC 53,0 168 0
     404GRAPHIC 53,0 192 0
    339405DESIGN max6662_emulator
    340406VIEW beha
    341 GRAPHIC 58,0 169 0
    342 DESIGN fad_main_tb
    343 VIEW struct.bd
    344 GRAPHIC 414,0 172 0
     407GRAPHIC 58,0 193 0
     408DESIGN fad_main_tb
     409VIEW struct.bd
     410GRAPHIC 414,0 196 0
    345411DESIGN trigger_generator
    346412VIEW symbol.sb
    347 GRAPHIC 14,0 173 1
     413GRAPHIC 14,0 197 1
    348414DESIGN trigger_generator
    349415VIEW beha
    350 GRAPHIC 48,0 178 0
    351 DESIGN fad_main_tb
    352 VIEW struct.bd
    353 GRAPHIC 2336,0 181 0
     416GRAPHIC 48,0 202 0
     417DESIGN fad_main_tb
     418VIEW struct.bd
     419GRAPHIC 2336,0 205 0
    354420DESIGN w5300_emulator
    355421VIEW beha
    356 GRAPHIC 163,0 183 0
     422GRAPHIC 163,0 207 0
    357423DESIGN w5300_emulator
    358424VIEW beha
    359 GRAPHIC 48,0 184 0
     425GRAPHIC 48,0 208 0
    360426DESIGN w5300_emulator
    361427VIEW beha
    362 GRAPHIC 53,0 185 0
     428GRAPHIC 53,0 209 0
    363429DESIGN w5300_emulator
    364430VIEW beha
    365 GRAPHIC 58,0 186 0
     431GRAPHIC 58,0 210 0
    366432DESIGN w5300_emulator
    367433VIEW beha
    368 GRAPHIC 63,0 187 0
     434GRAPHIC 286,0 211 0
     435DESIGN w5300_emulator
     436VIEW beha
     437GRAPHIC 63,0 212 0
    369438LIBRARY FACT_FAD_TB_lib
    370439DESIGN fad_main_tb
    371440VIEW struct.bd
    372 NO_GRAPHIC 190
    373 DESIGN fad_main_tb
    374 VIEW struct.bd
    375 GRAPHIC 233,0 193 0
    376 DESIGN fad_main_tb
    377 VIEW struct.bd
    378 GRAPHIC 508,0 194 0
    379 DESIGN fad_main_tb
    380 VIEW struct.bd
    381 GRAPHIC 274,0 195 0
    382 DESIGN fad_main_tb
    383 VIEW struct.bd
    384 GRAPHIC 362,0 196 0
    385 DESIGN fad_main_tb
    386 VIEW struct.bd
    387 GRAPHIC 414,0 197 0
    388 DESIGN fad_main_tb
    389 VIEW struct.bd
    390 GRAPHIC 2336,0 198 0
    391 DESIGN fad_main_tb
    392 VIEW struct.bd
    393 NO_GRAPHIC 201
    394 DESIGN fad_main_tb
    395 VIEW struct.bd
    396 GRAPHIC 430,0 204 0
    397 DESIGN fad_main_tb
    398 VIEW struct.bd
    399 NO_GRAPHIC 208
    400 DESIGN fad_main_tb
    401 VIEW struct.bd
    402 GRAPHIC 518,0 209 0
    403 DESIGN fad_main_tb
    404 VIEW struct.bd
    405 NO_GRAPHIC 219
    406 DESIGN fad_main_tb
    407 VIEW struct.bd
    408 GRAPHIC 1491,0 220 0
    409 DESIGN fad_main_tb
    410 VIEW struct.bd
    411 NO_GRAPHIC 228
    412 DESIGN fad_main_tb
    413 VIEW struct.bd
    414 NO_GRAPHIC 229
    415 DESIGN fad_main_tb
    416 VIEW struct.bd
    417 GRAPHIC 233,0 231 0
    418 DESIGN fad_main_tb
    419 VIEW struct.bd
    420 GRAPHIC 240,0 232 1
    421 DESIGN fad_main_tb
    422 VIEW struct.bd
    423 GRAPHIC 286,0 236 0
    424 DESIGN fad_main_tb
    425 VIEW struct.bd
    426 GRAPHIC 1503,0 237 0
    427 DESIGN fad_main_tb
    428 VIEW struct.bd
    429 GRAPHIC 873,0 238 0
    430 DESIGN fad_main_tb
    431 VIEW struct.bd
    432 GRAPHIC 881,0 239 0
    433 DESIGN fad_main_tb
    434 VIEW struct.bd
    435 GRAPHIC 889,0 240 0
    436 DESIGN fad_main_tb
    437 VIEW struct.bd
    438 GRAPHIC 897,0 241 0
    439 DESIGN fad_main_tb
    440 VIEW struct.bd
    441 GRAPHIC 538,0 242 0
    442 DESIGN fad_main_tb
    443 VIEW struct.bd
    444 GRAPHIC 530,0 243 0
    445 DESIGN fad_main_tb
    446 VIEW struct.bd
    447 GRAPHIC 442,0 244 0
    448 DESIGN fad_main_tb
    449 VIEW struct.bd
    450 GRAPHIC 450,0 245 0
    451 DESIGN fad_main_tb
    452 VIEW struct.bd
    453 GRAPHIC 1529,0 246 0
    454 DESIGN fad_main_tb
    455 VIEW struct.bd
    456 GRAPHIC 1561,0 247 0
    457 DESIGN fad_main_tb
    458 VIEW struct.bd
    459 GRAPHIC 426,0 248 0
    460 DESIGN fad_main_tb
    461 VIEW struct.bd
    462 GRAPHIC 793,0 249 0
    463 DESIGN fad_main_tb
    464 VIEW struct.bd
    465 GRAPHIC 1684,0 250 0
    466 DESIGN fad_main_tb
    467 VIEW struct.bd
    468 GRAPHIC 825,0 251 0
    469 DESIGN fad_main_tb
    470 VIEW struct.bd
    471 GRAPHIC 833,0 252 0
    472 DESIGN fad_main_tb
    473 VIEW struct.bd
    474 GRAPHIC 857,0 253 0
    475 DESIGN fad_main_tb
    476 VIEW struct.bd
    477 GRAPHIC 865,0 254 0
    478 DESIGN fad_main_tb
    479 VIEW struct.bd
    480 GRAPHIC 1437,0 255 0
    481 DESIGN fad_main_tb
    482 VIEW struct.bd
    483 GRAPHIC 546,0 256 0
    484 DESIGN fad_main_tb
    485 VIEW struct.bd
    486 GRAPHIC 1485,0 257 0
    487 DESIGN fad_main_tb
    488 VIEW struct.bd
    489 GRAPHIC 1477,0 258 0
    490 DESIGN fad_main_tb
    491 VIEW struct.bd
    492 GRAPHIC 1445,0 259 0
    493 DESIGN fad_main_tb
    494 VIEW struct.bd
    495 GRAPHIC 1469,0 260 0
    496 DESIGN fad_main_tb
    497 VIEW struct.bd
    498 GRAPHIC 801,0 261 0
    499 DESIGN fad_main_tb
    500 VIEW struct.bd
    501 GRAPHIC 817,0 262 0
    502 DESIGN fad_main_tb
    503 VIEW struct.bd
    504 GRAPHIC 841,0 263 0
    505 DESIGN fad_main_tb
    506 VIEW struct.bd
    507 GRAPHIC 849,0 264 0
    508 DESIGN fad_main_tb
    509 VIEW struct.bd
    510 GRAPHIC 1461,0 265 0
    511 DESIGN fad_main_tb
    512 VIEW struct.bd
    513 GRAPHIC 777,0 266 0
    514 DESIGN fad_main_tb
    515 VIEW struct.bd
    516 GRAPHIC 809,0 267 0
    517 DESIGN fad_main_tb
    518 VIEW struct.bd
    519 GRAPHIC 1453,0 268 0
    520 DESIGN fad_main_tb
    521 VIEW struct.bd
    522 GRAPHIC 380,0 269 0
    523 DESIGN fad_main_tb
    524 VIEW struct.bd
    525 GRAPHIC 374,0 270 0
    526 DESIGN fad_main_tb
    527 VIEW struct.bd
    528 GRAPHIC 318,0 271 0
    529 DESIGN fad_main_tb
    530 VIEW struct.bd
    531 GRAPHIC 785,0 272 0
    532 DESIGN fad_main_tb
    533 VIEW struct.bd
    534 GRAPHIC 330,0 273 0
    535 DESIGN fad_main_tb
    536 VIEW struct.bd
    537 GRAPHIC 769,0 274 0
    538 DESIGN fad_main_tb
    539 VIEW struct.bd
    540 GRAPHIC 336,0 275 0
    541 DESIGN fad_main_tb
    542 VIEW struct.bd
    543 GRAPHIC 386,0 276 0
    544 DESIGN fad_main_tb
    545 VIEW struct.bd
    546 GRAPHIC 324,0 277 0
    547 DESIGN fad_main_tb
    548 VIEW struct.bd
    549 GRAPHIC 508,0 279 0
    550 DESIGN fad_main_tb
    551 VIEW struct.bd
    552 GRAPHIC 515,0 280 1
    553 DESIGN fad_main_tb
    554 VIEW struct.bd
    555 GRAPHIC 578,0 284 0
    556 DESIGN fad_main_tb
    557 VIEW struct.bd
    558 GRAPHIC 570,0 285 0
    559 DESIGN fad_main_tb
    560 VIEW struct.bd
    561 GRAPHIC 562,0 286 0
    562 DESIGN fad_main_tb
    563 VIEW struct.bd
    564 GRAPHIC 554,0 287 0
    565 DESIGN fad_main_tb
    566 VIEW struct.bd
    567 GRAPHIC 274,0 289 0
    568 DESIGN fad_main_tb
    569 VIEW struct.bd
    570 GRAPHIC 281,0 290 1
    571 DESIGN fad_main_tb
    572 VIEW struct.bd
    573 GRAPHIC 286,0 295 0
    574 DESIGN fad_main_tb
    575 VIEW struct.bd
    576 GRAPHIC 1509,0 298 0
    577 DESIGN fad_main_tb
    578 VIEW struct.bd
    579 GRAPHIC 1516,0 299 1
    580 DESIGN fad_main_tb
    581 VIEW struct.bd
    582 GRAPHIC 1529,0 304 0
    583 DESIGN fad_main_tb
    584 VIEW struct.bd
    585 GRAPHIC 362,0 307 0
    586 DESIGN fad_main_tb
    587 VIEW struct.bd
    588 GRAPHIC 369,0 308 1
    589 DESIGN fad_main_tb
    590 VIEW struct.bd
    591 GRAPHIC 380,0 312 0
    592 DESIGN fad_main_tb
    593 VIEW struct.bd
    594 GRAPHIC 386,0 313 0
    595 DESIGN fad_main_tb
    596 VIEW struct.bd
    597 GRAPHIC 374,0 314 0
    598 DESIGN fad_main_tb
    599 VIEW struct.bd
    600 GRAPHIC 414,0 316 0
    601 DESIGN fad_main_tb
    602 VIEW struct.bd
    603 GRAPHIC 421,0 317 1
    604 DESIGN fad_main_tb
    605 VIEW struct.bd
    606 GRAPHIC 426,0 322 0
    607 DESIGN fad_main_tb
    608 VIEW struct.bd
    609 GRAPHIC 2336,0 324 0
    610 DESIGN fad_main_tb
    611 VIEW struct.bd
    612 GRAPHIC 793,0 326 0
    613 DESIGN fad_main_tb
    614 VIEW struct.bd
    615 GRAPHIC 318,0 327 0
    616 DESIGN fad_main_tb
    617 VIEW struct.bd
    618 GRAPHIC 324,0 328 0
    619 DESIGN fad_main_tb
    620 VIEW struct.bd
    621 GRAPHIC 330,0 329 0
    622 DESIGN fad_main_tb
    623 VIEW struct.bd
    624 GRAPHIC 336,0 330 0
    625 DESIGN fad_main_tb
    626 VIEW struct.bd
    627 NO_GRAPHIC 333
     441NO_GRAPHIC 215
     442DESIGN fad_main_tb
     443VIEW struct.bd
     444GRAPHIC 233,0 218 0
     445DESIGN fad_main_tb
     446VIEW struct.bd
     447GRAPHIC 508,0 219 0
     448DESIGN fad_main_tb
     449VIEW struct.bd
     450GRAPHIC 274,0 220 0
     451DESIGN fad_main_tb
     452VIEW struct.bd
     453GRAPHIC 362,0 221 0
     454DESIGN fad_main_tb
     455VIEW struct.bd
     456GRAPHIC 414,0 222 0
     457DESIGN fad_main_tb
     458VIEW struct.bd
     459GRAPHIC 2336,0 223 0
     460DESIGN fad_main_tb
     461VIEW struct.bd
     462NO_GRAPHIC 226
     463DESIGN fad_main_tb
     464VIEW struct.bd
     465GRAPHIC 430,0 229 0
     466DESIGN fad_main_tb
     467VIEW struct.bd
     468NO_GRAPHIC 233
     469DESIGN fad_main_tb
     470VIEW struct.bd
     471GRAPHIC 518,0 234 0
     472DESIGN fad_main_tb
     473VIEW struct.bd
     474NO_GRAPHIC 244
     475DESIGN fad_main_tb
     476VIEW struct.bd
     477GRAPHIC 1491,0 245 0
     478DESIGN fad_main_tb
     479VIEW struct.bd
     480NO_GRAPHIC 253
     481DESIGN fad_main_tb
     482VIEW struct.bd
     483NO_GRAPHIC 254
     484DESIGN fad_main_tb
     485VIEW struct.bd
     486GRAPHIC 233,0 256 0
     487DESIGN fad_main_tb
     488VIEW struct.bd
     489GRAPHIC 240,0 257 1
     490DESIGN fad_main_tb
     491VIEW struct.bd
     492GRAPHIC 286,0 261 0
     493DESIGN fad_main_tb
     494VIEW struct.bd
     495GRAPHIC 1503,0 262 0
     496DESIGN fad_main_tb
     497VIEW struct.bd
     498GRAPHIC 2779,0 263 0
     499DESIGN fad_main_tb
     500VIEW struct.bd
     501GRAPHIC 873,0 264 0
     502DESIGN fad_main_tb
     503VIEW struct.bd
     504GRAPHIC 881,0 265 0
     505DESIGN fad_main_tb
     506VIEW struct.bd
     507GRAPHIC 889,0 266 0
     508DESIGN fad_main_tb
     509VIEW struct.bd
     510GRAPHIC 897,0 267 0
     511DESIGN fad_main_tb
     512VIEW struct.bd
     513GRAPHIC 538,0 268 0
     514DESIGN fad_main_tb
     515VIEW struct.bd
     516GRAPHIC 530,0 269 0
     517DESIGN fad_main_tb
     518VIEW struct.bd
     519GRAPHIC 442,0 270 0
     520DESIGN fad_main_tb
     521VIEW struct.bd
     522GRAPHIC 450,0 271 0
     523DESIGN fad_main_tb
     524VIEW struct.bd
     525GRAPHIC 1529,0 272 0
     526DESIGN fad_main_tb
     527VIEW struct.bd
     528GRAPHIC 1561,0 273 0
     529DESIGN fad_main_tb
     530VIEW struct.bd
     531GRAPHIC 426,0 274 0
     532DESIGN fad_main_tb
     533VIEW struct.bd
     534GRAPHIC 793,0 275 0
     535DESIGN fad_main_tb
     536VIEW struct.bd
     537GRAPHIC 1684,0 276 0
     538DESIGN fad_main_tb
     539VIEW struct.bd
     540GRAPHIC 825,0 277 0
     541DESIGN fad_main_tb
     542VIEW struct.bd
     543GRAPHIC 833,0 278 0
     544DESIGN fad_main_tb
     545VIEW struct.bd
     546GRAPHIC 2723,0 279 0
     547DESIGN fad_main_tb
     548VIEW struct.bd
     549GRAPHIC 2731,0 280 0
     550DESIGN fad_main_tb
     551VIEW struct.bd
     552GRAPHIC 2739,0 281 0
     553DESIGN fad_main_tb
     554VIEW struct.bd
     555GRAPHIC 2747,0 282 0
     556DESIGN fad_main_tb
     557VIEW struct.bd
     558GRAPHIC 857,0 283 0
     559DESIGN fad_main_tb
     560VIEW struct.bd
     561GRAPHIC 865,0 284 0
     562DESIGN fad_main_tb
     563VIEW struct.bd
     564GRAPHIC 1437,0 285 0
     565DESIGN fad_main_tb
     566VIEW struct.bd
     567GRAPHIC 546,0 286 0
     568DESIGN fad_main_tb
     569VIEW struct.bd
     570GRAPHIC 1485,0 287 0
     571DESIGN fad_main_tb
     572VIEW struct.bd
     573GRAPHIC 1477,0 288 0
     574DESIGN fad_main_tb
     575VIEW struct.bd
     576GRAPHIC 1445,0 289 0
     577DESIGN fad_main_tb
     578VIEW struct.bd
     579GRAPHIC 1469,0 290 0
     580DESIGN fad_main_tb
     581VIEW struct.bd
     582GRAPHIC 801,0 291 0
     583DESIGN fad_main_tb
     584VIEW struct.bd
     585GRAPHIC 2707,0 292 0
     586DESIGN fad_main_tb
     587VIEW struct.bd
     588GRAPHIC 2715,0 293 0
     589DESIGN fad_main_tb
     590VIEW struct.bd
     591GRAPHIC 817,0 294 0
     592DESIGN fad_main_tb
     593VIEW struct.bd
     594GRAPHIC 841,0 295 0
     595DESIGN fad_main_tb
     596VIEW struct.bd
     597GRAPHIC 849,0 296 0
     598DESIGN fad_main_tb
     599VIEW struct.bd
     600GRAPHIC 1461,0 297 0
     601DESIGN fad_main_tb
     602VIEW struct.bd
     603GRAPHIC 777,0 298 0
     604DESIGN fad_main_tb
     605VIEW struct.bd
     606GRAPHIC 2755,0 299 0
     607DESIGN fad_main_tb
     608VIEW struct.bd
     609GRAPHIC 809,0 300 0
     610DESIGN fad_main_tb
     611VIEW struct.bd
     612GRAPHIC 1453,0 301 0
     613DESIGN fad_main_tb
     614VIEW struct.bd
     615GRAPHIC 380,0 302 0
     616DESIGN fad_main_tb
     617VIEW struct.bd
     618GRAPHIC 374,0 303 0
     619DESIGN fad_main_tb
     620VIEW struct.bd
     621GRAPHIC 2944,0 304 0
     622DESIGN fad_main_tb
     623VIEW struct.bd
     624GRAPHIC 2763,0 305 0
     625DESIGN fad_main_tb
     626VIEW struct.bd
     627GRAPHIC 2771,0 306 0
     628DESIGN fad_main_tb
     629VIEW struct.bd
     630GRAPHIC 318,0 307 0
     631DESIGN fad_main_tb
     632VIEW struct.bd
     633GRAPHIC 785,0 308 0
     634DESIGN fad_main_tb
     635VIEW struct.bd
     636GRAPHIC 330,0 309 0
     637DESIGN fad_main_tb
     638VIEW struct.bd
     639GRAPHIC 769,0 310 0
     640DESIGN fad_main_tb
     641VIEW struct.bd
     642GRAPHIC 336,0 311 0
     643DESIGN fad_main_tb
     644VIEW struct.bd
     645GRAPHIC 386,0 312 0
     646DESIGN fad_main_tb
     647VIEW struct.bd
     648GRAPHIC 324,0 313 0
     649DESIGN fad_main_tb
     650VIEW struct.bd
     651GRAPHIC 508,0 315 0
     652DESIGN fad_main_tb
     653VIEW struct.bd
     654GRAPHIC 515,0 316 1
     655DESIGN fad_main_tb
     656VIEW struct.bd
     657GRAPHIC 578,0 320 0
     658DESIGN fad_main_tb
     659VIEW struct.bd
     660GRAPHIC 570,0 321 0
     661DESIGN fad_main_tb
     662VIEW struct.bd
     663GRAPHIC 562,0 322 0
     664DESIGN fad_main_tb
     665VIEW struct.bd
     666GRAPHIC 554,0 323 0
     667DESIGN fad_main_tb
     668VIEW struct.bd
     669GRAPHIC 274,0 325 0
     670DESIGN fad_main_tb
     671VIEW struct.bd
     672GRAPHIC 281,0 326 1
     673DESIGN fad_main_tb
     674VIEW struct.bd
     675GRAPHIC 286,0 331 0
     676DESIGN fad_main_tb
     677VIEW struct.bd
     678GRAPHIC 1509,0 334 0
     679DESIGN fad_main_tb
     680VIEW struct.bd
     681GRAPHIC 1516,0 335 1
     682DESIGN fad_main_tb
     683VIEW struct.bd
     684GRAPHIC 1529,0 340 0
     685DESIGN fad_main_tb
     686VIEW struct.bd
     687GRAPHIC 362,0 343 0
     688DESIGN fad_main_tb
     689VIEW struct.bd
     690GRAPHIC 369,0 344 1
     691DESIGN fad_main_tb
     692VIEW struct.bd
     693GRAPHIC 380,0 348 0
     694DESIGN fad_main_tb
     695VIEW struct.bd
     696GRAPHIC 386,0 349 0
     697DESIGN fad_main_tb
     698VIEW struct.bd
     699GRAPHIC 374,0 350 0
     700DESIGN fad_main_tb
     701VIEW struct.bd
     702GRAPHIC 414,0 352 0
     703DESIGN fad_main_tb
     704VIEW struct.bd
     705GRAPHIC 421,0 353 1
     706DESIGN fad_main_tb
     707VIEW struct.bd
     708GRAPHIC 426,0 358 0
     709DESIGN fad_main_tb
     710VIEW struct.bd
     711GRAPHIC 2336,0 360 0
     712DESIGN fad_main_tb
     713VIEW struct.bd
     714GRAPHIC 793,0 362 0
     715DESIGN fad_main_tb
     716VIEW struct.bd
     717GRAPHIC 318,0 363 0
     718DESIGN fad_main_tb
     719VIEW struct.bd
     720GRAPHIC 324,0 364 0
     721DESIGN fad_main_tb
     722VIEW struct.bd
     723GRAPHIC 330,0 365 0
     724DESIGN fad_main_tb
     725VIEW struct.bd
     726GRAPHIC 785,0 366 0
     727DESIGN fad_main_tb
     728VIEW struct.bd
     729GRAPHIC 336,0 367 0
     730DESIGN fad_main_tb
     731VIEW struct.bd
     732NO_GRAPHIC 370
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd

    r10240 r10883  
    206206(vvPair
    207207variable "date"
    208 value "04.03.2011"
     208value "25.05.2011"
    209209)
    210210(vvPair
    211211variable "day"
    212 value "Fr"
     212value "Mi"
    213213)
    214214(vvPair
    215215variable "day_long"
    216 value "Freitag"
     216value "Mittwoch"
    217217)
    218218(vvPair
    219219variable "dd"
    220 value "04"
     220value "25"
    221221)
    222222(vvPair
     
    278278(vvPair
    279279variable "mm"
    280 value "03"
     280value "05"
    281281)
    282282(vvPair
     
    286286(vvPair
    287287variable "month"
    288 value "Mrz"
     288value "Mai"
    289289)
    290290(vvPair
    291291variable "month_long"
    292 value "März"
     292value "Mai"
    293293)
    294294(vvPair
     
    354354(vvPair
    355355variable "time"
    356 value "11:37:19"
     356value "17:24:50"
    357357)
    358358(vvPair
     
    406406bg "0,0,32768"
    407407)
    408 xt "109200,97000,120300,98000"
     408xt "109200,97000,120000,98000"
    409409st "
    410410by %user on %dd %month %year
     
    734734va (VaSet
    735735)
    736 xt "104400,23500,108000,24500"
     736xt "103800,23500,108000,24500"
    737737st "wiz_reset"
    738738ju 2
     
    745745n "wiz_reset"
    746746t "std_logic"
    747 o 39
     747o 50
    748748suid 2,0
    749749i "'1'"
     
    771771va (VaSet
    772772)
    773 xt "104000,69500,108000,70500"
     773xt "103600,69500,108000,70500"
    774774st "led : (7:0)"
    775775ju 2
     
    784784b "(7 DOWNTO 0)"
    785785posAdd 0
    786 o 31
     786o 38
    787787suid 7,0
    788788i "(OTHERS => '0')"
     
    810810va (VaSet
    811811)
    812 xt "82000,31500,84800,32500"
     812xt "82000,31500,85000,32500"
    813813st "trigger"
    814814blo "82000,32300"
     
    821821preAdd 0
    822822posAdd 0
    823 o 13
     823o 14
    824824suid 18,0
    825825)
     
    846846va (VaSet
    847847)
    848 xt "82000,42500,85200,43500"
     848xt "82000,42500,85500,43500"
    849849st "adc_oeb"
    850850blo "82000,43300"
     
    856856n "adc_oeb"
    857857t "std_logic"
    858 o 21
     858o 26
    859859suid 21,0
    860860i "'1'"
     
    882882va (VaSet
    883883)
    884 xt "82000,33500,87900,34500"
     884xt "82000,33500,88700,34500"
    885885st "board_id : (3:0)"
    886886blo "82000,34300"
     
    892892t "std_logic_vector"
    893893b "(3 DOWNTO 0)"
    894 o 9
     894o 10
    895895suid 24,0
    896896)
     
    917917va (VaSet
    918918)
    919 xt "82000,34500,87700,35500"
     919xt "82000,34500,88400,35500"
    920920st "crate_id : (1:0)"
    921921blo "82000,35300"
     
    927927t "std_logic_vector"
    928928b "(1 DOWNTO 0)"
    929 o 10
     929o 11
    930930suid 25,0
    931931)
     
    952952va (VaSet
    953953)
    954 xt "102000,20500,108000,21500"
     954xt "101100,20500,108000,21500"
    955955st "wiz_addr : (9:0)"
    956956ju 2
     
    964964t "std_logic_vector"
    965965b "(9 DOWNTO 0)"
    966 o 36
     966o 47
    967967suid 26,0
    968968)
     
    989989va (VaSet
    990990)
    991 xt "101700,21500,108000,22500"
     991xt "100800,21500,108000,22500"
    992992st "wiz_data : (15:0)"
    993993ju 2
     
    10011001t "std_logic_vector"
    10021002b "(15 DOWNTO 0)"
    1003 o 42
     1003o 53
    10041004suid 27,0
    10051005)
     
    10261026va (VaSet
    10271027)
    1028 xt "105300,27500,108000,28500"
     1028xt "105000,27500,108000,28500"
    10291029st "wiz_cs"
    10301030ju 2
     
    10371037n "wiz_cs"
    10381038t "std_logic"
    1039 o 37
     1039o 48
    10401040suid 28,0
    10411041i "'1'"
     
    10631063va (VaSet
    10641064)
    1065 xt "105300,25500,108000,26500"
     1065xt "104800,25500,108000,26500"
    10661066st "wiz_wr"
    10671067ju 2
     
    10741074n "wiz_wr"
    10751075t "std_logic"
    1076 o 40
     1076o 51
    10771077suid 29,0
    10781078i "'1'"
     
    11001100va (VaSet
    11011101)
    1102 xt "105400,24500,108000,25500"
     1102xt "104900,24500,108000,25500"
    11031103st "wiz_rd"
    11041104ju 2
     
    11111111n "wiz_rd"
    11121112t "std_logic"
    1113 o 38
     1113o 49
    11141114suid 30,0
    11151115i "'1'"
     
    11371137va (VaSet
    11381138)
    1139 xt "105300,26500,108000,27500"
     1139xt "104800,26500,108000,27500"
    11401140st "wiz_int"
    11411141ju 2
     
    11471147n "wiz_int"
    11481148t "std_logic"
    1149 o 14
     1149o 15
    11501150suid 31,0
    11511151)
     
    11721172va (VaSet
    11731173)
    1174 xt "82000,22500,86500,23500"
     1174xt "82000,22500,86800,23500"
    11751175st "CLK_25_PS"
    11761176blo "82000,23300"
     
    11821182n "CLK_25_PS"
    11831183t "std_logic"
    1184 o 16
     1184o 17
    11851185suid 35,0
    11861186)
     
    12071207va (VaSet
    12081208)
    1209 xt "82000,21500,85100,22500"
     1209xt "82000,21500,85300,22500"
    12101210st "CLK_50"
    12111211blo "82000,22300"
     
    12191219preAdd 0
    12201220posAdd 0
    1221 o 17
     1221o 18
    12221222suid 37,0
    12231223)
     
    12781278va (VaSet
    12791279)
    1280 xt "82000,41500,90000,42500"
     1280xt "82000,41500,91300,42500"
    12811281st "adc_otr_array : (3:0)"
    12821282blo "82000,42300"
     
    12881288t "std_logic_vector"
    12891289b "(3 DOWNTO 0)"
    1290 o 8
     1290o 9
    12911291suid 40,0
    12921292)
     
    13131313va (VaSet
    13141314)
    1315 xt "82000,47500,87900,48500"
     1315xt "82000,47500,88900,48500"
    13161316st "adc_data_array"
    13171317blo "82000,48300"
     
    13221322n "adc_data_array"
    13231323t "adc_data_array_type"
    1324 o 7
     1324o 8
    13251325suid 41,0
    13261326)
     
    13471347va (VaSet
    13481348)
    1349 xt "82000,61500,90500,62500"
     1349xt "82000,61500,91500,62500"
    13501350st "drs_channel_id : (3:0)"
    13511351blo "82000,62300"
     
    13581358t "std_logic_vector"
    13591359b "(3 downto 0)"
    1360 o 28
     1360o 35
    13611361suid 48,0
    13621362i "(others => '0')"
     
    13841384va (VaSet
    13851385)
    1386 xt "82000,66500,86300,67500"
     1386xt "82000,66500,87200,67500"
    13871387st "drs_dwrite"
    13881388blo "82000,67300"
     
    13941394n "drs_dwrite"
    13951395t "std_logic"
    1396 o 29
     1396o 36
    13971397suid 49,0
    13981398i "'1'"
     
    14201420va (VaSet
    14211421)
    1422 xt "82000,57500,87400,58500"
     1422xt "82000,57500,87800,58500"
    14231423st "SROUT_in_0"
    14241424blo "82000,58300"
     
    14291429n "SROUT_in_0"
    14301430t "std_logic"
    1431 o 3
     1431o 4
    14321432suid 52,0
    14331433)
     
    14541454va (VaSet
    14551455)
    1456 xt "82000,58500,87400,59500"
     1456xt "82000,58500,87700,59500"
    14571457st "SROUT_in_1"
    14581458blo "82000,59300"
     
    14631463n "SROUT_in_1"
    14641464t "std_logic"
    1465 o 4
     1465o 5
    14661466suid 53,0
    14671467)
     
    14881488va (VaSet
    14891489)
    1490 xt "82000,59500,87400,60500"
     1490xt "82000,59500,87800,60500"
    14911491st "SROUT_in_2"
    14921492blo "82000,60300"
     
    14971497n "SROUT_in_2"
    14981498t "std_logic"
    1499 o 5
     1499o 6
    15001500suid 54,0
    15011501)
     
    15221522va (VaSet
    15231523)
    1524 xt "82000,60500,87400,61500"
     1524xt "82000,60500,87800,61500"
    15251525st "SROUT_in_3"
    15261526blo "82000,61300"
     
    15311531n "SROUT_in_3"
    15321532t "std_logic"
    1533 o 6
     1533o 7
    15341534suid 55,0
    15351535)
     
    15661566n "RSRLOAD"
    15671567t "std_logic"
    1568 o 18
     1568o 23
    15691569suid 56,0
    15701570i "'0'"
     
    15921592va (VaSet
    15931593)
    1594 xt "82000,64500,85000,65500"
     1594xt "82000,64500,84900,65500"
    15951595st "SRCLK"
    15961596blo "82000,65300"
     
    16021602n "SRCLK"
    16031603t "std_logic"
    1604 o 19
     1604o 24
    16051605suid 57,0
    16061606i "'0'"
     
    16281628va (VaSet
    16291629)
    1630 xt "106300,50500,108000,51500"
     1630xt "106100,50500,108000,51500"
    16311631st "sclk"
    16321632ju 2
     
    16391639n "sclk"
    16401640t "std_logic"
    1641 o 34
     1641o 42
    16421642suid 62,0
    16431643)
     
    16771677preAdd 0
    16781678posAdd 0
    1679 o 41
     1679o 52
    16801680suid 63,0
    16811681)
     
    17021702va (VaSet
    17031703)
    1704 xt "105200,39500,108000,40500"
     1704xt "105000,39500,108000,40500"
    17051705st "dac_cs"
    17061706ju 2
     
    17131713n "dac_cs"
    17141714t "std_logic"
    1715 o 26
     1715o 31
    17161716suid 64,0
    17171717)
     
    17381738va (VaSet
    17391739)
    1740 xt "101500,41500,108000,42500"
     1740xt "101000,41500,108000,42500"
    17411741st "sensor_cs : (3:0)"
    17421742ju 2
     
    17501750t "std_logic_vector"
    17511751b "(3 DOWNTO 0)"
    1752 o 35
     1752o 43
    17531753suid 65,0
    17541754)
     
    17861786n "mosi"
    17871787t "std_logic"
    1788 o 32
     1788o 40
    17891789suid 66,0
    17901790i "'0'"
     
    18121812va (VaSet
    18131813)
    1814 xt "82000,65500,85000,66500"
     1814xt "82000,65500,85200,66500"
    18151815st "denable"
    18161816blo "82000,66300"
     
    18241824eolc "-- default domino wave off"
    18251825posAdd 0
    1826 o 27
     1826o 34
    18271827suid 67,0
    18281828i "'0'"
     
    18501850va (VaSet
    18511851)
    1852 xt "99400,73500,108000,74500"
     1852xt "98000,73500,108000,74500"
    18531853st "alarm_refclk_too_high"
    18541854ju 2
     
    18611861n "alarm_refclk_too_high"
    18621862t "std_logic"
    1863 o 22
     1863o 27
    18641864suid 95,0
    18651865)
     
    18861886va (VaSet
    18871887)
    1888 xt "99800,74500,108000,75500"
     1888xt "98400,74500,108000,75500"
    18891889st "alarm_refclk_too_low"
    18901890ju 2
     
    18981898t "std_logic"
    18991899posAdd 0
    1900 o 23
     1900o 28
    19011901suid 96,0
    19021902)
     
    19231923va (VaSet
    19241924)
    1925 xt "105500,79500,108000,80500"
     1925xt "105300,79500,108000,80500"
    19261926st "amber"
    19271927ju 2
     
    19341934n "amber"
    19351935t "std_logic"
    1936 o 24
     1936o 29
    19371937suid 87,0
    19381938)
     
    19591959va (VaSet
    19601960)
    1961 xt "99400,76500,108000,77500"
     1961xt "98400,76500,108000,77500"
    19621962st "counter_result : (11:0)"
    19631963ju 2
     
    19711971t "std_logic_vector"
    19721972b "(11 DOWNTO 0)"
    1973 o 25
     1973o 30
    19741974suid 94,0
    19751975)
     
    20312031va (VaSet
    20322032)
    2033 xt "82000,75500,87100,76500"
     2033xt "82000,75500,88100,76500"
    20342034st "drs_refclk_in"
    20352035blo "82000,76300"
     
    20412041t "std_logic"
    20422042eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
    2043 o 11
     2043o 12
    20442044suid 92,0
    20452045)
     
    20772077n "green"
    20782078t "std_logic"
    2079 o 30
     2079o 37
    20802080suid 86,0
    20812081)
     
    21022102va (VaSet
    21032103)
    2104 xt "82000,76500,88100,77500"
     2104xt "82000,76500,88700,77500"
    21052105st "plllock_in : (3:0)"
    21062106blo "82000,77300"
     
    21132113b "(3 DOWNTO 0)"
    21142114eolc "-- high level, if dominowave is running and DRS PLL locked"
    2115 o 12
     2115o 13
    21162116suid 93,0
    21172117)
     
    21382138va (VaSet
    21392139)
    2140 xt "106500,78500,108000,79500"
     2140xt "106300,78500,108000,79500"
    21412141st "red"
    21422142ju 2
     
    21492149n "red"
    21502150t "std_logic"
    2151 o 33
     2151o 41
    21522152suid 88,0
    21532153)
     
    21742174va (VaSet
    21752175)
    2176 xt "82000,71500,85700,72500"
     2176xt "82000,71500,86200,72500"
    21772177st "SRIN_out"
    21782178blo "82000,72300"
     
    21842184n "SRIN_out"
    21852185t "std_logic"
    2186 o 20
     2186o 25
    21872187suid 85,0
    21882188i "'0'"
     
    22212221n "ADC_CLK"
    22222222t "std_logic"
    2223 o 15
     2223o 16
    22242224suid 97,0
     2225)
     2226)
     2227)
     2228*55 (CptPort
     2229uid 2651,0
     2230ps "OnEdgeStrategy"
     2231shape (Triangle
     2232uid 2652,0
     2233ro 90
     2234va (VaSet
     2235vasetType 1
     2236fg "0,65535,0"
     2237)
     2238xt "109000,80625,109750,81375"
     2239)
     2240tg (CPTG
     2241uid 2653,0
     2242ps "CptPortTextPlaceStrategy"
     2243stg "RightVerticalLayoutStrategy"
     2244f (Text
     2245uid 2654,0
     2246va (VaSet
     2247)
     2248xt "97600,80500,108000,81500"
     2249st "debug_data_ram_empty"
     2250ju 2
     2251blo "108000,81300"
     2252)
     2253)
     2254thePort (LogicalPort
     2255m 1
     2256decl (Decl
     2257n "debug_data_ram_empty"
     2258t "std_logic"
     2259o 32
     2260suid 104,0
     2261)
     2262)
     2263)
     2264*56 (CptPort
     2265uid 2655,0
     2266ps "OnEdgeStrategy"
     2267shape (Triangle
     2268uid 2656,0
     2269ro 90
     2270va (VaSet
     2271vasetType 1
     2272fg "0,65535,0"
     2273)
     2274xt "109000,81625,109750,82375"
     2275)
     2276tg (CPTG
     2277uid 2657,0
     2278ps "CptPortTextPlaceStrategy"
     2279stg "RightVerticalLayoutStrategy"
     2280f (Text
     2281uid 2658,0
     2282va (VaSet
     2283)
     2284xt "100500,81500,108000,82500"
     2285st "debug_data_valid"
     2286ju 2
     2287blo "108000,82300"
     2288)
     2289)
     2290thePort (LogicalPort
     2291m 1
     2292decl (Decl
     2293n "debug_data_valid"
     2294t "std_logic"
     2295o 33
     2296suid 105,0
     2297)
     2298)
     2299)
     2300*57 (CptPort
     2301uid 2659,0
     2302ps "OnEdgeStrategy"
     2303shape (Triangle
     2304uid 2660,0
     2305ro 90
     2306va (VaSet
     2307vasetType 1
     2308fg "0,65535,0"
     2309)
     2310xt "109000,82625,109750,83375"
     2311)
     2312tg (CPTG
     2313uid 2661,0
     2314ps "CptPortTextPlaceStrategy"
     2315stg "RightVerticalLayoutStrategy"
     2316f (Text
     2317uid 2662,0
     2318va (VaSet
     2319)
     2320xt "101100,82500,108000,83500"
     2321st "DG_state : (7:0)"
     2322ju 2
     2323blo "108000,83300"
     2324)
     2325)
     2326thePort (LogicalPort
     2327m 1
     2328decl (Decl
     2329n "DG_state"
     2330t "std_logic_vector"
     2331b "(7 downto 0)"
     2332prec "-- for debugging"
     2333preAdd 0
     2334o 19
     2335suid 108,0
     2336)
     2337)
     2338)
     2339*58 (CptPort
     2340uid 2663,0
     2341ps "OnEdgeStrategy"
     2342shape (Triangle
     2343uid 2664,0
     2344ro 90
     2345va (VaSet
     2346vasetType 1
     2347fg "0,65535,0"
     2348)
     2349xt "80250,77625,81000,78375"
     2350)
     2351tg (CPTG
     2352uid 2665,0
     2353ps "CptPortTextPlaceStrategy"
     2354stg "VerticalLayoutStrategy"
     2355f (Text
     2356uid 2666,0
     2357va (VaSet
     2358)
     2359xt "82000,77500,90100,78500"
     2360st "FTM_RS485_rx_d"
     2361blo "82000,78300"
     2362)
     2363)
     2364thePort (LogicalPort
     2365decl (Decl
     2366n "FTM_RS485_rx_d"
     2367t "std_logic"
     2368o 3
     2369suid 99,0
     2370)
     2371)
     2372)
     2373*59 (CptPort
     2374uid 2667,0
     2375ps "OnEdgeStrategy"
     2376shape (Triangle
     2377uid 2668,0
     2378ro 90
     2379va (VaSet
     2380vasetType 1
     2381fg "0,65535,0"
     2382)
     2383xt "109000,83625,109750,84375"
     2384)
     2385tg (CPTG
     2386uid 2669,0
     2387ps "CptPortTextPlaceStrategy"
     2388stg "RightVerticalLayoutStrategy"
     2389f (Text
     2390uid 2670,0
     2391va (VaSet
     2392)
     2393xt "99600,83500,108000,84500"
     2394st "FTM_RS485_rx_en"
     2395ju 2
     2396blo "108000,84300"
     2397)
     2398)
     2399thePort (LogicalPort
     2400m 1
     2401decl (Decl
     2402n "FTM_RS485_rx_en"
     2403t "std_logic"
     2404o 20
     2405suid 101,0
     2406)
     2407)
     2408)
     2409*60 (CptPort
     2410uid 2671,0
     2411ps "OnEdgeStrategy"
     2412shape (Triangle
     2413uid 2672,0
     2414ro 90
     2415va (VaSet
     2416vasetType 1
     2417fg "0,65535,0"
     2418)
     2419xt "109000,84625,109750,85375"
     2420)
     2421tg (CPTG
     2422uid 2673,0
     2423ps "CptPortTextPlaceStrategy"
     2424stg "RightVerticalLayoutStrategy"
     2425f (Text
     2426uid 2674,0
     2427va (VaSet
     2428)
     2429xt "99900,84500,108000,85500"
     2430st "FTM_RS485_tx_d"
     2431ju 2
     2432blo "108000,85300"
     2433)
     2434)
     2435thePort (LogicalPort
     2436m 1
     2437decl (Decl
     2438n "FTM_RS485_tx_d"
     2439t "std_logic"
     2440o 21
     2441suid 100,0
     2442)
     2443)
     2444)
     2445*61 (CptPort
     2446uid 2675,0
     2447ps "OnEdgeStrategy"
     2448shape (Triangle
     2449uid 2676,0
     2450ro 90
     2451va (VaSet
     2452vasetType 1
     2453fg "0,65535,0"
     2454)
     2455xt "109000,85625,109750,86375"
     2456)
     2457tg (CPTG
     2458uid 2677,0
     2459ps "CptPortTextPlaceStrategy"
     2460stg "RightVerticalLayoutStrategy"
     2461f (Text
     2462uid 2678,0
     2463va (VaSet
     2464)
     2465xt "99600,85500,108000,86500"
     2466st "FTM_RS485_tx_en"
     2467ju 2
     2468blo "108000,86300"
     2469)
     2470)
     2471thePort (LogicalPort
     2472m 1
     2473decl (Decl
     2474n "FTM_RS485_tx_en"
     2475t "std_logic"
     2476o 22
     2477suid 102,0
     2478)
     2479)
     2480)
     2481*62 (CptPort
     2482uid 2679,0
     2483ps "OnEdgeStrategy"
     2484shape (Triangle
     2485uid 2680,0
     2486ro 90
     2487va (VaSet
     2488vasetType 1
     2489fg "0,65535,0"
     2490)
     2491xt "109000,86625,109750,87375"
     2492)
     2493tg (CPTG
     2494uid 2681,0
     2495ps "CptPortTextPlaceStrategy"
     2496stg "RightVerticalLayoutStrategy"
     2497f (Text
     2498uid 2682,0
     2499va (VaSet
     2500)
     2501xt "96600,86500,108000,87500"
     2502st "mem_manager_state : (3:0)"
     2503ju 2
     2504blo "108000,87300"
     2505)
     2506)
     2507thePort (LogicalPort
     2508lang 2
     2509m 1
     2510decl (Decl
     2511n "mem_manager_state"
     2512t "std_logic_vector"
     2513b "(3 DOWNTO 0)"
     2514eolc "-- state is encoded here ... useful for debugging."
     2515posAdd 0
     2516o 39
     2517suid 106,0
     2518)
     2519)
     2520)
     2521*63 (CptPort
     2522uid 2683,0
     2523ps "OnEdgeStrategy"
     2524shape (Triangle
     2525uid 2684,0
     2526ro 90
     2527va (VaSet
     2528vasetType 1
     2529fg "0,65535,0"
     2530)
     2531xt "109000,87625,109750,88375"
     2532)
     2533tg (CPTG
     2534uid 2685,0
     2535ps "CptPortTextPlaceStrategy"
     2536stg "RightVerticalLayoutStrategy"
     2537f (Text
     2538uid 2686,0
     2539va (VaSet
     2540)
     2541xt "102400,87500,108000,88500"
     2542st "trigger_veto"
     2543ju 2
     2544blo "108000,88300"
     2545)
     2546)
     2547thePort (LogicalPort
     2548m 1
     2549decl (Decl
     2550n "trigger_veto"
     2551t "std_logic"
     2552o 45
     2553suid 98,0
     2554i "'1'"
     2555)
     2556)
     2557)
     2558*64 (CptPort
     2559uid 2687,0
     2560ps "OnEdgeStrategy"
     2561shape (Triangle
     2562uid 2688,0
     2563ro 90
     2564va (VaSet
     2565vasetType 1
     2566fg "0,65535,0"
     2567)
     2568xt "109000,88625,109750,89375"
     2569)
     2570tg (CPTG
     2571uid 2689,0
     2572ps "CptPortTextPlaceStrategy"
     2573stg "RightVerticalLayoutStrategy"
     2574f (Text
     2575uid 2690,0
     2576va (VaSet
     2577)
     2578xt "99600,88500,108000,89500"
     2579st "w5300_state : (7:0)"
     2580ju 2
     2581blo "108000,89300"
     2582)
     2583)
     2584thePort (LogicalPort
     2585m 1
     2586decl (Decl
     2587n "w5300_state"
     2588t "std_logic_vector"
     2589b "(7 DOWNTO 0)"
     2590eolc "-- state is encoded here ... useful for debugging."
     2591posAdd 0
     2592o 46
     2593suid 103,0
     2594)
     2595)
     2596)
     2597*65 (CptPort
     2598uid 2924,0
     2599ps "OnEdgeStrategy"
     2600shape (Triangle
     2601uid 2925,0
     2602ro 90
     2603va (VaSet
     2604vasetType 1
     2605fg "0,65535,0"
     2606)
     2607xt "109000,89625,109750,90375"
     2608)
     2609tg (CPTG
     2610uid 2926,0
     2611ps "CptPortTextPlaceStrategy"
     2612stg "RightVerticalLayoutStrategy"
     2613f (Text
     2614uid 2927,0
     2615va (VaSet
     2616)
     2617xt "96100,89500,108000,90500"
     2618st "socket_tx_free_out : (16:0)"
     2619ju 2
     2620blo "108000,90300"
     2621)
     2622)
     2623thePort (LogicalPort
     2624m 1
     2625decl (Decl
     2626n "socket_tx_free_out"
     2627t "std_logic_vector"
     2628b "(16 DOWNTO 0)"
     2629eolc "-- 17bit value .. that's true"
     2630posAdd 0
     2631o 44
     2632suid 109,0
    22252633)
    22262634)
     
    22352643lineWidth 2
    22362644)
    2237 xt "81000,19000,109000,81000"
     2645xt "81000,19000,109000,91000"
    22382646)
    22392647oxt "15000,-8000,43000,46000"
     
    22432651stg "VerticalLayoutStrategy"
    22442652textVec [
    2245 *55 (Text
     2653*66 (Text
    22462654uid 236,0
    22472655va (VaSet
     
    22532661tm "BdLibraryNameMgr"
    22542662)
    2255 *56 (Text
     2663*67 (Text
    22562664uid 237,0
    22572665va (VaSet
     
    22632671tm "CptNameMgr"
    22642672)
    2265 *57 (Text
     2673*68 (Text
    22662674uid 238,0
    22672675va (VaSet
     
    23052713fg "49152,49152,49152"
    23062714)
    2307 xt "81250,79250,82750,80750"
     2715xt "81250,89250,82750,90750"
    23082716iconName "BlockDiagram.png"
    23092717iconMaskName "BlockDiagram.msk"
     
    23152723archFileType "UNKNOWN"
    23162724)
    2317 *58 (SaComponent
     2725*69 (SaComponent
    23182726uid 274,0
    23192727optionalChildren [
    2320 *59 (CptPort
     2728*70 (CptPort
    23212729uid 266,0
    23222730ps "OnEdgeStrategy"
     
    23542762)
    23552763)
    2356 *60 (CptPort
     2764*71 (CptPort
    23572765uid 270,0
    23582766ps "OnEdgeStrategy"
     
    24072815stg "VerticalLayoutStrategy"
    24082816textVec [
    2409 *61 (Text
     2817*72 (Text
    24102818uid 277,0
    24112819va (VaSet
     
    24172825tm "BdLibraryNameMgr"
    24182826)
    2419 *62 (Text
     2827*73 (Text
    24202828uid 278,0
    24212829va (VaSet
     
    24272835tm "CptNameMgr"
    24282836)
    2429 *63 (Text
     2837*74 (Text
    24302838uid 279,0
    24312839va (VaSet
     
    24862894archFileType "UNKNOWN"
    24872895)
    2488 *64 (Net
     2896*75 (Net
    24892897uid 284,0
    24902898decl (Decl
     
    25012909font "Courier New,8,0"
    25022910)
    2503 xt "-90000,41400,-68000,42200"
    2504 st "SIGNAL clk                   : STD_LOGIC"
    2505 )
    2506 )
    2507 *65 (Net
     2911xt "-90000,46200,-68000,47000"
     2912st "SIGNAL clk                   : STD_LOGIC
     2913"
     2914)
     2915)
     2916*76 (Net
    25082917uid 316,0
    25092918decl (Decl
     
    25192928font "Courier New,8,0"
    25202929)
    2521 xt "-90000,54200,-58500,55000"
    2522 st "SIGNAL wiz_addr              : std_logic_vector(9 DOWNTO 0)"
    2523 )
    2524 )
    2525 *66 (Net
     2930xt "-90000,63800,-58500,64600"
     2931st "SIGNAL wiz_addr              : std_logic_vector(9 DOWNTO 0)
     2932"
     2933)
     2934)
     2935*77 (Net
    25262936uid 322,0
    25272937decl (Decl
     
    25372947font "Courier New,8,0"
    25382948)
    2539 xt "-90000,55800,-58000,56600"
    2540 st "SIGNAL wiz_data              : std_logic_vector(15 DOWNTO 0)"
    2541 )
    2542 )
    2543 *67 (Net
     2949xt "-90000,65400,-58000,66200"
     2950st "SIGNAL wiz_data              : std_logic_vector(15 DOWNTO 0)
     2951"
     2952)
     2953)
     2954*78 (Net
    25442955uid 328,0
    25452956decl (Decl
     
    25552966font "Courier New,8,0"
    25562967)
    2557 xt "-90000,57400,-55000,58200"
    2558 st "SIGNAL wiz_rd                : std_logic                    := '1'"
    2559 )
    2560 )
    2561 *68 (Net
     2968xt "-90000,67000,-55000,67800"
     2969st "SIGNAL wiz_rd                : std_logic                    := '1'
     2970"
     2971)
     2972)
     2973*79 (Net
    25622974uid 334,0
    25632975decl (Decl
     
    25732985font "Courier New,8,0"
    25742986)
    2575 xt "-90000,59000,-55000,59800"
    2576 st "SIGNAL wiz_wr                : std_logic                    := '1'"
    2577 )
    2578 )
    2579 *69 (SaComponent
     2987xt "-90000,68600,-55000,69400"
     2988st "SIGNAL wiz_wr                : std_logic                    := '1'
     2989"
     2990)
     2991)
     2992*80 (SaComponent
    25802993uid 362,0
    25812994optionalChildren [
    2582 *70 (CptPort
     2995*81 (CptPort
    25832996uid 350,0
    25842997ps "OnEdgeStrategy"
     
    26163029)
    26173030)
    2618 *71 (CptPort
     3031*82 (CptPort
    26193032uid 354,0
    26203033ps "OnEdgeStrategy"
     
    26533066)
    26543067)
    2655 *72 (CptPort
     3068*83 (CptPort
    26563069uid 358,0
    26573070ps "OnEdgeStrategy"
     
    27073120stg "VerticalLayoutStrategy"
    27083121textVec [
    2709 *73 (Text
     3122*84 (Text
    27103123uid 365,0
    27113124va (VaSet
     
    27173130tm "BdLibraryNameMgr"
    27183131)
    2719 *74 (Text
     3132*85 (Text
    27203133uid 366,0
    27213134va (VaSet
     
    27273140tm "CptNameMgr"
    27283141)
    2729 *75 (Text
     3142*86 (Text
    27303143uid 367,0
    27313144va (VaSet
     
    27813194archFileType "UNKNOWN"
    27823195)
    2783 *76 (Net
     3196*87 (Net
    27843197uid 372,0
    27853198decl (Decl
     
    27953208font "Courier New,8,0"
    27963209)
    2797 xt "-90000,51800,-58500,52600"
    2798 st "SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0)"
    2799 )
    2800 )
    2801 *77 (Net
     3210xt "-90000,59000,-58500,59800"
     3211st "SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0)
     3212"
     3213)
     3214)
     3215*88 (Net
    28023216uid 378,0
    28033217decl (Decl
     
    28123226font "Courier New,8,0"
    28133227)
    2814 xt "-90000,51000,-68000,51800"
    2815 st "SIGNAL sclk                  : std_logic"
    2816 )
    2817 )
    2818 *78 (Net
     3228xt "-90000,58200,-68000,59000"
     3229st "SIGNAL sclk                  : std_logic
     3230"
     3231)
     3232)
     3233*89 (Net
    28193234uid 384,0
    28203235decl (Decl
     
    28313246font "Courier New,8,0"
    28323247)
    2833 xt "-90000,52600,-68000,53400"
    2834 st "SIGNAL sio                   : std_logic"
    2835 )
    2836 )
    2837 *79 (SaComponent
     3248xt "-90000,59800,-68000,60600"
     3249st "SIGNAL sio                   : std_logic
     3250"
     3251)
     3252)
     3253*90 (SaComponent
    28383254uid 414,0
    28393255optionalChildren [
    2840 *80 (CptPort
     3256*91 (CptPort
    28413257uid 410,0
    28423258ps "OnEdgeStrategy"
     
    28933309stg "VerticalLayoutStrategy"
    28943310textVec [
    2895 *81 (Text
     3311*92 (Text
    28963312uid 417,0
    28973313va (VaSet
     
    29033319tm "BdLibraryNameMgr"
    29043320)
    2905 *82 (Text
     3321*93 (Text
    29063322uid 418,0
    29073323va (VaSet
     
    29133329tm "CptNameMgr"
    29143330)
    2915 *83 (Text
     3331*94 (Text
    29163332uid 419,0
    29173333va (VaSet
     
    29733389archFileType "UNKNOWN"
    29743390)
    2975 *84 (Net
     3391*95 (Net
    29763392uid 424,0
    29773393decl (Decl
     
    29883404font "Courier New,8,0"
    29893405)
    2990 xt "-90000,53400,-68000,54200"
    2991 st "SIGNAL trigger               : std_logic"
    2992 )
    2993 )
    2994 *85 (HdlText
     3406xt "-90000,61400,-68000,62200"
     3407st "SIGNAL trigger               : std_logic
     3408"
     3409)
     3410)
     3411*96 (HdlText
    29953412uid 430,0
    29963413optionalChildren [
    2997 *86 (EmbeddedText
     3414*97 (EmbeddedText
    29983415uid 436,0
    29993416commentText (CommentText
     
    30463463stg "VerticalLayoutStrategy"
    30473464textVec [
    3048 *87 (Text
     3465*98 (Text
    30493466uid 433,0
    30503467va (VaSet
     
    30563473tm "HdlTextNameMgr"
    30573474)
    3058 *88 (Text
     3475*99 (Text
    30593476uid 434,0
    30603477va (VaSet
     
    30823499viewiconposition 0
    30833500)
    3084 *89 (Net
     3501*100 (Net
    30853502uid 440,0
    30863503decl (Decl
     
    30983515font "Courier New,8,0"
    30993516)
    3100 xt "-90000,40600,-58500,41400"
    3101 st "SIGNAL board_id              : std_logic_vector(3 downto 0)"
    3102 )
    3103 )
    3104 *90 (Net
     3517xt "-90000,45400,-58500,46200"
     3518st "SIGNAL board_id              : std_logic_vector(3 downto 0)
     3519"
     3520)
     3521)
     3522*101 (Net
    31053523uid 448,0
    31063524decl (Decl
     
    31163534font "Courier New,8,0"
    31173535)
    3118 xt "-90000,43000,-58500,43800"
    3119 st "SIGNAL crate_id              : std_logic_vector(1 downto 0)"
    3120 )
    3121 )
    3122 *91 (SaComponent
     3536xt "-90000,47800,-58500,48600"
     3537st "SIGNAL crate_id              : std_logic_vector(1 downto 0)
     3538"
     3539)
     3540)
     3541*102 (SaComponent
    31233542uid 508,0
    31243543optionalChildren [
    3125 *92 (CptPort
     3544*103 (CptPort
    31263545uid 489,0
    31273546ps "OnEdgeStrategy"
     
    31593578)
    31603579)
    3161 *93 (CptPort
     3580*104 (CptPort
    31623581uid 493,0
    31633582ps "OnEdgeStrategy"
     
    31983617)
    31993618)
    3200 *94 (CptPort
     3619*105 (CptPort
    32013620uid 497,0
    32023621ps "OnEdgeStrategy"
     
    32363655)
    32373656)
    3238 *95 (CptPort
     3657*106 (CptPort
    32393658uid 501,0
    32403659ps "OnEdgeStrategy"
     
    32903709stg "VerticalLayoutStrategy"
    32913710textVec [
    3292 *96 (Text
     3711*107 (Text
    32933712uid 511,0
    32943713va (VaSet
     
    33003719tm "BdLibraryNameMgr"
    33013720)
    3302 *97 (Text
     3721*108 (Text
    33033722uid 512,0
    33043723va (VaSet
     
    33103729tm "CptNameMgr"
    33113730)
    3312 *98 (Text
     3731*109 (Text
    33133732uid 513,0
    33143733va (VaSet
     
    33643783archFileType "UNKNOWN"
    33653784)
    3366 *99 (HdlText
     3785*110 (HdlText
    33673786uid 518,0
    33683787optionalChildren [
    3369 *100 (EmbeddedText
     3788*111 (EmbeddedText
    33703789uid 524,0
    33713790commentText (CommentText
     
    34243843stg "VerticalLayoutStrategy"
    34253844textVec [
    3426 *101 (Text
     3845*112 (Text
    34273846uid 521,0
    34283847va (VaSet
     
    34343853tm "HdlTextNameMgr"
    34353854)
    3436 *102 (Text
     3855*113 (Text
    34373856uid 522,0
    34383857va (VaSet
     
    34603879viewiconposition 0
    34613880)
    3462 *103 (Net
     3881*114 (Net
    34633882uid 528,0
    34643883decl (Decl
     
    34743893font "Courier New,8,0"
    34753894)
    3476 xt "-90000,37400,-58500,38200"
    3477 st "SIGNAL adc_otr_array         : std_logic_vector(3 DOWNTO 0)"
    3478 )
    3479 )
    3480 *104 (Net
     3895xt "-90000,42200,-58500,43000"
     3896st "SIGNAL adc_otr_array         : std_logic_vector(3 DOWNTO 0)
     3897"
     3898)
     3899)
     3900*115 (Net
    34813901uid 536,0
    34823902decl (Decl
     
    34913911font "Courier New,8,0"
    34923912)
    3493 xt "-90000,35000,-63000,35800"
    3494 st "SIGNAL adc_data_array        : adc_data_array_type"
    3495 )
    3496 )
    3497 *105 (Net
     3913xt "-90000,39800,-63000,40600"
     3914st "SIGNAL adc_data_array        : adc_data_array_type
     3915"
     3916)
     3917)
     3918*116 (Net
    34983919uid 544,0
    34993920decl (Decl
     
    35103931font "Courier New,8,0"
    35113932)
    3512 xt "-90000,35800,-68000,36600"
    3513 st "SIGNAL adc_oeb               : std_logic"
    3514 )
    3515 )
    3516 *106 (Net
     3933xt "-90000,40600,-68000,41400"
     3934st "SIGNAL adc_oeb               : std_logic
     3935"
     3936)
     3937)
     3938*117 (Net
    35173939uid 560,0
    35183940decl (Decl
     
    35293951font "Courier New,8,0"
    35303952)
    3531 xt "-90000,36600,-68000,37400"
    3532 st "SIGNAL adc_otr               : STD_LOGIC"
    3533 )
    3534 )
    3535 *107 (Net
     3953xt "-90000,41400,-68000,42200"
     3954st "SIGNAL adc_otr               : STD_LOGIC
     3955"
     3956)
     3957)
     3958*118 (Net
    35363959uid 568,0
    35373960decl (Decl
     
    35493972font "Courier New,8,0"
    35503973)
    3551 xt "-90000,34200,-58000,35000"
    3552 st "SIGNAL adc_data              : std_logic_vector(11 DOWNTO 0)"
    3553 )
    3554 )
    3555 *108 (Net
     3974xt "-90000,39000,-58000,39800"
     3975st "SIGNAL adc_data              : std_logic_vector(11 DOWNTO 0)
     3976"
     3977)
     3978)
     3979*119 (Net
    35563980uid 767,0
    35573981decl (Decl
     
    35673991font "Courier New,8,0"
    35683992)
    3569 xt "-90000,58200,-55000,59000"
    3570 st "SIGNAL wiz_reset             : std_logic                    := '1'"
    3571 )
    3572 )
    3573 *109 (Net
     3993xt "-90000,67800,-55000,68600"
     3994st "SIGNAL wiz_reset             : std_logic                    := '1'
     3995"
     3996)
     3997)
     3998*120 (Net
    35743999uid 775,0
    35754000decl (Decl
     
    35874012font "Courier New,8,0"
    35884013)
    3589 xt "-90000,47800,-49000,48600"
    3590 st "SIGNAL led                   : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
    3591 )
    3592 )
    3593 *110 (Net
     4014xt "-90000,54200,-49000,55000"
     4015st "SIGNAL led                   : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
     4016"
     4017)
     4018)
     4019*121 (Net
    35944020uid 783,0
    35954021decl (Decl
     
    36054031font "Courier New,8,0"
    36064032)
    3607 xt "-90000,55000,-55000,55800"
    3608 st "SIGNAL wiz_cs                : std_logic                    := '1'"
    3609 )
    3610 )
    3611 *111 (Net
     4033xt "-90000,64600,-55000,65400"
     4034st "SIGNAL wiz_cs                : std_logic                    := '1'
     4035"
     4036)
     4037)
     4038*122 (Net
    36124039uid 791,0
    36134040decl (Decl
     
    36224049font "Courier New,8,0"
    36234050)
    3624 xt "-90000,56600,-68000,57400"
    3625 st "SIGNAL wiz_int               : std_logic"
    3626 )
    3627 )
    3628 *112 (Net
     4051xt "-90000,66200,-68000,67000"
     4052st "SIGNAL wiz_int               : std_logic
     4053"
     4054)
     4055)
     4056*123 (Net
    36294057uid 799,0
    36304058decl (Decl
     
    36394067font "Courier New,8,0"
    36404068)
    3641 xt "-90000,43800,-68000,44600"
    3642 st "SIGNAL dac_cs                : std_logic"
    3643 )
    3644 )
    3645 *113 (Net
     4069xt "-90000,48600,-68000,49400"
     4070st "SIGNAL dac_cs                : std_logic
     4071"
     4072)
     4073)
     4074*124 (Net
    36464075uid 807,0
    36474076decl (Decl
     
    36574086font "Courier New,8,0"
    36584087)
    3659 xt "-90000,48600,-55000,49400"
    3660 st "SIGNAL mosi                  : std_logic                    := '0'"
    3661 )
    3662 )
    3663 *114 (Net
     4088xt "-90000,55800,-55000,56600"
     4089st "SIGNAL mosi                  : std_logic                    := '0'
     4090"
     4091)
     4092)
     4093*125 (Net
    36644094uid 815,0
    36654095decl (Decl
     
    36774107font "Courier New,8,0"
    36784108)
    3679 xt "-90000,44600,-41500,45400"
    3680 st "SIGNAL denable               : std_logic                    := '0' -- default domino wave off"
    3681 )
    3682 )
    3683 *115 (Net
     4109xt "-90000,51000,-41500,51800"
     4110st "SIGNAL denable               : std_logic                    := '0' -- default domino wave off
     4111"
     4112)
     4113)
     4114*126 (Net
    36844115uid 823,0
    36854116decl (Decl
     
    36954126)
    36964127xt "-90000,25400,-68000,26200"
    3697 st "SIGNAL CLK_25_PS             : std_logic"
    3698 )
    3699 )
    3700 *116 (Net
     4128st "SIGNAL CLK_25_PS             : std_logic
     4129"
     4130)
     4131)
     4132*127 (Net
    37014133uid 831,0
    37024134decl (Decl
     
    37124144)
    37134145xt "-90000,26200,-68000,27000"
    3714 st "SIGNAL CLK_50                : std_logic"
    3715 )
    3716 )
    3717 *117 (Net
     4146st "SIGNAL CLK_50                : std_logic
     4147"
     4148)
     4149)
     4150*128 (Net
    37184151uid 839,0
    37194152decl (Decl
     
    37304163font "Courier New,8,0"
    37314164)
    3732 xt "-90000,45400,-49000,46200"
    3733 st "SIGNAL drs_channel_id        : std_logic_vector(3 downto 0) := (others => '0')"
    3734 )
    3735 )
    3736 *118 (Net
     4165xt "-90000,51800,-49000,52600"
     4166st "SIGNAL drs_channel_id        : std_logic_vector(3 downto 0) := (others => '0')
     4167"
     4168)
     4169)
     4170*129 (Net
    37374171uid 847,0
    37384172decl (Decl
     
    37484182font "Courier New,8,0"
    37494183)
    3750 xt "-90000,46200,-55000,47000"
    3751 st "SIGNAL drs_dwrite            : std_logic                    := '1'"
    3752 )
    3753 )
    3754 *119 (Net
     4184xt "-90000,52600,-55000,53400"
     4185st "SIGNAL drs_dwrite            : std_logic                    := '1'
     4186"
     4187)
     4188)
     4189*130 (Net
    37554190uid 855,0
    37564191decl (Decl
     
    37664201font "Courier New,8,0"
    37674202)
    3768 xt "-90000,28600,-55000,29400"
    3769 st "SIGNAL RSRLOAD               : std_logic                    := '0'"
    3770 )
    3771 )
    3772 *120 (Net
     4203xt "-90000,33400,-55000,34200"
     4204st "SIGNAL RSRLOAD               : std_logic                    := '0'
     4205"
     4206)
     4207)
     4208*131 (Net
    37734209uid 863,0
    37744210decl (Decl
     
    37844220font "Courier New,8,0"
    37854221)
    3786 xt "-90000,29400,-55000,30200"
    3787 st "SIGNAL SRCLK                 : std_logic                    := '0'"
    3788 )
    3789 )
    3790 *121 (Net
     4222xt "-90000,34200,-55000,35000"
     4223st "SIGNAL SRCLK                 : std_logic                    := '0'
     4224"
     4225)
     4226)
     4227*132 (Net
    37914228uid 871,0
    37924229decl (Decl
     
    38014238font "Courier New,8,0"
    38024239)
    3803 xt "-90000,31000,-68000,31800"
    3804 st "SIGNAL SROUT_in_0            : std_logic"
    3805 )
    3806 )
    3807 *122 (Net
     4240xt "-90000,35800,-68000,36600"
     4241st "SIGNAL SROUT_in_0            : std_logic
     4242"
     4243)
     4244)
     4245*133 (Net
    38084246uid 879,0
    38094247decl (Decl
     
    38184256font "Courier New,8,0"
    38194257)
    3820 xt "-90000,31800,-68000,32600"
    3821 st "SIGNAL SROUT_in_1            : std_logic"
    3822 )
    3823 )
    3824 *123 (Net
     4258xt "-90000,36600,-68000,37400"
     4259st "SIGNAL SROUT_in_1            : std_logic
     4260"
     4261)
     4262)
     4263*134 (Net
    38254264uid 887,0
    38264265decl (Decl
     
    38354274font "Courier New,8,0"
    38364275)
    3837 xt "-90000,32600,-68000,33400"
    3838 st "SIGNAL SROUT_in_2            : std_logic"
    3839 )
    3840 )
    3841 *124 (Net
     4276xt "-90000,37400,-68000,38200"
     4277st "SIGNAL SROUT_in_2            : std_logic
     4278"
     4279)
     4280)
     4281*135 (Net
    38424282uid 895,0
    38434283decl (Decl
     
    38524292font "Courier New,8,0"
    38534293)
    3854 xt "-90000,33400,-68000,34200"
    3855 st "SIGNAL SROUT_in_3            : std_logic"
    3856 )
    3857 )
    3858 *125 (Net
     4294xt "-90000,38200,-68000,39000"
     4295st "SIGNAL SROUT_in_3            : std_logic
     4296"
     4297)
     4298)
     4299*136 (Net
    38594300uid 1435,0
    38604301decl (Decl
     
    38704311font "Courier New,8,0"
    38714312)
    3872 xt "-90000,30200,-55000,31000"
    3873 st "SIGNAL SRIN_out              : std_logic                    := '0'"
    3874 )
    3875 )
    3876 *126 (Net
     4313xt "-90000,35000,-55000,35800"
     4314st "SIGNAL SRIN_out              : std_logic                    := '0'
     4315"
     4316)
     4317)
     4318*137 (Net
    38774319uid 1443,0
    38784320decl (Decl
     
    38874329font "Courier New,8,0"
    38884330)
    3889 xt "-90000,39800,-68000,40600"
    3890 st "SIGNAL amber                 : std_logic"
    3891 )
    3892 )
    3893 *127 (Net
     4331xt "-90000,44600,-68000,45400"
     4332st "SIGNAL amber                 : std_logic
     4333"
     4334)
     4335)
     4336*138 (Net
    38944337uid 1451,0
    38954338decl (Decl
     
    39044347font "Courier New,8,0"
    39054348)
    3906 xt "-90000,50200,-68000,51000"
    3907 st "SIGNAL red                   : std_logic"
    3908 )
    3909 )
    3910 *128 (Net
     4349xt "-90000,57400,-68000,58200"
     4350st "SIGNAL red                   : std_logic
     4351"
     4352)
     4353)
     4354*139 (Net
    39114355uid 1459,0
    39124356decl (Decl
     
    39214365font "Courier New,8,0"
    39224366)
    3923 xt "-90000,47000,-68000,47800"
    3924 st "SIGNAL green                 : std_logic"
    3925 )
    3926 )
    3927 *129 (Net
     4367xt "-90000,53400,-68000,54200"
     4368st "SIGNAL green                 : std_logic
     4369"
     4370)
     4371)
     4372*140 (Net
    39284373uid 1467,0
    39294374decl (Decl
     
    39394384font "Courier New,8,0"
    39404385)
    3941 xt "-90000,42200,-58000,43000"
    3942 st "SIGNAL counter_result        : std_logic_vector(11 DOWNTO 0)"
    3943 )
    3944 )
    3945 *130 (Net
     4386xt "-90000,47000,-58000,47800"
     4387st "SIGNAL counter_result        : std_logic_vector(11 DOWNTO 0)
     4388"
     4389)
     4390)
     4391*141 (Net
    39464392uid 1475,0
    39474393decl (Decl
     
    39574403font "Courier New,8,0"
    39584404)
    3959 xt "-90000,39000,-68000,39800"
    3960 st "SIGNAL alarm_refclk_too_low  : std_logic"
    3961 )
    3962 )
    3963 *131 (Net
     4405xt "-90000,43800,-68000,44600"
     4406st "SIGNAL alarm_refclk_too_low  : std_logic
     4407"
     4408)
     4409)
     4410*142 (Net
    39644411uid 1483,0
    39654412decl (Decl
     
    39744421font "Courier New,8,0"
    39754422)
    3976 xt "-90000,38200,-68000,39000"
    3977 st "SIGNAL alarm_refclk_too_high : std_logic"
    3978 )
    3979 )
    3980 *132 (HdlText
     4423xt "-90000,43000,-68000,43800"
     4424st "SIGNAL alarm_refclk_too_high : std_logic
     4425"
     4426)
     4427)
     4428*143 (HdlText
    39814429uid 1491,0
    39824430optionalChildren [
    3983 *133 (EmbeddedText
     4431*144 (EmbeddedText
    39844432uid 1497,0
    39854433commentText (CommentText
     
    40364484stg "VerticalLayoutStrategy"
    40374485textVec [
    4038 *134 (Text
     4486*145 (Text
    40394487uid 1494,0
    40404488va (VaSet
     
    40464494tm "HdlTextNameMgr"
    40474495)
    4048 *135 (Text
     4496*146 (Text
    40494497uid 1495,0
    40504498va (VaSet
     
    40724520viewiconposition 0
    40734521)
    4074 *136 (Net
     4522*147 (Net
    40754523uid 1501,0
    40764524decl (Decl
     
    40864534font "Courier New,8,0"
    40874535)
    4088 xt "-90000,27000,-58500,27800"
    4089 st "SIGNAL D_T_in                : std_logic_vector(1 DOWNTO 0)"
    4090 )
    4091 )
    4092 *137 (SaComponent
     4536xt "-90000,28600,-58500,29400"
     4537st "SIGNAL D_T_in                : std_logic_vector(1 DOWNTO 0)
     4538"
     4539)
     4540)
     4541*148 (SaComponent
    40934542uid 1509,0
    40944543optionalChildren [
    4095 *138 (CptPort
     4544*149 (CptPort
    40964545uid 1519,0
    40974546ps "OnEdgeStrategy"
     
    41294578)
    41304579)
    4131 *139 (CptPort
     4580*150 (CptPort
    41324581uid 1523,0
    41334582ps "OnEdgeStrategy"
     
    41824631stg "VerticalLayoutStrategy"
    41834632textVec [
    4184 *140 (Text
     4633*151 (Text
    41854634uid 1512,0
    41864635va (VaSet
     
    41924641tm "BdLibraryNameMgr"
    41934642)
    4194 *141 (Text
     4643*152 (Text
    41954644uid 1513,0
    41964645va (VaSet
     
    42024651tm "CptNameMgr"
    42034652)
    4204 *142 (Text
     4653*153 (Text
    42054654uid 1514,0
    42064655va (VaSet
     
    42614710archFileType "UNKNOWN"
    42624711)
    4263 *143 (Net
     4712*154 (Net
    42644713uid 1559,0
    42654714decl (Decl
     
    42764725font "Courier New,8,0"
    42774726)
    4278 xt "-90000,49400,-29000,50200"
    4279 st "SIGNAL plllock_in            : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked"
    4280 )
    4281 )
    4282 *144 (Net
     4727xt "-90000,56600,-29000,57400"
     4728st "SIGNAL plllock_in            : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked
     4729"
     4730)
     4731)
     4732*155 (Net
    42834733uid 1682,0
    42844734lang 2
     
    42954745)
    42964746xt "-90000,24600,-68000,25400"
    4297 st "SIGNAL ADC_CLK               : std_logic"
    4298 )
    4299 )
    4300 *145 (Net
     4747st "SIGNAL ADC_CLK               : std_logic
     4748"
     4749)
     4750)
     4751*156 (Net
    43014752uid 2001,0
    43024753decl (Decl
     
    43124763font "Courier New,8,0"
    43134764)
    4314 xt "-90000,27800,-55000,28600"
    4315 st "SIGNAL REF_CLK               : STD_LOGIC                    := '0'"
    4316 )
    4317 )
    4318 *146 (SaComponent
     4765xt "-90000,32600,-55000,33400"
     4766st "SIGNAL REF_CLK               : STD_LOGIC                    := '0'
     4767"
     4768)
     4769)
     4770*157 (SaComponent
    43194771uid 2336,0
    43204772optionalChildren [
    4321 *147 (CptPort
     4773*158 (CptPort
    43224774uid 2315,0
    43234775ps "OnEdgeStrategy"
     
    43564808)
    43574809)
    4358 *148 (CptPort
     4810*159 (CptPort
    43594811uid 2319,0
    43604812ps "OnEdgeStrategy"
     
    43944846)
    43954847)
    4396 *149 (CptPort
     4848*160 (CptPort
    43974849uid 2323,0
    43984850ps "OnEdgeStrategy"
     
    44304882)
    44314883)
    4432 *150 (CptPort
     4884*161 (CptPort
    44334885uid 2327,0
    44344886ps "OnEdgeStrategy"
     
    44664918)
    44674919)
    4468 *151 (CptPort
     4920*162 (CptPort
    44694921uid 2331,0
    44704922ps "OnEdgeStrategy"
     
    45024954)
    45034955)
    4504 *152 (CptPort
     4956*163 (CptPort
    45054957uid 2548,0
    45064958ps "OnEdgeStrategy"
     
    45535005stg "VerticalLayoutStrategy"
    45545006textVec [
    4555 *153 (Text
     5007*164 (Text
    45565008uid 2339,0
    45575009va (VaSet
     
    45635015tm "BdLibraryNameMgr"
    45645016)
    4565 *154 (Text
     5017*165 (Text
    45665018uid 2340,0
    45675019va (VaSet
     
    45735025tm "CptNameMgr"
    45745026)
    4575 *155 (Text
     5027*166 (Text
    45765028uid 2341,0
    45775029va (VaSet
     
    46205072archFileType "UNKNOWN"
    46215073)
    4622 *156 (Wire
     5074*167 (Net
     5075uid 2705,0
     5076decl (Decl
     5077n "debug_data_ram_empty"
     5078t "std_logic"
     5079o 45
     5080suid 53,0
     5081)
     5082declText (MLText
     5083uid 2706,0
     5084va (VaSet
     5085font "Courier New,8,0"
     5086)
     5087xt "-90000,49400,-68000,50200"
     5088st "SIGNAL debug_data_ram_empty  : std_logic
     5089"
     5090)
     5091)
     5092*168 (Net
     5093uid 2713,0
     5094decl (Decl
     5095n "debug_data_valid"
     5096t "std_logic"
     5097o 46
     5098suid 54,0
     5099)
     5100declText (MLText
     5101uid 2714,0
     5102va (VaSet
     5103font "Courier New,8,0"
     5104)
     5105xt "-90000,50200,-68000,51000"
     5106st "SIGNAL debug_data_valid      : std_logic
     5107"
     5108)
     5109)
     5110*169 (Net
     5111uid 2721,0
     5112decl (Decl
     5113n "DG_state"
     5114t "std_logic_vector"
     5115b "(7 downto 0)"
     5116prec "-- for debugging"
     5117preAdd 0
     5118o 47
     5119suid 55,0
     5120)
     5121declText (MLText
     5122uid 2722,0
     5123va (VaSet
     5124font "Courier New,8,0"
     5125)
     5126xt "-90000,27000,-58500,28600"
     5127st "-- for debugging
     5128SIGNAL DG_state              : std_logic_vector(7 downto 0)
     5129"
     5130)
     5131)
     5132*170 (Net
     5133uid 2729,0
     5134decl (Decl
     5135n "FTM_RS485_rx_en"
     5136t "std_logic"
     5137o 48
     5138suid 56,0
     5139)
     5140declText (MLText
     5141uid 2730,0
     5142va (VaSet
     5143font "Courier New,8,0"
     5144)
     5145xt "-90000,30200,-68000,31000"
     5146st "SIGNAL FTM_RS485_rx_en       : std_logic
     5147"
     5148)
     5149)
     5150*171 (Net
     5151uid 2737,0
     5152decl (Decl
     5153n "FTM_RS485_tx_d"
     5154t "std_logic"
     5155o 49
     5156suid 57,0
     5157)
     5158declText (MLText
     5159uid 2738,0
     5160va (VaSet
     5161font "Courier New,8,0"
     5162)
     5163xt "-90000,31000,-68000,31800"
     5164st "SIGNAL FTM_RS485_tx_d        : std_logic
     5165"
     5166)
     5167)
     5168*172 (Net
     5169uid 2745,0
     5170decl (Decl
     5171n "FTM_RS485_tx_en"
     5172t "std_logic"
     5173o 50
     5174suid 58,0
     5175)
     5176declText (MLText
     5177uid 2746,0
     5178va (VaSet
     5179font "Courier New,8,0"
     5180)
     5181xt "-90000,31800,-68000,32600"
     5182st "SIGNAL FTM_RS485_tx_en       : std_logic
     5183"
     5184)
     5185)
     5186*173 (Net
     5187uid 2753,0
     5188lang 2
     5189decl (Decl
     5190n "mem_manager_state"
     5191t "std_logic_vector"
     5192b "(3 DOWNTO 0)"
     5193eolc "-- state is encoded here ... useful for debugging."
     5194posAdd 0
     5195o 51
     5196suid 59,0
     5197)
     5198declText (MLText
     5199uid 2754,0
     5200va (VaSet
     5201font "Courier New,8,0"
     5202)
     5203xt "-90000,55000,-33000,55800"
     5204st "SIGNAL mem_manager_state     : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
     5205"
     5206)
     5207)
     5208*174 (Net
     5209uid 2761,0
     5210decl (Decl
     5211n "trigger_veto"
     5212t "std_logic"
     5213o 52
     5214suid 60,0
     5215i "'1'"
     5216)
     5217declText (MLText
     5218uid 2762,0
     5219va (VaSet
     5220font "Courier New,8,0"
     5221)
     5222xt "-90000,62200,-55000,63000"
     5223st "SIGNAL trigger_veto          : std_logic                    := '1'
     5224"
     5225)
     5226)
     5227*175 (Net
     5228uid 2769,0
     5229decl (Decl
     5230n "w5300_state"
     5231t "std_logic_vector"
     5232b "(7 DOWNTO 0)"
     5233eolc "-- state is encoded here ... useful for debugging."
     5234posAdd 0
     5235o 53
     5236suid 61,0
     5237)
     5238declText (MLText
     5239uid 2770,0
     5240va (VaSet
     5241font "Courier New,8,0"
     5242)
     5243xt "-90000,63000,-33000,63800"
     5244st "SIGNAL w5300_state           : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
     5245"
     5246)
     5247)
     5248*176 (Net
     5249uid 2777,0
     5250decl (Decl
     5251n "FTM_RS485_rx_d"
     5252t "std_logic"
     5253o 54
     5254suid 62,0
     5255)
     5256declText (MLText
     5257uid 2778,0
     5258va (VaSet
     5259font "Courier New,8,0"
     5260)
     5261xt "-90000,29400,-68000,30200"
     5262st "SIGNAL FTM_RS485_rx_d        : std_logic
     5263"
     5264)
     5265)
     5266*177 (Net
     5267uid 2942,0
     5268decl (Decl
     5269n "socket_tx_free_out"
     5270t "std_logic_vector"
     5271b "(16 DOWNTO 0)"
     5272eolc "-- 17bit value .. that's true"
     5273posAdd 0
     5274o 55
     5275suid 64,0
     5276)
     5277declText (MLText
     5278uid 2943,0
     5279va (VaSet
     5280font "Courier New,8,0"
     5281)
     5282xt "-90000,60600,-43000,61400"
     5283st "SIGNAL socket_tx_free_out    : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true
     5284"
     5285)
     5286)
     5287*178 (Wire
    46235288uid 286,0
    46245289shape (OrthoPolyLine
     
    46335298]
    46345299)
    4635 start &59
     5300start &70
    46365301end &27
    46375302sat 32
     
    46545319)
    46555320)
    4656 on &64
    4657 )
    4658 *157 (Wire
     5321on &75
     5322)
     5323*179 (Wire
    46595324uid 318,0
    46605325shape (OrthoPolyLine
     
    46715336)
    46725337start &19
    4673 end &147
     5338end &158
    46745339sat 32
    46755340eat 32
     
    46925357)
    46935358)
    4694 on &65
    4695 )
    4696 *158 (Wire
     5359on &76
     5360)
     5361*180 (Wire
    46975362uid 324,0
    46985363shape (OrthoPolyLine
     
    47095374)
    47105375start &20
    4711 end &148
     5376end &159
    47125377sat 32
    47135378eat 32
     
    47305395)
    47315396)
    4732 on &66
    4733 )
    4734 *159 (Wire
     5397on &77
     5398)
     5399*181 (Wire
    47355400uid 330,0
    47365401shape (OrthoPolyLine
     
    47465411)
    47475412start &23
    4748 end &149
     5413end &160
    47495414sat 32
    47505415eat 32
     
    47665431)
    47675432)
    4768 on &67
    4769 )
    4770 *160 (Wire
     5433on &78
     5434)
     5435*182 (Wire
    47715436uid 336,0
    47725437shape (OrthoPolyLine
     
    47825447)
    47835448start &22
    4784 end &150
     5449end &161
    47855450sat 32
    47865451eat 32
     
    48025467)
    48035468)
    4804 on &68
    4805 )
    4806 *161 (Wire
     5469on &79
     5470)
     5471*183 (Wire
    48075472uid 374,0
    48085473shape (OrthoPolyLine
     
    48215486)
    48225487start &41
    4823 end &72
     5488end &83
    48245489sat 32
    48255490eat 32
     
    48425507)
    48435508)
    4844 on &76
    4845 )
    4846 *162 (Wire
     5509on &87
     5510)
     5511*184 (Wire
    48475512uid 380,0
    48485513shape (OrthoPolyLine
     
    48585523)
    48595524start &38
    4860 end &70
     5525end &81
    48615526sat 32
    48625527eat 32
     
    48785543)
    48795544)
    4880 on &77
    4881 )
    4882 *163 (Wire
     5545on &88
     5546)
     5547*185 (Wire
    48835548uid 386,0
    48845549shape (OrthoPolyLine
     
    48945559)
    48955560start &39
    4896 end &71
     5561end &82
    48975562sat 32
    48985563eat 32
     
    49145579)
    49155580)
    4916 on &78
    4917 )
    4918 *164 (Wire
     5581on &89
     5582)
     5583*186 (Wire
    49195584uid 426,0
    49205585shape (OrthoPolyLine
     
    49295594]
    49305595)
    4931 start &80
     5596start &91
    49325597end &15
    49335598sat 32
     
    49495614)
    49505615)
    4951 on &84
    4952 )
    4953 *165 (Wire
     5616on &95
     5617)
     5618*187 (Wire
    49545619uid 442,0
    49555620shape (OrthoPolyLine
     
    49685633)
    49695634start &17
    4970 end &85
     5635end &96
    49715636sat 32
    49725637eat 2
     
    49895654)
    49905655)
    4991 on &89
    4992 )
    4993 *166 (Wire
     5656on &100
     5657)
     5658*188 (Wire
    49945659uid 450,0
    49955660shape (OrthoPolyLine
     
    50085673)
    50095674start &18
    5010 end &85
     5675end &96
    50115676sat 32
    50125677eat 2
     
    50295694)
    50305695)
    5031 on &90
    5032 )
    5033 *167 (Wire
     5696on &101
     5697)
     5698*189 (Wire
    50345699uid 530,0
    50355700shape (OrthoPolyLine
     
    50485713)
    50495714start &28
    5050 end &99
     5715end &110
    50515716sat 32
    50525717eat 2
     
    50695734)
    50705735)
    5071 on &103
    5072 )
    5073 *168 (Wire
     5736on &114
     5737)
     5738*190 (Wire
    50745739uid 538,0
    50755740shape (OrthoPolyLine
     
    50885753)
    50895754start &29
    5090 end &99
     5755end &110
    50915756sat 32
    50925757eat 2
     
    51095774)
    51105775)
    5111 on &104
    5112 )
    5113 *169 (Wire
     5776on &115
     5777)
     5778*191 (Wire
    51145779uid 546,0
    51155780shape (OrthoPolyLine
     
    51275792)
    51285793start &16
    5129 end &99
     5794end &110
    51305795sat 32
    51315796eat 1
     
    51475812)
    51485813)
    5149 on &105
    5150 )
    5151 *170 (Wire
     5814on &116
     5815)
     5816*192 (Wire
    51525817uid 554,0
    51535818shape (OrthoPolyLine
     
    51625827]
    51635828)
    5164 start &99
    5165 end &95
     5829start &110
     5830end &106
    51665831sat 2
    51675832eat 32
     
    51825847)
    51835848)
    5184 on &105
    5185 )
    5186 *171 (Wire
     5849on &116
     5850)
     5851*193 (Wire
    51875852uid 562,0
    51885853shape (OrthoPolyLine
     
    51975862]
    51985863)
    5199 start &94
    5200 end &99
     5864start &105
     5865end &110
    52015866sat 32
    52025867eat 1
     
    52175882)
    52185883)
    5219 on &106
    5220 )
    5221 *172 (Wire
     5884on &117
     5885)
     5886*194 (Wire
    52225887uid 570,0
    52235888shape (OrthoPolyLine
     
    52335898]
    52345899)
    5235 start &93
    5236 end &99
     5900start &104
     5901end &110
    52375902sat 32
    52385903eat 1
     
    52545919)
    52555920)
    5256 on &107
    5257 )
    5258 *173 (Wire
     5921on &118
     5922)
     5923*195 (Wire
    52595924uid 578,0
    52605925shape (OrthoPolyLine
     
    52695934]
    52705935)
    5271 start &92
     5936start &103
    52725937sat 32
    52735938eat 16
     
    52885953)
    52895954)
    5290 on &144
    5291 )
    5292 *174 (Wire
     5955on &155
     5956)
     5957*196 (Wire
    52935958uid 769,0
    52945959shape (OrthoPolyLine
     
    53235988)
    53245989)
    5325 on &108
    5326 )
    5327 *175 (Wire
     5990on &119
     5991)
     5992*197 (Wire
    53285993uid 777,0
    53295994shape (OrthoPolyLine
     
    53606025)
    53616026)
    5362 on &109
    5363 )
    5364 *176 (Wire
     6027on &120
     6028)
     6029*198 (Wire
    53656030uid 785,0
    53666031shape (OrthoPolyLine
     
    53766041)
    53776042start &21
    5378 end &152
     6043end &163
    53796044sat 32
    53806045eat 32
     
    53966061)
    53976062)
    5398 on &110
    5399 )
    5400 *177 (Wire
     6063on &121
     6064)
     6065*199 (Wire
    54016066uid 793,0
    54026067shape (OrthoPolyLine
     
    54116076]
    54126077)
    5413 start &151
     6078start &162
    54146079end &24
    54156080sat 32
     
    54326097)
    54336098)
    5434 on &111
    5435 )
    5436 *178 (Wire
     6099on &122
     6100)
     6101*200 (Wire
    54376102uid 801,0
    54386103shape (OrthoPolyLine
     
    54676132)
    54686133)
    5469 on &112
    5470 )
    5471 *179 (Wire
     6134on &123
     6135)
     6136*201 (Wire
    54726137uid 809,0
    54736138shape (OrthoPolyLine
     
    55026167)
    55036168)
    5504 on &113
    5505 )
    5506 *180 (Wire
     6169on &124
     6170)
     6171*202 (Wire
    55076172uid 817,0
    55086173shape (OrthoPolyLine
     
    55376202)
    55386203)
    5539 on &114
    5540 )
    5541 *181 (Wire
     6204on &125
     6205)
     6206*203 (Wire
    55426207uid 825,0
    55436208shape (OrthoPolyLine
     
    55726237)
    55736238)
    5574 on &115
    5575 )
    5576 *182 (Wire
     6239on &126
     6240)
     6241*204 (Wire
    55776242uid 833,0
    55786243shape (OrthoPolyLine
     
    56076272)
    56086273)
    5609 on &116
    5610 )
    5611 *183 (Wire
     6274on &127
     6275)
     6276*205 (Wire
    56126277uid 841,0
    56136278shape (OrthoPolyLine
     
    56446309)
    56456310)
    5646 on &117
    5647 )
    5648 *184 (Wire
     6311on &128
     6312)
     6313*206 (Wire
    56496314uid 849,0
    56506315shape (OrthoPolyLine
     
    56806345)
    56816346)
    5682 on &118
    5683 )
    5684 *185 (Wire
     6347on &129
     6348)
     6349*207 (Wire
    56856350uid 857,0
    56866351shape (OrthoPolyLine
     
    57156380)
    57166381)
    5717 on &119
    5718 )
    5719 *186 (Wire
     6382on &130
     6383)
     6384*208 (Wire
    57206385uid 865,0
    57216386shape (OrthoPolyLine
     
    57506415)
    57516416)
    5752 on &120
    5753 )
    5754 *187 (Wire
     6417on &131
     6418)
     6419*209 (Wire
    57556420uid 873,0
    57566421shape (OrthoPolyLine
     
    57856450)
    57866451)
    5787 on &121
    5788 )
    5789 *188 (Wire
     6452on &132
     6453)
     6454*210 (Wire
    57906455uid 881,0
    57916456shape (OrthoPolyLine
     
    58206485)
    58216486)
    5822 on &122
    5823 )
    5824 *189 (Wire
     6487on &133
     6488)
     6489*211 (Wire
    58256490uid 889,0
    58266491shape (OrthoPolyLine
     
    58556520)
    58566521)
    5857 on &123
    5858 )
    5859 *190 (Wire
     6522on &134
     6523)
     6524*212 (Wire
    58606525uid 897,0
    58616526shape (OrthoPolyLine
     
    58906555)
    58916556)
    5892 on &124
    5893 )
    5894 *191 (Wire
     6557on &135
     6558)
     6559*213 (Wire
    58956560uid 1437,0
    58966561shape (OrthoPolyLine
     
    59256590)
    59266591)
    5927 on &125
    5928 )
    5929 *192 (Wire
     6592on &136
     6593)
     6594*214 (Wire
    59306595uid 1445,0
    59316596shape (OrthoPolyLine
     
    59606625)
    59616626)
    5962 on &126
    5963 )
    5964 *193 (Wire
     6627on &137
     6628)
     6629*215 (Wire
    59656630uid 1453,0
    59666631shape (OrthoPolyLine
     
    59956660)
    59966661)
    5997 on &127
    5998 )
    5999 *194 (Wire
     6662on &138
     6663)
     6664*216 (Wire
    60006665uid 1461,0
    60016666shape (OrthoPolyLine
     
    60306695)
    60316696)
    6032 on &128
    6033 )
    6034 *195 (Wire
     6697on &139
     6698)
     6699*217 (Wire
    60356700uid 1469,0
    60366701shape (OrthoPolyLine
     
    60676732)
    60686733)
    6069 on &129
    6070 )
    6071 *196 (Wire
     6734on &140
     6735)
     6736*218 (Wire
    60726737uid 1477,0
    60736738shape (OrthoPolyLine
     
    61026767)
    61036768)
    6104 on &130
    6105 )
    6106 *197 (Wire
     6769on &141
     6770)
     6771*219 (Wire
    61076772uid 1485,0
    61086773shape (OrthoPolyLine
     
    61376802)
    61386803)
    6139 on &131
    6140 )
    6141 *198 (Wire
     6804on &142
     6805)
     6806*220 (Wire
    61426807uid 1503,0
    61436808shape (OrthoPolyLine
     
    61746839)
    61756840)
    6176 on &136
    6177 )
    6178 *199 (Wire
     6841on &147
     6842)
     6843*221 (Wire
    61796844uid 1529,0
    61806845shape (OrthoPolyLine
     
    61916856]
    61926857)
    6193 start &138
     6858start &149
    61946859end &49
    61956860sat 32
     
    62126877)
    62136878)
    6214 on &145
    6215 )
    6216 *200 (Wire
     6879on &156
     6880)
     6881*222 (Wire
    62176882uid 1533,0
    62186883shape (OrthoPolyLine
     
    62276892]
    62286893)
    6229 start &132
     6894start &143
    62306895sat 2
    62316896eat 16
     
    62476912)
    62486913)
    6249 on &136
    6250 )
    6251 *201 (Wire
     6914on &147
     6915)
     6916*223 (Wire
    62526917uid 1561,0
    62536918shape (OrthoPolyLine
     
    62846949)
    62856950)
    6286 on &143
    6287 )
    6288 *202 (Wire
     6951on &154
     6952)
     6953*224 (Wire
    62896954uid 1567,0
    62906955shape (OrthoPolyLine
     
    62996964]
    63006965)
    6301 start &132
     6966start &143
    63026967sat 2
    63036968eat 16
     
    63196984)
    63206985)
    6321 on &143
    6322 )
    6323 *203 (Wire
     6986on &154
     6987)
     6988*225 (Wire
    63246989uid 1684,0
    63256990shape (OrthoPolyLine
     
    63547019)
    63557020)
    6356 on &144
     7021on &155
     7022)
     7023*226 (Wire
     7024uid 2707,0
     7025shape (OrthoPolyLine
     7026uid 2708,0
     7027va (VaSet
     7028vasetType 3
     7029)
     7030xt "109750,81000,122000,81000"
     7031pts [
     7032"109750,81000"
     7033"122000,81000"
     7034]
     7035)
     7036start &55
     7037sat 32
     7038eat 16
     7039st 0
     7040sf 1
     7041si 0
     7042tg (WTG
     7043uid 2711,0
     7044ps "ConnStartEndStrategy"
     7045stg "STSignalDisplayStrategy"
     7046f (Text
     7047uid 2712,0
     7048va (VaSet
     7049)
     7050xt "111000,80000,121400,81000"
     7051st "debug_data_ram_empty"
     7052blo "111000,80800"
     7053tm "WireNameMgr"
     7054)
     7055)
     7056on &167
     7057)
     7058*227 (Wire
     7059uid 2715,0
     7060shape (OrthoPolyLine
     7061uid 2716,0
     7062va (VaSet
     7063vasetType 3
     7064)
     7065xt "109750,82000,120000,82000"
     7066pts [
     7067"109750,82000"
     7068"120000,82000"
     7069]
     7070)
     7071start &56
     7072sat 32
     7073eat 16
     7074st 0
     7075sf 1
     7076si 0
     7077tg (WTG
     7078uid 2719,0
     7079ps "ConnStartEndStrategy"
     7080stg "STSignalDisplayStrategy"
     7081f (Text
     7082uid 2720,0
     7083va (VaSet
     7084)
     7085xt "111000,81000,118500,82000"
     7086st "debug_data_valid"
     7087blo "111000,81800"
     7088tm "WireNameMgr"
     7089)
     7090)
     7091on &168
     7092)
     7093*228 (Wire
     7094uid 2723,0
     7095shape (OrthoPolyLine
     7096uid 2724,0
     7097va (VaSet
     7098vasetType 3
     7099lineWidth 2
     7100)
     7101xt "109750,83000,119000,83000"
     7102pts [
     7103"109750,83000"
     7104"119000,83000"
     7105]
     7106)
     7107start &57
     7108sat 32
     7109eat 16
     7110sty 1
     7111st 0
     7112sf 1
     7113si 0
     7114tg (WTG
     7115uid 2727,0
     7116ps "ConnStartEndStrategy"
     7117stg "STSignalDisplayStrategy"
     7118f (Text
     7119uid 2728,0
     7120va (VaSet
     7121)
     7122xt "111000,82000,117900,83000"
     7123st "DG_state : (7:0)"
     7124blo "111000,82800"
     7125tm "WireNameMgr"
     7126)
     7127)
     7128on &169
     7129)
     7130*229 (Wire
     7131uid 2731,0
     7132shape (OrthoPolyLine
     7133uid 2732,0
     7134va (VaSet
     7135vasetType 3
     7136)
     7137xt "109750,84000,120000,84000"
     7138pts [
     7139"109750,84000"
     7140"120000,84000"
     7141]
     7142)
     7143start &59
     7144sat 32
     7145eat 16
     7146st 0
     7147sf 1
     7148si 0
     7149tg (WTG
     7150uid 2735,0
     7151ps "ConnStartEndStrategy"
     7152stg "STSignalDisplayStrategy"
     7153f (Text
     7154uid 2736,0
     7155va (VaSet
     7156)
     7157xt "111000,83000,119400,84000"
     7158st "FTM_RS485_rx_en"
     7159blo "111000,83800"
     7160tm "WireNameMgr"
     7161)
     7162)
     7163on &170
     7164)
     7165*230 (Wire
     7166uid 2739,0
     7167shape (OrthoPolyLine
     7168uid 2740,0
     7169va (VaSet
     7170vasetType 3
     7171)
     7172xt "109750,85000,120000,85000"
     7173pts [
     7174"109750,85000"
     7175"120000,85000"
     7176]
     7177)
     7178start &60
     7179sat 32
     7180eat 16
     7181st 0
     7182sf 1
     7183si 0
     7184tg (WTG
     7185uid 2743,0
     7186ps "ConnStartEndStrategy"
     7187stg "STSignalDisplayStrategy"
     7188f (Text
     7189uid 2744,0
     7190va (VaSet
     7191)
     7192xt "111000,84000,119100,85000"
     7193st "FTM_RS485_tx_d"
     7194blo "111000,84800"
     7195tm "WireNameMgr"
     7196)
     7197)
     7198on &171
     7199)
     7200*231 (Wire
     7201uid 2747,0
     7202shape (OrthoPolyLine
     7203uid 2748,0
     7204va (VaSet
     7205vasetType 3
     7206)
     7207xt "109750,86000,120000,86000"
     7208pts [
     7209"109750,86000"
     7210"120000,86000"
     7211]
     7212)
     7213start &61
     7214sat 32
     7215eat 16
     7216st 0
     7217sf 1
     7218si 0
     7219tg (WTG
     7220uid 2751,0
     7221ps "ConnStartEndStrategy"
     7222stg "STSignalDisplayStrategy"
     7223f (Text
     7224uid 2752,0
     7225va (VaSet
     7226)
     7227xt "111000,85000,119400,86000"
     7228st "FTM_RS485_tx_en"
     7229blo "111000,85800"
     7230tm "WireNameMgr"
     7231)
     7232)
     7233on &172
     7234)
     7235*232 (Wire
     7236uid 2755,0
     7237shape (OrthoPolyLine
     7238uid 2756,0
     7239va (VaSet
     7240vasetType 3
     7241lineWidth 2
     7242)
     7243xt "109750,87000,123000,87000"
     7244pts [
     7245"109750,87000"
     7246"123000,87000"
     7247]
     7248)
     7249start &62
     7250sat 32
     7251eat 16
     7252sty 1
     7253st 0
     7254sf 1
     7255si 0
     7256tg (WTG
     7257uid 2759,0
     7258ps "ConnStartEndStrategy"
     7259stg "STSignalDisplayStrategy"
     7260f (Text
     7261uid 2760,0
     7262va (VaSet
     7263)
     7264xt "111000,86000,122400,87000"
     7265st "mem_manager_state : (3:0)"
     7266blo "111000,86800"
     7267tm "WireNameMgr"
     7268)
     7269)
     7270on &173
     7271)
     7272*233 (Wire
     7273uid 2763,0
     7274shape (OrthoPolyLine
     7275uid 2764,0
     7276va (VaSet
     7277vasetType 3
     7278)
     7279xt "109750,88000,118000,88000"
     7280pts [
     7281"109750,88000"
     7282"118000,88000"
     7283]
     7284)
     7285start &63
     7286sat 32
     7287eat 16
     7288st 0
     7289sf 1
     7290si 0
     7291tg (WTG
     7292uid 2767,0
     7293ps "ConnStartEndStrategy"
     7294stg "STSignalDisplayStrategy"
     7295f (Text
     7296uid 2768,0
     7297va (VaSet
     7298)
     7299xt "111000,87000,116600,88000"
     7300st "trigger_veto"
     7301blo "111000,87800"
     7302tm "WireNameMgr"
     7303)
     7304)
     7305on &174
     7306)
     7307*234 (Wire
     7308uid 2771,0
     7309shape (OrthoPolyLine
     7310uid 2772,0
     7311va (VaSet
     7312vasetType 3
     7313lineWidth 2
     7314)
     7315xt "109750,89000,120000,89000"
     7316pts [
     7317"109750,89000"
     7318"120000,89000"
     7319]
     7320)
     7321start &64
     7322sat 32
     7323eat 16
     7324sty 1
     7325st 0
     7326sf 1
     7327si 0
     7328tg (WTG
     7329uid 2775,0
     7330ps "ConnStartEndStrategy"
     7331stg "STSignalDisplayStrategy"
     7332f (Text
     7333uid 2776,0
     7334va (VaSet
     7335)
     7336xt "111000,88000,119400,89000"
     7337st "w5300_state : (7:0)"
     7338blo "111000,88800"
     7339tm "WireNameMgr"
     7340)
     7341)
     7342on &175
     7343)
     7344*235 (Wire
     7345uid 2779,0
     7346shape (OrthoPolyLine
     7347uid 2780,0
     7348va (VaSet
     7349vasetType 3
     7350)
     7351xt "74000,78000,80250,82000"
     7352pts [
     7353"74000,82000"
     7354"80250,78000"
     7355]
     7356)
     7357end &58
     7358sat 16
     7359eat 32
     7360st 0
     7361sf 1
     7362si 0
     7363tg (WTG
     7364uid 2783,0
     7365ps "ConnStartEndStrategy"
     7366stg "STSignalDisplayStrategy"
     7367f (Text
     7368uid 2784,0
     7369va (VaSet
     7370)
     7371xt "73000,80000,81100,81000"
     7372st "FTM_RS485_rx_d"
     7373blo "73000,80800"
     7374tm "WireNameMgr"
     7375)
     7376)
     7377on &176
     7378)
     7379*236 (Wire
     7380uid 2944,0
     7381shape (OrthoPolyLine
     7382uid 2945,0
     7383va (VaSet
     7384vasetType 3
     7385lineWidth 2
     7386)
     7387xt "109750,90000,124000,90000"
     7388pts [
     7389"109750,90000"
     7390"124000,90000"
     7391]
     7392)
     7393start &65
     7394sat 32
     7395eat 16
     7396sty 1
     7397st 0
     7398sf 1
     7399si 0
     7400tg (WTG
     7401uid 2948,0
     7402ps "ConnStartEndStrategy"
     7403stg "STSignalDisplayStrategy"
     7404f (Text
     7405uid 2949,0
     7406va (VaSet
     7407)
     7408xt "111000,89000,122900,90000"
     7409st "socket_tx_free_out : (16:0)"
     7410blo "111000,89800"
     7411tm "WireNameMgr"
     7412)
     7413)
     7414on &177
    63577415)
    63587416]
     
    63687426color "26368,26368,26368"
    63697427)
    6370 packageList *204 (PackageList
     7428packageList *237 (PackageList
    63717429uid 41,0
    63727430stg "VerticalLayoutStrategy"
    63737431textVec [
    6374 *205 (Text
     7432*238 (Text
    63757433uid 42,0
    63767434va (VaSet
     
    63817439blo "-87000,800"
    63827440)
    6383 *206 (MLText
     7441*239 (MLText
    63847442uid 43,0
    63857443va (VaSet
     
    64047462stg "VerticalLayoutStrategy"
    64057463textVec [
    6406 *207 (Text
     7464*240 (Text
    64077465uid 45,0
    64087466va (VaSet
     
    64147472blo "20000,800"
    64157473)
    6416 *208 (Text
     7474*241 (Text
    64177475uid 46,0
    64187476va (VaSet
     
    64247482blo "20000,1800"
    64257483)
    6426 *209 (MLText
     7484*242 (MLText
    64277485uid 47,0
    64287486va (VaSet
     
    64347492tm "BdCompilerDirectivesTextMgr"
    64357493)
    6436 *210 (Text
     7494*243 (Text
    64377495uid 48,0
    64387496va (VaSet
     
    64447502blo "20000,4800"
    64457503)
    6446 *211 (MLText
     7504*244 (MLText
    64477505uid 49,0
    64487506va (VaSet
     
    64527510tm "BdCompilerDirectivesTextMgr"
    64537511)
    6454 *212 (Text
     7512*245 (Text
    64557513uid 50,0
    64567514va (VaSet
     
    64627520blo "20000,5800"
    64637521)
    6464 *213 (MLText
     7522*246 (MLText
    64657523uid 51,0
    64667524va (VaSet
     
    64737531associable 1
    64747532)
    6475 windowSize "0,0,1681,1030"
    6476 viewArea "60000,4200,152106,61908"
     7533windowSize "0,20,1681,1050"
     7534viewArea "69200,38600,161306,94544"
    64777535cachedDiagramExtent "-92000,0,146000,98000"
    64787536pageSetupInfo (PageSetupInfo
     
    64877545hasePageBreakOrigin 1
    64887546pageBreakOrigin "-146000,0"
    6489 lastUid 2551,0
     7547lastUid 2951,0
    64907548defaultCommentText (CommentText
    64917549shape (Rectangle
     
    65497607stg "VerticalLayoutStrategy"
    65507608textVec [
    6551 *214 (Text
     7609*247 (Text
    65527610va (VaSet
    65537611font "Arial,8,1"
     
    65587616tm "BdLibraryNameMgr"
    65597617)
    6560 *215 (Text
     7618*248 (Text
    65617619va (VaSet
    65627620font "Arial,8,1"
     
    65677625tm "BlkNameMgr"
    65687626)
    6569 *216 (Text
     7627*249 (Text
    65707628va (VaSet
    65717629font "Arial,8,1"
     
    66187676stg "VerticalLayoutStrategy"
    66197677textVec [
    6620 *217 (Text
     7678*250 (Text
    66217679va (VaSet
    66227680font "Arial,8,1"
     
    66267684blo "550,4300"
    66277685)
    6628 *218 (Text
     7686*251 (Text
    66297687va (VaSet
    66307688font "Arial,8,1"
     
    66347692blo "550,5300"
    66357693)
    6636 *219 (Text
     7694*252 (Text
    66377695va (VaSet
    66387696font "Arial,8,1"
     
    66837741stg "VerticalLayoutStrategy"
    66847742textVec [
    6685 *220 (Text
     7743*253 (Text
    66867744va (VaSet
    66877745font "Arial,8,1"
     
    66927750tm "BdLibraryNameMgr"
    66937751)
    6694 *221 (Text
     7752*254 (Text
    66957753va (VaSet
    66967754font "Arial,8,1"
     
    67017759tm "CptNameMgr"
    67027760)
    6703 *222 (Text
     7761*255 (Text
    67047762va (VaSet
    67057763font "Arial,8,1"
     
    67557813stg "VerticalLayoutStrategy"
    67567814textVec [
    6757 *223 (Text
     7815*256 (Text
    67587816va (VaSet
    67597817font "Arial,8,1"
     
    67637821blo "500,4300"
    67647822)
    6765 *224 (Text
     7823*257 (Text
    67667824va (VaSet
    67677825font "Arial,8,1"
     
    67717829blo "500,5300"
    67727830)
    6773 *225 (Text
     7831*258 (Text
    67747832va (VaSet
    67757833font "Arial,8,1"
     
    68167874stg "VerticalLayoutStrategy"
    68177875textVec [
    6818 *226 (Text
     7876*259 (Text
    68197877va (VaSet
    68207878font "Arial,8,1"
     
    68247882blo "50,4300"
    68257883)
    6826 *227 (Text
     7884*260 (Text
    68277885va (VaSet
    68287886font "Arial,8,1"
     
    68327890blo "50,5300"
    68337891)
    6834 *228 (Text
     7892*261 (Text
    68357893va (VaSet
    68367894font "Arial,8,1"
     
    68737931stg "VerticalLayoutStrategy"
    68747932textVec [
    6875 *229 (Text
     7933*262 (Text
    68767934va (VaSet
    68777935font "Arial,8,1"
     
    68827940tm "HdlTextNameMgr"
    68837941)
    6884 *230 (Text
     7942*263 (Text
    68857943va (VaSet
    68867944font "Arial,8,1"
     
    72858343stg "VerticalLayoutStrategy"
    72868344textVec [
    7287 *231 (Text
     8345*264 (Text
    72888346va (VaSet
    72898347font "Arial,8,1"
     
    72938351blo "14100,20800"
    72948352)
    7295 *232 (MLText
     8353*265 (MLText
    72968354va (VaSet
    72978355)
     
    73458403stg "VerticalLayoutStrategy"
    73468404textVec [
    7347 *233 (Text
     8405*266 (Text
    73488406va (VaSet
    73498407font "Arial,8,1"
     
    73538411blo "14100,20800"
    73548412)
    7355 *234 (MLText
     8413*267 (MLText
    73568414va (VaSet
    73578415)
     
    74978555commonDM (CommonDM
    74988556ldm (LogicalDM
    7499 suid 51,0
     8557suid 64,0
    75008558usingSuid 1
    7501 emptyRow *235 (LEmptyRow
     8559emptyRow *268 (LEmptyRow
    75028560)
    75038561uid 54,0
    75048562optionalChildren [
    7505 *236 (RefLabelRowHdr
    7506 )
    7507 *237 (TitleRowHdr
    7508 )
    7509 *238 (FilterRowHdr
    7510 )
    7511 *239 (RefLabelColHdr
     8563*269 (RefLabelRowHdr
     8564)
     8565*270 (TitleRowHdr
     8566)
     8567*271 (FilterRowHdr
     8568)
     8569*272 (RefLabelColHdr
    75128570tm "RefLabelColHdrMgr"
    75138571)
    7514 *240 (RowExpandColHdr
     8572*273 (RowExpandColHdr
    75158573tm "RowExpandColHdrMgr"
    75168574)
    7517 *241 (GroupColHdr
     8575*274 (GroupColHdr
    75188576tm "GroupColHdrMgr"
    75198577)
    7520 *242 (NameColHdr
     8578*275 (NameColHdr
    75218579tm "BlockDiagramNameColHdrMgr"
    75228580)
    7523 *243 (ModeColHdr
     8581*276 (ModeColHdr
    75248582tm "BlockDiagramModeColHdrMgr"
    75258583)
    7526 *244 (TypeColHdr
     8584*277 (TypeColHdr
    75278585tm "BlockDiagramTypeColHdrMgr"
    75288586)
    7529 *245 (BoundsColHdr
     8587*278 (BoundsColHdr
    75308588tm "BlockDiagramBoundsColHdrMgr"
    75318589)
    7532 *246 (InitColHdr
     8590*279 (InitColHdr
    75338591tm "BlockDiagramInitColHdrMgr"
    75348592)
    7535 *247 (EolColHdr
     8593*280 (EolColHdr
    75368594tm "BlockDiagramEolColHdrMgr"
    75378595)
    7538 *248 (LeafLogPort
     8596*281 (LeafLogPort
    75398597port (LogicalPort
    75408598m 4
     
    75508608uid 340,0
    75518609)
    7552 *249 (LeafLogPort
     8610*282 (LeafLogPort
    75538611port (LogicalPort
    75548612m 4
     
    75638621uid 342,0
    75648622)
    7565 *250 (LeafLogPort
     8623*283 (LeafLogPort
    75668624port (LogicalPort
    75678625m 4
     
    75768634uid 344,0
    75778635)
    7578 *251 (LeafLogPort
     8636*284 (LeafLogPort
    75798637port (LogicalPort
    75808638m 4
     
    75898647uid 346,0
    75908648)
    7591 *252 (LeafLogPort
     8649*285 (LeafLogPort
    75928650port (LogicalPort
    75938651m 4
     
    76028660uid 348,0
    76038661)
    7604 *253 (LeafLogPort
     8662*286 (LeafLogPort
    76058663port (LogicalPort
    76068664m 4
     
    76158673uid 404,0
    76168674)
    7617 *254 (LeafLogPort
     8675*287 (LeafLogPort
    76188676port (LogicalPort
    76198677m 4
     
    76278685uid 406,0
    76288686)
    7629 *255 (LeafLogPort
     8687*288 (LeafLogPort
    76308688port (LogicalPort
    76318689m 4
     
    76418699uid 408,0
    76428700)
    7643 *256 (LeafLogPort
     8701*289 (LeafLogPort
    76448702port (LogicalPort
    76458703m 4
     
    76558713uid 456,0
    76568714)
    7657 *257 (LeafLogPort
     8715*290 (LeafLogPort
    76588716port (LogicalPort
    76598717m 4
     
    76708728uid 458,0
    76718729)
    7672 *258 (LeafLogPort
     8730*291 (LeafLogPort
    76738731port (LogicalPort
    76748732m 4
     
    76838741uid 460,0
    76848742)
    7685 *259 (LeafLogPort
     8743*292 (LeafLogPort
    76868744port (LogicalPort
    76878745m 4
     
    76968754uid 584,0
    76978755)
    7698 *260 (LeafLogPort
     8756*293 (LeafLogPort
    76998757port (LogicalPort
    77008758m 4
     
    77088766uid 586,0
    77098767)
    7710 *261 (LeafLogPort
     8768*294 (LeafLogPort
    77118769port (LogicalPort
    77128770m 4
     
    77228780uid 588,0
    77238781)
    7724 *262 (LeafLogPort
     8782*295 (LeafLogPort
    77258783port (LogicalPort
    77268784m 4
     
    77368794uid 590,0
    77378795)
    7738 *263 (LeafLogPort
     8796*296 (LeafLogPort
    77398797port (LogicalPort
    77408798m 4
     
    77518809uid 592,0
    77528810)
    7753 *264 (LeafLogPort
     8811*297 (LeafLogPort
    77548812port (LogicalPort
    77558813m 4
     
    77648822uid 903,0
    77658823)
    7766 *265 (LeafLogPort
     8824*298 (LeafLogPort
    77678825port (LogicalPort
    77688826m 4
     
    77798837uid 905,0
    77808838)
    7781 *266 (LeafLogPort
     8839*299 (LeafLogPort
    77828840port (LogicalPort
    77838841m 4
     
    77928850uid 907,0
    77938851)
    7794 *267 (LeafLogPort
     8852*300 (LeafLogPort
    77958853port (LogicalPort
    77968854m 4
     
    78048862uid 909,0
    78058863)
    7806 *268 (LeafLogPort
     8864*301 (LeafLogPort
    78078865port (LogicalPort
    78088866m 4
     
    78168874uid 911,0
    78178875)
    7818 *269 (LeafLogPort
     8876*302 (LeafLogPort
    78198877port (LogicalPort
    78208878m 4
     
    78298887uid 913,0
    78308888)
    7831 *270 (LeafLogPort
     8889*303 (LeafLogPort
    78328890port (LogicalPort
    78338891m 4
     
    78448902uid 915,0
    78458903)
    7846 *271 (LeafLogPort
     8904*304 (LeafLogPort
    78478905port (LogicalPort
    78488906m 4
     
    78568914uid 917,0
    78578915)
    7858 *272 (LeafLogPort
     8916*305 (LeafLogPort
    78598917port (LogicalPort
    78608918m 4
     
    78688926uid 919,0
    78698927)
    7870 *273 (LeafLogPort
     8928*306 (LeafLogPort
    78718929port (LogicalPort
    78728930m 4
     
    78828940uid 921,0
    78838941)
    7884 *274 (LeafLogPort
     8942*307 (LeafLogPort
    78858943port (LogicalPort
    78868944m 4
     
    78958953uid 923,0
    78968954)
    7897 *275 (LeafLogPort
     8955*308 (LeafLogPort
    78988956port (LogicalPort
    78998957m 4
     
    79088966uid 925,0
    79098967)
    7910 *276 (LeafLogPort
     8968*309 (LeafLogPort
    79118969port (LogicalPort
    79128970m 4
     
    79218979uid 927,0
    79228980)
    7923 *277 (LeafLogPort
     8981*310 (LeafLogPort
    79248982port (LogicalPort
    79258983m 4
     
    79338991uid 929,0
    79348992)
    7935 *278 (LeafLogPort
     8993*311 (LeafLogPort
    79368994port (LogicalPort
    79378995m 4
     
    79459003uid 931,0
    79469004)
    7947 *279 (LeafLogPort
     9005*312 (LeafLogPort
    79489006port (LogicalPort
    79499007m 4
     
    79579015uid 933,0
    79589016)
    7959 *280 (LeafLogPort
     9017*313 (LeafLogPort
    79609018port (LogicalPort
    79619019m 4
     
    79699027uid 935,0
    79709028)
    7971 *281 (LeafLogPort
     9029*314 (LeafLogPort
    79729030port (LogicalPort
    79739031m 4
     
    79829040uid 1541,0
    79839041)
    7984 *282 (LeafLogPort
     9042*315 (LeafLogPort
    79859043port (LogicalPort
    79869044m 4
     
    79949052uid 1543,0
    79959053)
    7996 *283 (LeafLogPort
     9054*316 (LeafLogPort
    79979055port (LogicalPort
    79989056m 4
     
    80069064uid 1545,0
    80079065)
    8008 *284 (LeafLogPort
     9066*317 (LeafLogPort
    80099067port (LogicalPort
    80109068m 4
     
    80189076uid 1547,0
    80199077)
    8020 *285 (LeafLogPort
     9078*318 (LeafLogPort
    80219079port (LogicalPort
    80229080m 4
     
    80319089uid 1549,0
    80329090)
    8033 *286 (LeafLogPort
     9091*319 (LeafLogPort
    80349092port (LogicalPort
    80359093m 4
     
    80449102uid 1551,0
    80459103)
    8046 *287 (LeafLogPort
     9104*320 (LeafLogPort
    80479105port (LogicalPort
    80489106m 4
     
    80569114uid 1553,0
    80579115)
    8058 *288 (LeafLogPort
     9116*321 (LeafLogPort
    80599117port (LogicalPort
    80609118m 4
     
    80699127uid 1555,0
    80709128)
    8071 *289 (LeafLogPort
     9129*322 (LeafLogPort
    80729130port (LogicalPort
    80739131m 4
     
    80839141uid 1575,0
    80849142)
    8085 *290 (LeafLogPort
     9143*323 (LeafLogPort
    80869144port (LogicalPort
    80879145lang 2
     
    80969154uid 1690,0
    80979155)
    8098 *291 (LeafLogPort
     9156*324 (LeafLogPort
    80999157port (LogicalPort
    81009158m 4
     
    81099167uid 2003,0
    81109168)
     9169*325 (LeafLogPort
     9170port (LogicalPort
     9171m 4
     9172decl (Decl
     9173n "debug_data_ram_empty"
     9174t "std_logic"
     9175o 45
     9176suid 53,0
     9177)
     9178)
     9179uid 2785,0
     9180)
     9181*326 (LeafLogPort
     9182port (LogicalPort
     9183m 4
     9184decl (Decl
     9185n "debug_data_valid"
     9186t "std_logic"
     9187o 46
     9188suid 54,0
     9189)
     9190)
     9191uid 2787,0
     9192)
     9193*327 (LeafLogPort
     9194port (LogicalPort
     9195m 4
     9196decl (Decl
     9197n "DG_state"
     9198t "std_logic_vector"
     9199b "(7 downto 0)"
     9200prec "-- for debugging"
     9201preAdd 0
     9202o 47
     9203suid 55,0
     9204)
     9205)
     9206uid 2789,0
     9207)
     9208*328 (LeafLogPort
     9209port (LogicalPort
     9210m 4
     9211decl (Decl
     9212n "FTM_RS485_rx_en"
     9213t "std_logic"
     9214o 48
     9215suid 56,0
     9216)
     9217)
     9218uid 2791,0
     9219)
     9220*329 (LeafLogPort
     9221port (LogicalPort
     9222m 4
     9223decl (Decl
     9224n "FTM_RS485_tx_d"
     9225t "std_logic"
     9226o 49
     9227suid 57,0
     9228)
     9229)
     9230uid 2793,0
     9231)
     9232*330 (LeafLogPort
     9233port (LogicalPort
     9234m 4
     9235decl (Decl
     9236n "FTM_RS485_tx_en"
     9237t "std_logic"
     9238o 50
     9239suid 58,0
     9240)
     9241)
     9242uid 2795,0
     9243)
     9244*331 (LeafLogPort
     9245port (LogicalPort
     9246lang 2
     9247m 4
     9248decl (Decl
     9249n "mem_manager_state"
     9250t "std_logic_vector"
     9251b "(3 DOWNTO 0)"
     9252eolc "-- state is encoded here ... useful for debugging."
     9253posAdd 0
     9254o 51
     9255suid 59,0
     9256)
     9257)
     9258uid 2797,0
     9259)
     9260*332 (LeafLogPort
     9261port (LogicalPort
     9262m 4
     9263decl (Decl
     9264n "trigger_veto"
     9265t "std_logic"
     9266o 52
     9267suid 60,0
     9268i "'1'"
     9269)
     9270)
     9271uid 2799,0
     9272)
     9273*333 (LeafLogPort
     9274port (LogicalPort
     9275m 4
     9276decl (Decl
     9277n "w5300_state"
     9278t "std_logic_vector"
     9279b "(7 DOWNTO 0)"
     9280eolc "-- state is encoded here ... useful for debugging."
     9281posAdd 0
     9282o 53
     9283suid 61,0
     9284)
     9285)
     9286uid 2801,0
     9287)
     9288*334 (LeafLogPort
     9289port (LogicalPort
     9290m 4
     9291decl (Decl
     9292n "FTM_RS485_rx_d"
     9293t "std_logic"
     9294o 54
     9295suid 62,0
     9296)
     9297)
     9298uid 2803,0
     9299)
     9300*335 (LeafLogPort
     9301port (LogicalPort
     9302m 4
     9303decl (Decl
     9304n "socket_tx_free_out"
     9305t "std_logic_vector"
     9306b "(16 DOWNTO 0)"
     9307eolc "-- 17bit value .. that's true"
     9308posAdd 0
     9309o 55
     9310suid 64,0
     9311)
     9312)
     9313uid 2950,0
     9314)
    81119315]
    81129316)
     
    81169320uid 67,0
    81179321optionalChildren [
    8118 *292 (Sheet
     9322*336 (Sheet
    81199323sheetRow (SheetRow
    81209324headerVa (MVa
     
    81339337font "Tahoma,10,0"
    81349338)
    8135 emptyMRCItem *293 (MRCItem
    8136 litem &235
    8137 pos 44
     9339emptyMRCItem *337 (MRCItem
     9340litem &268
     9341pos 55
    81389342dimension 20
    81399343)
    81409344uid 69,0
    81419345optionalChildren [
    8142 *294 (MRCItem
    8143 litem &236
     9346*338 (MRCItem
     9347litem &269
    81449348pos 0
    81459349dimension 20
    81469350uid 70,0
    81479351)
    8148 *295 (MRCItem
    8149 litem &237
     9352*339 (MRCItem
     9353litem &270
    81509354pos 1
    81519355dimension 23
    81529356uid 71,0
    81539357)
    8154 *296 (MRCItem
    8155 litem &238
     9358*340 (MRCItem
     9359litem &271
    81569360pos 2
    81579361hidden 1
     
    81599363uid 72,0
    81609364)
    8161 *297 (MRCItem
    8162 litem &248
     9365*341 (MRCItem
     9366litem &281
    81639367pos 0
    81649368dimension 20
    81659369uid 341,0
    81669370)
    8167 *298 (MRCItem
    8168 litem &249
     9371*342 (MRCItem
     9372litem &282
    81699373pos 1
    81709374dimension 20
    81719375uid 343,0
    81729376)
    8173 *299 (MRCItem
    8174 litem &250
     9377*343 (MRCItem
     9378litem &283
    81759379pos 2
    81769380dimension 20
    81779381uid 345,0
    81789382)
    8179 *300 (MRCItem
    8180 litem &251
     9383*344 (MRCItem
     9384litem &284
    81819385pos 3
    81829386dimension 20
    81839387uid 347,0
    81849388)
    8185 *301 (MRCItem
    8186 litem &252
     9389*345 (MRCItem
     9390litem &285
    81879391pos 4
    81889392dimension 20
    81899393uid 349,0
    81909394)
    8191 *302 (MRCItem
    8192 litem &253
     9395*346 (MRCItem
     9396litem &286
    81939397pos 5
    81949398dimension 20
    81959399uid 405,0
    81969400)
    8197 *303 (MRCItem
    8198 litem &254
     9401*347 (MRCItem
     9402litem &287
    81999403pos 6
    82009404dimension 20
    82019405uid 407,0
    82029406)
    8203 *304 (MRCItem
    8204 litem &255
     9407*348 (MRCItem
     9408litem &288
    82059409pos 7
    82069410dimension 20
    82079411uid 409,0
    82089412)
    8209 *305 (MRCItem
    8210 litem &256
     9413*349 (MRCItem
     9414litem &289
    82119415pos 8
    82129416dimension 20
    82139417uid 457,0
    82149418)
    8215 *306 (MRCItem
    8216 litem &257
     9419*350 (MRCItem
     9420litem &290
    82179421pos 9
    82189422dimension 20
    82199423uid 459,0
    82209424)
    8221 *307 (MRCItem
    8222 litem &258
     9425*351 (MRCItem
     9426litem &291
    82239427pos 10
    82249428dimension 20
    82259429uid 461,0
    82269430)
    8227 *308 (MRCItem
    8228 litem &259
     9431*352 (MRCItem
     9432litem &292
    82299433pos 11
    82309434dimension 20
    82319435uid 585,0
    82329436)
    8233 *309 (MRCItem
    8234 litem &260
     9437*353 (MRCItem
     9438litem &293
    82359439pos 12
    82369440dimension 20
    82379441uid 587,0
    82389442)
    8239 *310 (MRCItem
    8240 litem &261
     9443*354 (MRCItem
     9444litem &294
    82419445pos 13
    82429446dimension 20
    82439447uid 589,0
    82449448)
    8245 *311 (MRCItem
    8246 litem &262
     9449*355 (MRCItem
     9450litem &295
    82479451pos 14
    82489452dimension 20
    82499453uid 591,0
    82509454)
    8251 *312 (MRCItem
    8252 litem &263
     9455*356 (MRCItem
     9456litem &296
    82539457pos 15
    82549458dimension 20
    82559459uid 593,0
    82569460)
    8257 *313 (MRCItem
    8258 litem &264
     9461*357 (MRCItem
     9462litem &297
    82599463pos 16
    82609464dimension 20
    82619465uid 904,0
    82629466)
    8263 *314 (MRCItem
    8264 litem &265
     9467*358 (MRCItem
     9468litem &298
    82659469pos 17
    82669470dimension 20
    82679471uid 906,0
    82689472)
    8269 *315 (MRCItem
    8270 litem &266
     9473*359 (MRCItem
     9474litem &299
    82719475pos 18
    82729476dimension 20
    82739477uid 908,0
    82749478)
    8275 *316 (MRCItem
    8276 litem &267
     9479*360 (MRCItem
     9480litem &300
    82779481pos 19
    82789482dimension 20
    82799483uid 910,0
    82809484)
    8281 *317 (MRCItem
    8282 litem &268
     9485*361 (MRCItem
     9486litem &301
    82839487pos 20
    82849488dimension 20
    82859489uid 912,0
    82869490)
    8287 *318 (MRCItem
    8288 litem &269
     9491*362 (MRCItem
     9492litem &302
    82899493pos 21
    82909494dimension 20
    82919495uid 914,0
    82929496)
    8293 *319 (MRCItem
    8294 litem &270
     9497*363 (MRCItem
     9498litem &303
    82959499pos 22
    82969500dimension 20
    82979501uid 916,0
    82989502)
    8299 *320 (MRCItem
    8300 litem &271
     9503*364 (MRCItem
     9504litem &304
    83019505pos 23
    83029506dimension 20
    83039507uid 918,0
    83049508)
    8305 *321 (MRCItem
    8306 litem &272
     9509*365 (MRCItem
     9510litem &305
    83079511pos 24
    83089512dimension 20
    83099513uid 920,0
    83109514)
    8311 *322 (MRCItem
    8312 litem &273
     9515*366 (MRCItem
     9516litem &306
    83139517pos 25
    83149518dimension 20
    83159519uid 922,0
    83169520)
    8317 *323 (MRCItem
    8318 litem &274
     9521*367 (MRCItem
     9522litem &307
    83199523pos 26
    83209524dimension 20
    83219525uid 924,0
    83229526)
    8323 *324 (MRCItem
    8324 litem &275
     9527*368 (MRCItem
     9528litem &308
    83259529pos 27
    83269530dimension 20
    83279531uid 926,0
    83289532)
    8329 *325 (MRCItem
    8330 litem &276
     9533*369 (MRCItem
     9534litem &309
    83319535pos 28
    83329536dimension 20
    83339537uid 928,0
    83349538)
    8335 *326 (MRCItem
    8336 litem &277
     9539*370 (MRCItem
     9540litem &310
    83379541pos 29
    83389542dimension 20
    83399543uid 930,0
    83409544)
    8341 *327 (MRCItem
    8342 litem &278
     9545*371 (MRCItem
     9546litem &311
    83439547pos 30
    83449548dimension 20
    83459549uid 932,0
    83469550)
    8347 *328 (MRCItem
    8348 litem &279
     9551*372 (MRCItem
     9552litem &312
    83499553pos 31
    83509554dimension 20
    83519555uid 934,0
    83529556)
    8353 *329 (MRCItem
    8354 litem &280
     9557*373 (MRCItem
     9558litem &313
    83559559pos 32
    83569560dimension 20
    83579561uid 936,0
    83589562)
    8359 *330 (MRCItem
    8360 litem &281
     9563*374 (MRCItem
     9564litem &314
    83619565pos 33
    83629566dimension 20
    83639567uid 1542,0
    83649568)
    8365 *331 (MRCItem
    8366 litem &282
     9569*375 (MRCItem
     9570litem &315
    83679571pos 34
    83689572dimension 20
    83699573uid 1544,0
    83709574)
    8371 *332 (MRCItem
    8372 litem &283
     9575*376 (MRCItem
     9576litem &316
    83739577pos 35
    83749578dimension 20
    83759579uid 1546,0
    83769580)
    8377 *333 (MRCItem
    8378 litem &284
     9581*377 (MRCItem
     9582litem &317
    83799583pos 36
    83809584dimension 20
    83819585uid 1548,0
    83829586)
    8383 *334 (MRCItem
    8384 litem &285
     9587*378 (MRCItem
     9588litem &318
    83859589pos 37
    83869590dimension 20
    83879591uid 1550,0
    83889592)
    8389 *335 (MRCItem
    8390 litem &286
     9593*379 (MRCItem
     9594litem &319
    83919595pos 38
    83929596dimension 20
    83939597uid 1552,0
    83949598)
    8395 *336 (MRCItem
    8396 litem &287
     9599*380 (MRCItem
     9600litem &320
    83979601pos 39
    83989602dimension 20
    83999603uid 1554,0
    84009604)
    8401 *337 (MRCItem
    8402 litem &288
     9605*381 (MRCItem
     9606litem &321
    84039607pos 40
    84049608dimension 20
    84059609uid 1556,0
    84069610)
    8407 *338 (MRCItem
    8408 litem &289
     9611*382 (MRCItem
     9612litem &322
    84099613pos 41
    84109614dimension 20
    84119615uid 1576,0
    84129616)
    8413 *339 (MRCItem
    8414 litem &290
     9617*383 (MRCItem
     9618litem &323
    84159619pos 42
    84169620dimension 20
    84179621uid 1691,0
    84189622)
    8419 *340 (MRCItem
    8420 litem &291
     9623*384 (MRCItem
     9624litem &324
    84219625pos 43
    84229626dimension 20
    84239627uid 2004,0
     9628)
     9629*385 (MRCItem
     9630litem &325
     9631pos 44
     9632dimension 20
     9633uid 2786,0
     9634)
     9635*386 (MRCItem
     9636litem &326
     9637pos 45
     9638dimension 20
     9639uid 2788,0
     9640)
     9641*387 (MRCItem
     9642litem &327
     9643pos 46
     9644dimension 20
     9645uid 2790,0
     9646)
     9647*388 (MRCItem
     9648litem &328
     9649pos 47
     9650dimension 20
     9651uid 2792,0
     9652)
     9653*389 (MRCItem
     9654litem &329
     9655pos 48
     9656dimension 20
     9657uid 2794,0
     9658)
     9659*390 (MRCItem
     9660litem &330
     9661pos 49
     9662dimension 20
     9663uid 2796,0
     9664)
     9665*391 (MRCItem
     9666litem &331
     9667pos 50
     9668dimension 20
     9669uid 2798,0
     9670)
     9671*392 (MRCItem
     9672litem &332
     9673pos 51
     9674dimension 20
     9675uid 2800,0
     9676)
     9677*393 (MRCItem
     9678litem &333
     9679pos 52
     9680dimension 20
     9681uid 2802,0
     9682)
     9683*394 (MRCItem
     9684litem &334
     9685pos 53
     9686dimension 20
     9687uid 2804,0
     9688)
     9689*395 (MRCItem
     9690litem &335
     9691pos 54
     9692dimension 20
     9693uid 2951,0
    84249694)
    84259695]
     
    84349704uid 73,0
    84359705optionalChildren [
    8436 *341 (MRCItem
    8437 litem &239
     9706*396 (MRCItem
     9707litem &272
    84389708pos 0
    84399709dimension 20
    84409710uid 74,0
    84419711)
    8442 *342 (MRCItem
    8443 litem &241
     9712*397 (MRCItem
     9713litem &274
    84449714pos 1
    84459715dimension 50
    84469716uid 75,0
    84479717)
    8448 *343 (MRCItem
    8449 litem &242
     9718*398 (MRCItem
     9719litem &275
    84509720pos 2
    84519721dimension 100
    84529722uid 76,0
    84539723)
    8454 *344 (MRCItem
    8455 litem &243
     9724*399 (MRCItem
     9725litem &276
    84569726pos 3
    84579727dimension 50
    84589728uid 77,0
    84599729)
    8460 *345 (MRCItem
    8461 litem &244
     9730*400 (MRCItem
     9731litem &277
    84629732pos 4
    84639733dimension 100
    84649734uid 78,0
    84659735)
    8466 *346 (MRCItem
    8467 litem &245
     9736*401 (MRCItem
     9737litem &278
    84689738pos 5
    84699739dimension 100
    84709740uid 79,0
    84719741)
    8472 *347 (MRCItem
    8473 litem &246
     9742*402 (MRCItem
     9743litem &279
    84749744pos 6
    84759745dimension 50
    84769746uid 80,0
    84779747)
    8478 *348 (MRCItem
    8479 litem &247
     9748*403 (MRCItem
     9749litem &280
    84809750pos 7
    84819751dimension 80
     
    84979767genericsCommonDM (CommonDM
    84989768ldm (LogicalDM
    8499 emptyRow *349 (LEmptyRow
     9769emptyRow *404 (LEmptyRow
    85009770)
    85019771uid 83,0
    85029772optionalChildren [
    8503 *350 (RefLabelRowHdr
    8504 )
    8505 *351 (TitleRowHdr
    8506 )
    8507 *352 (FilterRowHdr
    8508 )
    8509 *353 (RefLabelColHdr
     9773*405 (RefLabelRowHdr
     9774)
     9775*406 (TitleRowHdr
     9776)
     9777*407 (FilterRowHdr
     9778)
     9779*408 (RefLabelColHdr
    85109780tm "RefLabelColHdrMgr"
    85119781)
    8512 *354 (RowExpandColHdr
     9782*409 (RowExpandColHdr
    85139783tm "RowExpandColHdrMgr"
    85149784)
    8515 *355 (GroupColHdr
     9785*410 (GroupColHdr
    85169786tm "GroupColHdrMgr"
    85179787)
    8518 *356 (NameColHdr
     9788*411 (NameColHdr
    85199789tm "GenericNameColHdrMgr"
    85209790)
    8521 *357 (TypeColHdr
     9791*412 (TypeColHdr
    85229792tm "GenericTypeColHdrMgr"
    85239793)
    8524 *358 (InitColHdr
     9794*413 (InitColHdr
    85259795tm "GenericValueColHdrMgr"
    85269796)
    8527 *359 (PragmaColHdr
     9797*414 (PragmaColHdr
    85289798tm "GenericPragmaColHdrMgr"
    85299799)
    8530 *360 (EolColHdr
     9800*415 (EolColHdr
    85319801tm "GenericEolColHdrMgr"
    85329802)
     
    85389808uid 95,0
    85399809optionalChildren [
    8540 *361 (Sheet
     9810*416 (Sheet
    85419811sheetRow (SheetRow
    85429812headerVa (MVa
     
    85559825font "Tahoma,10,0"
    85569826)
    8557 emptyMRCItem *362 (MRCItem
    8558 litem &349
     9827emptyMRCItem *417 (MRCItem
     9828litem &404
    85599829pos 0
    85609830dimension 20
     
    85629832uid 97,0
    85639833optionalChildren [
    8564 *363 (MRCItem
    8565 litem &350
     9834*418 (MRCItem
     9835litem &405
    85669836pos 0
    85679837dimension 20
    85689838uid 98,0
    85699839)
    8570 *364 (MRCItem
    8571 litem &351
     9840*419 (MRCItem
     9841litem &406
    85729842pos 1
    85739843dimension 23
    85749844uid 99,0
    85759845)
    8576 *365 (MRCItem
    8577 litem &352
     9846*420 (MRCItem
     9847litem &407
    85789848pos 2
    85799849hidden 1
     
    85929862uid 101,0
    85939863optionalChildren [
    8594 *366 (MRCItem
    8595 litem &353
     9864*421 (MRCItem
     9865litem &408
    85969866pos 0
    85979867dimension 20
    85989868uid 102,0
    85999869)
    8600 *367 (MRCItem
    8601 litem &355
     9870*422 (MRCItem
     9871litem &410
    86029872pos 1
    86039873dimension 50
    86049874uid 103,0
    86059875)
    8606 *368 (MRCItem
    8607 litem &356
     9876*423 (MRCItem
     9877litem &411
    86089878pos 2
    86099879dimension 100
    86109880uid 104,0
    86119881)
    8612 *369 (MRCItem
    8613 litem &357
     9882*424 (MRCItem
     9883litem &412
    86149884pos 3
    86159885dimension 100
    86169886uid 105,0
    86179887)
    8618 *370 (MRCItem
    8619 litem &358
     9888*425 (MRCItem
     9889litem &413
    86209890pos 4
    86219891dimension 50
    86229892uid 106,0
    86239893)
    8624 *371 (MRCItem
    8625 litem &359
     9894*426 (MRCItem
     9895litem &414
    86269896pos 5
    86279897dimension 50
    86289898uid 107,0
    86299899)
    8630 *372 (MRCItem
    8631 litem &360
     9900*427 (MRCItem
     9901litem &415
    86329902pos 6
    86339903dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd.bak

    r10240 r10883  
    206206(vvPair
    207207variable "date"
    208 value "02.03.2011"
     208value "23.05.2011"
    209209)
    210210(vvPair
    211211variable "day"
    212 value "Mi"
     212value "Mo"
    213213)
    214214(vvPair
    215215variable "day_long"
    216 value "Mittwoch"
     216value "Montag"
    217217)
    218218(vvPair
    219219variable "dd"
    220 value "02"
     220value "23"
    221221)
    222222(vvPair
     
    278278(vvPair
    279279variable "mm"
    280 value "03"
     280value "05"
    281281)
    282282(vvPair
     
    286286(vvPair
    287287variable "month"
    288 value "Mrz"
     288value "Mai"
    289289)
    290290(vvPair
    291291variable "month_long"
    292 value "März"
     292value "Mai"
    293293)
    294294(vvPair
     
    354354(vvPair
    355355variable "time"
    356 value "15:31:34"
     356value "18:19:57"
    357357)
    358358(vvPair
     
    406406bg "0,0,32768"
    407407)
    408 xt "109200,97000,119000,98000"
     408xt "109200,97000,120300,98000"
    409409st "
    410410by %user on %dd %month %year
     
    437437bg "0,0,32768"
    438438)
    439 xt "126200,93000,129200,94000"
     439xt "126200,93000,129500,94000"
    440440st "
    441441Project:
     
    468468bg "0,0,32768"
    469469)
    470 xt "109200,95000,119200,96000"
     470xt "109200,95000,120100,96000"
    471471st "
    472472<enter diagram title here>
     
    499499bg "0,0,32768"
    500500)
    501 xt "105200,95000,107300,96000"
     501xt "105200,95000,107500,96000"
    502502st "
    503503Title:
     
    530530bg "0,0,32768"
    531531)
    532 xt "126200,94200,135400,95200"
     532xt "126200,94200,136000,95200"
    533533st "
    534534<enter comments here>
     
    560560bg "0,0,32768"
    561561)
    562 xt "130200,93000,134700,94000"
     562xt "130200,93000,134900,94000"
    563563st "
    564564%project_name
     
    590590fg "32768,0,0"
    591591)
    592 xt "112700,93000,118300,95000"
     592xt "112450,93000,118550,95000"
    593593st "
    594594TU Dortmund
     
    623623bg "0,0,32768"
    624624)
    625 xt "105200,96000,107300,97000"
     625xt "105200,96000,107500,97000"
    626626st "
    627627Path:
     
    654654bg "0,0,32768"
    655655)
    656 xt "105200,97000,107900,98000"
     656xt "105200,97000,108300,98000"
    657657st "
    658658Edited:
     
    685685bg "0,0,32768"
    686686)
    687 xt "109200,96000,123400,97000"
     687xt "109200,96000,125800,97000"
    688688st "
    689689%library/%unit/%view
     
    734734va (VaSet
    735735)
    736 xt "104400,23500,108000,24500"
     736xt "103800,23500,108000,24500"
    737737st "wiz_reset"
    738738ju 2
     
    745745n "wiz_reset"
    746746t "std_logic"
    747 o 39
     747o 49
    748748suid 2,0
    749749i "'1'"
     
    771771va (VaSet
    772772)
    773 xt "104000,69500,108000,70500"
     773xt "103600,69500,108000,70500"
    774774st "led : (7:0)"
    775775ju 2
     
    784784b "(7 DOWNTO 0)"
    785785posAdd 0
    786 o 31
     786o 38
    787787suid 7,0
    788788i "(OTHERS => '0')"
     
    810810va (VaSet
    811811)
    812 xt "82000,31500,84800,32500"
     812xt "82000,31500,85000,32500"
    813813st "trigger"
    814814blo "82000,32300"
     
    821821preAdd 0
    822822posAdd 0
    823 o 13
     823o 14
    824824suid 18,0
    825825)
     
    846846va (VaSet
    847847)
    848 xt "82000,42500,85200,43500"
     848xt "82000,42500,85500,43500"
    849849st "adc_oeb"
    850850blo "82000,43300"
     
    856856n "adc_oeb"
    857857t "std_logic"
    858 o 21
     858o 26
    859859suid 21,0
    860860i "'1'"
     
    882882va (VaSet
    883883)
    884 xt "82000,33500,87900,34500"
     884xt "82000,33500,88700,34500"
    885885st "board_id : (3:0)"
    886886blo "82000,34300"
     
    892892t "std_logic_vector"
    893893b "(3 DOWNTO 0)"
    894 o 9
     894o 10
    895895suid 24,0
    896896)
     
    917917va (VaSet
    918918)
    919 xt "82000,34500,87700,35500"
     919xt "82000,34500,88400,35500"
    920920st "crate_id : (1:0)"
    921921blo "82000,35300"
     
    927927t "std_logic_vector"
    928928b "(1 DOWNTO 0)"
    929 o 10
     929o 11
    930930suid 25,0
    931931)
     
    952952va (VaSet
    953953)
    954 xt "102000,20500,108000,21500"
     954xt "101100,20500,108000,21500"
    955955st "wiz_addr : (9:0)"
    956956ju 2
     
    964964t "std_logic_vector"
    965965b "(9 DOWNTO 0)"
    966 o 36
     966o 46
    967967suid 26,0
    968968)
     
    989989va (VaSet
    990990)
    991 xt "101700,21500,108000,22500"
     991xt "100800,21500,108000,22500"
    992992st "wiz_data : (15:0)"
    993993ju 2
     
    10011001t "std_logic_vector"
    10021002b "(15 DOWNTO 0)"
    1003 o 42
     1003o 52
    10041004suid 27,0
    10051005)
     
    10261026va (VaSet
    10271027)
    1028 xt "105300,27500,108000,28500"
     1028xt "105000,27500,108000,28500"
    10291029st "wiz_cs"
    10301030ju 2
     
    10371037n "wiz_cs"
    10381038t "std_logic"
    1039 o 37
     1039o 47
    10401040suid 28,0
    10411041i "'1'"
     
    10631063va (VaSet
    10641064)
    1065 xt "105300,25500,108000,26500"
     1065xt "104800,25500,108000,26500"
    10661066st "wiz_wr"
    10671067ju 2
     
    10741074n "wiz_wr"
    10751075t "std_logic"
    1076 o 40
     1076o 50
    10771077suid 29,0
    10781078i "'1'"
     
    11001100va (VaSet
    11011101)
    1102 xt "105400,24500,108000,25500"
     1102xt "104900,24500,108000,25500"
    11031103st "wiz_rd"
    11041104ju 2
     
    11111111n "wiz_rd"
    11121112t "std_logic"
    1113 o 38
     1113o 48
    11141114suid 30,0
    11151115i "'1'"
     
    11371137va (VaSet
    11381138)
    1139 xt "105300,26500,108000,27500"
     1139xt "104800,26500,108000,27500"
    11401140st "wiz_int"
    11411141ju 2
     
    11471147n "wiz_int"
    11481148t "std_logic"
    1149 o 14
     1149o 15
    11501150suid 31,0
    11511151)
     
    11721172va (VaSet
    11731173)
    1174 xt "82000,22500,86500,23500"
     1174xt "82000,22500,86800,23500"
    11751175st "CLK_25_PS"
    11761176blo "82000,23300"
     
    11821182n "CLK_25_PS"
    11831183t "std_logic"
    1184 o 16
     1184o 17
    11851185suid 35,0
    11861186)
     
    12071207va (VaSet
    12081208)
    1209 xt "82000,21500,85100,22500"
     1209xt "82000,21500,85300,22500"
    12101210st "CLK_50"
    12111211blo "82000,22300"
     
    12191219preAdd 0
    12201220posAdd 0
    1221 o 17
     1221o 18
    12221222suid 37,0
    12231223)
     
    12781278va (VaSet
    12791279)
    1280 xt "82000,41500,90000,42500"
     1280xt "82000,41500,91300,42500"
    12811281st "adc_otr_array : (3:0)"
    12821282blo "82000,42300"
     
    12881288t "std_logic_vector"
    12891289b "(3 DOWNTO 0)"
    1290 o 8
     1290o 9
    12911291suid 40,0
    12921292)
     
    13131313va (VaSet
    13141314)
    1315 xt "82000,47500,87900,48500"
     1315xt "82000,47500,88900,48500"
    13161316st "adc_data_array"
    13171317blo "82000,48300"
     
    13221322n "adc_data_array"
    13231323t "adc_data_array_type"
    1324 o 7
     1324o 8
    13251325suid 41,0
    13261326)
     
    13471347va (VaSet
    13481348)
    1349 xt "82000,61500,90500,62500"
     1349xt "82000,61500,91500,62500"
    13501350st "drs_channel_id : (3:0)"
    13511351blo "82000,62300"
     
    13581358t "std_logic_vector"
    13591359b "(3 downto 0)"
    1360 o 28
     1360o 35
    13611361suid 48,0
    13621362i "(others => '0')"
     
    13841384va (VaSet
    13851385)
    1386 xt "82000,66500,86300,67500"
     1386xt "82000,66500,87200,67500"
    13871387st "drs_dwrite"
    13881388blo "82000,67300"
     
    13941394n "drs_dwrite"
    13951395t "std_logic"
    1396 o 29
     1396o 36
    13971397suid 49,0
    13981398i "'1'"
     
    14201420va (VaSet
    14211421)
    1422 xt "82000,57500,87400,58500"
     1422xt "82000,57500,87800,58500"
    14231423st "SROUT_in_0"
    14241424blo "82000,58300"
     
    14291429n "SROUT_in_0"
    14301430t "std_logic"
    1431 o 3
     1431o 4
    14321432suid 52,0
    14331433)
     
    14541454va (VaSet
    14551455)
    1456 xt "82000,58500,87400,59500"
     1456xt "82000,58500,87700,59500"
    14571457st "SROUT_in_1"
    14581458blo "82000,59300"
     
    14631463n "SROUT_in_1"
    14641464t "std_logic"
    1465 o 4
     1465o 5
    14661466suid 53,0
    14671467)
     
    14881488va (VaSet
    14891489)
    1490 xt "82000,59500,87400,60500"
     1490xt "82000,59500,87800,60500"
    14911491st "SROUT_in_2"
    14921492blo "82000,60300"
     
    14971497n "SROUT_in_2"
    14981498t "std_logic"
    1499 o 5
     1499o 6
    15001500suid 54,0
    15011501)
     
    15221522va (VaSet
    15231523)
    1524 xt "82000,60500,87400,61500"
     1524xt "82000,60500,87800,61500"
    15251525st "SROUT_in_3"
    15261526blo "82000,61300"
     
    15311531n "SROUT_in_3"
    15321532t "std_logic"
    1533 o 6
     1533o 7
    15341534suid 55,0
    15351535)
     
    15661566n "RSRLOAD"
    15671567t "std_logic"
    1568 o 18
     1568o 23
    15691569suid 56,0
    15701570i "'0'"
     
    15921592va (VaSet
    15931593)
    1594 xt "82000,64500,85000,65500"
     1594xt "82000,64500,84900,65500"
    15951595st "SRCLK"
    15961596blo "82000,65300"
     
    16021602n "SRCLK"
    16031603t "std_logic"
    1604 o 19
     1604o 24
    16051605suid 57,0
    16061606i "'0'"
     
    16281628va (VaSet
    16291629)
    1630 xt "106300,50500,108000,51500"
     1630xt "106100,50500,108000,51500"
    16311631st "sclk"
    16321632ju 2
     
    16391639n "sclk"
    16401640t "std_logic"
    1641 o 34
     1641o 42
    16421642suid 62,0
    16431643)
     
    16771677preAdd 0
    16781678posAdd 0
    1679 o 41
     1679o 51
    16801680suid 63,0
    16811681)
     
    17021702va (VaSet
    17031703)
    1704 xt "105200,39500,108000,40500"
     1704xt "105000,39500,108000,40500"
    17051705st "dac_cs"
    17061706ju 2
     
    17131713n "dac_cs"
    17141714t "std_logic"
    1715 o 26
     1715o 31
    17161716suid 64,0
    17171717)
     
    17381738va (VaSet
    17391739)
    1740 xt "101500,41500,108000,42500"
     1740xt "101000,41500,108000,42500"
    17411741st "sensor_cs : (3:0)"
    17421742ju 2
     
    17501750t "std_logic_vector"
    17511751b "(3 DOWNTO 0)"
    1752 o 35
     1752o 43
    17531753suid 65,0
    17541754)
     
    17861786n "mosi"
    17871787t "std_logic"
    1788 o 32
     1788o 40
    17891789suid 66,0
    17901790i "'0'"
     
    18121812va (VaSet
    18131813)
    1814 xt "82000,65500,85000,66500"
     1814xt "82000,65500,85200,66500"
    18151815st "denable"
    18161816blo "82000,66300"
     
    18241824eolc "-- default domino wave off"
    18251825posAdd 0
    1826 o 27
     1826o 34
    18271827suid 67,0
    18281828i "'0'"
     
    18501850va (VaSet
    18511851)
    1852 xt "99400,73500,108000,74500"
     1852xt "98000,73500,108000,74500"
    18531853st "alarm_refclk_too_high"
    18541854ju 2
     
    18611861n "alarm_refclk_too_high"
    18621862t "std_logic"
    1863 o 22
     1863o 27
    18641864suid 95,0
    18651865)
     
    18861886va (VaSet
    18871887)
    1888 xt "99800,74500,108000,75500"
     1888xt "98400,74500,108000,75500"
    18891889st "alarm_refclk_too_low"
    18901890ju 2
     
    18981898t "std_logic"
    18991899posAdd 0
    1900 o 23
     1900o 28
    19011901suid 96,0
    19021902)
     
    19231923va (VaSet
    19241924)
    1925 xt "105500,79500,108000,80500"
     1925xt "105300,79500,108000,80500"
    19261926st "amber"
    19271927ju 2
     
    19341934n "amber"
    19351935t "std_logic"
    1936 o 24
     1936o 29
    19371937suid 87,0
    19381938)
     
    19591959va (VaSet
    19601960)
    1961 xt "99400,76500,108000,77500"
     1961xt "98400,76500,108000,77500"
    19621962st "counter_result : (11:0)"
    19631963ju 2
     
    19711971t "std_logic_vector"
    19721972b "(11 DOWNTO 0)"
    1973 o 25
     1973o 30
    19741974suid 94,0
    19751975)
     
    20312031va (VaSet
    20322032)
    2033 xt "82000,75500,87100,76500"
     2033xt "82000,75500,88100,76500"
    20342034st "drs_refclk_in"
    20352035blo "82000,76300"
     
    20412041t "std_logic"
    20422042eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
    2043 o 11
     2043o 12
    20442044suid 92,0
    20452045)
     
    20772077n "green"
    20782078t "std_logic"
    2079 o 30
     2079o 37
    20802080suid 86,0
    20812081)
     
    21022102va (VaSet
    21032103)
    2104 xt "82000,76500,88100,77500"
     2104xt "82000,76500,88700,77500"
    21052105st "plllock_in : (3:0)"
    21062106blo "82000,77300"
     
    21132113b "(3 DOWNTO 0)"
    21142114eolc "-- high level, if dominowave is running and DRS PLL locked"
    2115 o 12
     2115o 13
    21162116suid 93,0
    21172117)
     
    21382138va (VaSet
    21392139)
    2140 xt "106500,78500,108000,79500"
     2140xt "106300,78500,108000,79500"
    21412141st "red"
    21422142ju 2
     
    21492149n "red"
    21502150t "std_logic"
    2151 o 33
     2151o 41
    21522152suid 88,0
    21532153)
     
    21742174va (VaSet
    21752175)
    2176 xt "82000,71500,85700,72500"
     2176xt "82000,71500,86200,72500"
    21772177st "SRIN_out"
    21782178blo "82000,72300"
     
    21842184n "SRIN_out"
    21852185t "std_logic"
    2186 o 20
     2186o 25
    21872187suid 85,0
    21882188i "'0'"
     
    22212221n "ADC_CLK"
    22222222t "std_logic"
    2223 o 15
     2223o 16
    22242224suid 97,0
     2225)
     2226)
     2227)
     2228*55 (CptPort
     2229uid 2651,0
     2230ps "OnEdgeStrategy"
     2231shape (Triangle
     2232uid 2652,0
     2233ro 90
     2234va (VaSet
     2235vasetType 1
     2236fg "0,65535,0"
     2237)
     2238xt "109000,80625,109750,81375"
     2239)
     2240tg (CPTG
     2241uid 2653,0
     2242ps "CptPortTextPlaceStrategy"
     2243stg "RightVerticalLayoutStrategy"
     2244f (Text
     2245uid 2654,0
     2246va (VaSet
     2247)
     2248xt "97600,80500,108000,81500"
     2249st "debug_data_ram_empty"
     2250ju 2
     2251blo "108000,81300"
     2252)
     2253)
     2254thePort (LogicalPort
     2255m 1
     2256decl (Decl
     2257n "debug_data_ram_empty"
     2258t "std_logic"
     2259o 32
     2260suid 104,0
     2261)
     2262)
     2263)
     2264*56 (CptPort
     2265uid 2655,0
     2266ps "OnEdgeStrategy"
     2267shape (Triangle
     2268uid 2656,0
     2269ro 90
     2270va (VaSet
     2271vasetType 1
     2272fg "0,65535,0"
     2273)
     2274xt "109000,81625,109750,82375"
     2275)
     2276tg (CPTG
     2277uid 2657,0
     2278ps "CptPortTextPlaceStrategy"
     2279stg "RightVerticalLayoutStrategy"
     2280f (Text
     2281uid 2658,0
     2282va (VaSet
     2283)
     2284xt "100500,81500,108000,82500"
     2285st "debug_data_valid"
     2286ju 2
     2287blo "108000,82300"
     2288)
     2289)
     2290thePort (LogicalPort
     2291m 1
     2292decl (Decl
     2293n "debug_data_valid"
     2294t "std_logic"
     2295o 33
     2296suid 105,0
     2297)
     2298)
     2299)
     2300*57 (CptPort
     2301uid 2659,0
     2302ps "OnEdgeStrategy"
     2303shape (Triangle
     2304uid 2660,0
     2305ro 90
     2306va (VaSet
     2307vasetType 1
     2308fg "0,65535,0"
     2309)
     2310xt "109000,82625,109750,83375"
     2311)
     2312tg (CPTG
     2313uid 2661,0
     2314ps "CptPortTextPlaceStrategy"
     2315stg "RightVerticalLayoutStrategy"
     2316f (Text
     2317uid 2662,0
     2318va (VaSet
     2319)
     2320xt "101100,82500,108000,83500"
     2321st "DG_state : (7:0)"
     2322ju 2
     2323blo "108000,83300"
     2324)
     2325)
     2326thePort (LogicalPort
     2327m 1
     2328decl (Decl
     2329n "DG_state"
     2330t "std_logic_vector"
     2331b "(7 downto 0)"
     2332prec "-- for debugging"
     2333preAdd 0
     2334o 19
     2335suid 108,0
     2336)
     2337)
     2338)
     2339*58 (CptPort
     2340uid 2663,0
     2341ps "OnEdgeStrategy"
     2342shape (Triangle
     2343uid 2664,0
     2344ro 90
     2345va (VaSet
     2346vasetType 1
     2347fg "0,65535,0"
     2348)
     2349xt "80250,77625,81000,78375"
     2350)
     2351tg (CPTG
     2352uid 2665,0
     2353ps "CptPortTextPlaceStrategy"
     2354stg "VerticalLayoutStrategy"
     2355f (Text
     2356uid 2666,0
     2357va (VaSet
     2358)
     2359xt "82000,77500,90100,78500"
     2360st "FTM_RS485_rx_d"
     2361blo "82000,78300"
     2362)
     2363)
     2364thePort (LogicalPort
     2365decl (Decl
     2366n "FTM_RS485_rx_d"
     2367t "std_logic"
     2368o 3
     2369suid 99,0
     2370)
     2371)
     2372)
     2373*59 (CptPort
     2374uid 2667,0
     2375ps "OnEdgeStrategy"
     2376shape (Triangle
     2377uid 2668,0
     2378ro 90
     2379va (VaSet
     2380vasetType 1
     2381fg "0,65535,0"
     2382)
     2383xt "109000,83625,109750,84375"
     2384)
     2385tg (CPTG
     2386uid 2669,0
     2387ps "CptPortTextPlaceStrategy"
     2388stg "RightVerticalLayoutStrategy"
     2389f (Text
     2390uid 2670,0
     2391va (VaSet
     2392)
     2393xt "99600,83500,108000,84500"
     2394st "FTM_RS485_rx_en"
     2395ju 2
     2396blo "108000,84300"
     2397)
     2398)
     2399thePort (LogicalPort
     2400m 1
     2401decl (Decl
     2402n "FTM_RS485_rx_en"
     2403t "std_logic"
     2404o 20
     2405suid 101,0
     2406)
     2407)
     2408)
     2409*60 (CptPort
     2410uid 2671,0
     2411ps "OnEdgeStrategy"
     2412shape (Triangle
     2413uid 2672,0
     2414ro 90
     2415va (VaSet
     2416vasetType 1
     2417fg "0,65535,0"
     2418)
     2419xt "109000,84625,109750,85375"
     2420)
     2421tg (CPTG
     2422uid 2673,0
     2423ps "CptPortTextPlaceStrategy"
     2424stg "RightVerticalLayoutStrategy"
     2425f (Text
     2426uid 2674,0
     2427va (VaSet
     2428)
     2429xt "99900,84500,108000,85500"
     2430st "FTM_RS485_tx_d"
     2431ju 2
     2432blo "108000,85300"
     2433)
     2434)
     2435thePort (LogicalPort
     2436m 1
     2437decl (Decl
     2438n "FTM_RS485_tx_d"
     2439t "std_logic"
     2440o 21
     2441suid 100,0
     2442)
     2443)
     2444)
     2445*61 (CptPort
     2446uid 2675,0
     2447ps "OnEdgeStrategy"
     2448shape (Triangle
     2449uid 2676,0
     2450ro 90
     2451va (VaSet
     2452vasetType 1
     2453fg "0,65535,0"
     2454)
     2455xt "109000,85625,109750,86375"
     2456)
     2457tg (CPTG
     2458uid 2677,0
     2459ps "CptPortTextPlaceStrategy"
     2460stg "RightVerticalLayoutStrategy"
     2461f (Text
     2462uid 2678,0
     2463va (VaSet
     2464)
     2465xt "99600,85500,108000,86500"
     2466st "FTM_RS485_tx_en"
     2467ju 2
     2468blo "108000,86300"
     2469)
     2470)
     2471thePort (LogicalPort
     2472m 1
     2473decl (Decl
     2474n "FTM_RS485_tx_en"
     2475t "std_logic"
     2476o 22
     2477suid 102,0
     2478)
     2479)
     2480)
     2481*62 (CptPort
     2482uid 2679,0
     2483ps "OnEdgeStrategy"
     2484shape (Triangle
     2485uid 2680,0
     2486ro 90
     2487va (VaSet
     2488vasetType 1
     2489fg "0,65535,0"
     2490)
     2491xt "109000,86625,109750,87375"
     2492)
     2493tg (CPTG
     2494uid 2681,0
     2495ps "CptPortTextPlaceStrategy"
     2496stg "RightVerticalLayoutStrategy"
     2497f (Text
     2498uid 2682,0
     2499va (VaSet
     2500)
     2501xt "96600,86500,108000,87500"
     2502st "mem_manager_state : (3:0)"
     2503ju 2
     2504blo "108000,87300"
     2505)
     2506)
     2507thePort (LogicalPort
     2508lang 2
     2509m 1
     2510decl (Decl
     2511n "mem_manager_state"
     2512t "std_logic_vector"
     2513b "(3 DOWNTO 0)"
     2514eolc "-- state is encoded here ... useful for debugging."
     2515posAdd 0
     2516o 39
     2517suid 106,0
     2518)
     2519)
     2520)
     2521*63 (CptPort
     2522uid 2683,0
     2523ps "OnEdgeStrategy"
     2524shape (Triangle
     2525uid 2684,0
     2526ro 90
     2527va (VaSet
     2528vasetType 1
     2529fg "0,65535,0"
     2530)
     2531xt "109000,87625,109750,88375"
     2532)
     2533tg (CPTG
     2534uid 2685,0
     2535ps "CptPortTextPlaceStrategy"
     2536stg "RightVerticalLayoutStrategy"
     2537f (Text
     2538uid 2686,0
     2539va (VaSet
     2540)
     2541xt "102400,87500,108000,88500"
     2542st "trigger_veto"
     2543ju 2
     2544blo "108000,88300"
     2545)
     2546)
     2547thePort (LogicalPort
     2548m 1
     2549decl (Decl
     2550n "trigger_veto"
     2551t "std_logic"
     2552o 44
     2553suid 98,0
     2554i "'1'"
     2555)
     2556)
     2557)
     2558*64 (CptPort
     2559uid 2687,0
     2560ps "OnEdgeStrategy"
     2561shape (Triangle
     2562uid 2688,0
     2563ro 90
     2564va (VaSet
     2565vasetType 1
     2566fg "0,65535,0"
     2567)
     2568xt "109000,88625,109750,89375"
     2569)
     2570tg (CPTG
     2571uid 2689,0
     2572ps "CptPortTextPlaceStrategy"
     2573stg "RightVerticalLayoutStrategy"
     2574f (Text
     2575uid 2690,0
     2576va (VaSet
     2577)
     2578xt "99600,88500,108000,89500"
     2579st "w5300_state : (7:0)"
     2580ju 2
     2581blo "108000,89300"
     2582)
     2583)
     2584thePort (LogicalPort
     2585m 1
     2586decl (Decl
     2587n "w5300_state"
     2588t "std_logic_vector"
     2589b "(7 DOWNTO 0)"
     2590eolc "-- state is encoded here ... useful for debugging."
     2591posAdd 0
     2592o 45
     2593suid 103,0
    22252594)
    22262595)
     
    22352604lineWidth 2
    22362605)
    2237 xt "81000,19000,109000,81000"
     2606xt "81000,19000,109000,90000"
    22382607)
    22392608oxt "15000,-8000,43000,46000"
     
    22432612stg "VerticalLayoutStrategy"
    22442613textVec [
    2245 *55 (Text
     2614*65 (Text
    22462615uid 236,0
    22472616va (VaSet
     
    22532622tm "BdLibraryNameMgr"
    22542623)
    2255 *56 (Text
     2624*66 (Text
    22562625uid 237,0
    22572626va (VaSet
     
    22632632tm "CptNameMgr"
    22642633)
    2265 *57 (Text
     2634*67 (Text
    22662635uid 238,0
    22672636va (VaSet
     
    23052674fg "49152,49152,49152"
    23062675)
    2307 xt "81250,79250,82750,80750"
     2676xt "81250,88250,82750,89750"
    23082677iconName "BlockDiagram.png"
    23092678iconMaskName "BlockDiagram.msk"
     
    23152684archFileType "UNKNOWN"
    23162685)
    2317 *58 (SaComponent
     2686*68 (SaComponent
    23182687uid 274,0
    23192688optionalChildren [
    2320 *59 (CptPort
     2689*69 (CptPort
    23212690uid 266,0
    23222691ps "OnEdgeStrategy"
     
    23542723)
    23552724)
    2356 *60 (CptPort
     2725*70 (CptPort
    23572726uid 270,0
    23582727ps "OnEdgeStrategy"
     
    24072776stg "VerticalLayoutStrategy"
    24082777textVec [
    2409 *61 (Text
     2778*71 (Text
    24102779uid 277,0
    24112780va (VaSet
     
    24172786tm "BdLibraryNameMgr"
    24182787)
    2419 *62 (Text
     2788*72 (Text
    24202789uid 278,0
    24212790va (VaSet
     
    24272796tm "CptNameMgr"
    24282797)
    2429 *63 (Text
     2798*73 (Text
    24302799uid 279,0
    24312800va (VaSet
     
    24862855archFileType "UNKNOWN"
    24872856)
    2488 *64 (Net
     2857*74 (Net
    24892858uid 284,0
    24902859decl (Decl
     
    25012870font "Courier New,8,0"
    25022871)
    2503 xt "-90000,41400,-68000,42200"
    2504 st "SIGNAL clk                   : STD_LOGIC"
    2505 )
    2506 )
    2507 *65 (Net
     2872xt "-90000,46200,-68000,47000"
     2873st "SIGNAL clk                   : STD_LOGIC
     2874"
     2875)
     2876)
     2877*75 (Net
    25082878uid 316,0
    25092879decl (Decl
     
    25192889font "Courier New,8,0"
    25202890)
    2521 xt "-90000,54200,-58500,55000"
    2522 st "SIGNAL wiz_addr              : std_logic_vector(9 DOWNTO 0)"
    2523 )
    2524 )
    2525 *66 (Net
     2891xt "-90000,63000,-58500,63800"
     2892st "SIGNAL wiz_addr              : std_logic_vector(9 DOWNTO 0)
     2893"
     2894)
     2895)
     2896*76 (Net
    25262897uid 322,0
    25272898decl (Decl
     
    25372908font "Courier New,8,0"
    25382909)
    2539 xt "-90000,55800,-58000,56600"
    2540 st "SIGNAL wiz_data              : std_logic_vector(15 DOWNTO 0)"
    2541 )
    2542 )
    2543 *67 (Net
     2910xt "-90000,64600,-58000,65400"
     2911st "SIGNAL wiz_data              : std_logic_vector(15 DOWNTO 0)
     2912"
     2913)
     2914)
     2915*77 (Net
    25442916uid 328,0
    25452917decl (Decl
     
    25552927font "Courier New,8,0"
    25562928)
    2557 xt "-90000,57400,-55000,58200"
    2558 st "SIGNAL wiz_rd                : std_logic                    := '1'"
    2559 )
    2560 )
    2561 *68 (Net
     2929xt "-90000,66200,-55000,67000"
     2930st "SIGNAL wiz_rd                : std_logic                    := '1'
     2931"
     2932)
     2933)
     2934*78 (Net
    25622935uid 334,0
    25632936decl (Decl
     
    25732946font "Courier New,8,0"
    25742947)
    2575 xt "-90000,59000,-55000,59800"
    2576 st "SIGNAL wiz_wr                : std_logic                    := '1'"
    2577 )
    2578 )
    2579 *69 (SaComponent
     2948xt "-90000,67800,-55000,68600"
     2949st "SIGNAL wiz_wr                : std_logic                    := '1'
     2950"
     2951)
     2952)
     2953*79 (SaComponent
    25802954uid 362,0
    25812955optionalChildren [
    2582 *70 (CptPort
     2956*80 (CptPort
    25832957uid 350,0
    25842958ps "OnEdgeStrategy"
     
    26162990)
    26172991)
    2618 *71 (CptPort
     2992*81 (CptPort
    26192993uid 354,0
    26202994ps "OnEdgeStrategy"
     
    26533027)
    26543028)
    2655 *72 (CptPort
     3029*82 (CptPort
    26563030uid 358,0
    26573031ps "OnEdgeStrategy"
     
    27073081stg "VerticalLayoutStrategy"
    27083082textVec [
    2709 *73 (Text
     3083*83 (Text
    27103084uid 365,0
    27113085va (VaSet
     
    27173091tm "BdLibraryNameMgr"
    27183092)
    2719 *74 (Text
     3093*84 (Text
    27203094uid 366,0
    27213095va (VaSet
     
    27273101tm "CptNameMgr"
    27283102)
    2729 *75 (Text
     3103*85 (Text
    27303104uid 367,0
    27313105va (VaSet
     
    27813155archFileType "UNKNOWN"
    27823156)
    2783 *76 (Net
     3157*86 (Net
    27843158uid 372,0
    27853159decl (Decl
     
    27953169font "Courier New,8,0"
    27963170)
    2797 xt "-90000,51800,-58500,52600"
    2798 st "SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0)"
    2799 )
    2800 )
    2801 *77 (Net
     3171xt "-90000,59000,-58500,59800"
     3172st "SIGNAL sensor_cs             : std_logic_vector(3 DOWNTO 0)
     3173"
     3174)
     3175)
     3176*87 (Net
    28023177uid 378,0
    28033178decl (Decl
     
    28123187font "Courier New,8,0"
    28133188)
    2814 xt "-90000,51000,-68000,51800"
    2815 st "SIGNAL sclk                  : std_logic"
    2816 )
    2817 )
    2818 *78 (Net
     3189xt "-90000,58200,-68000,59000"
     3190st "SIGNAL sclk                  : std_logic
     3191"
     3192)
     3193)
     3194*88 (Net
    28193195uid 384,0
    28203196decl (Decl
     
    28313207font "Courier New,8,0"
    28323208)
    2833 xt "-90000,52600,-68000,53400"
    2834 st "SIGNAL sio                   : std_logic"
    2835 )
    2836 )
    2837 *79 (SaComponent
     3209xt "-90000,59800,-68000,60600"
     3210st "SIGNAL sio                   : std_logic
     3211"
     3212)
     3213)
     3214*89 (SaComponent
    28383215uid 414,0
    28393216optionalChildren [
    2840 *80 (CptPort
     3217*90 (CptPort
    28413218uid 410,0
    28423219ps "OnEdgeStrategy"
     
    28933270stg "VerticalLayoutStrategy"
    28943271textVec [
    2895 *81 (Text
     3272*91 (Text
    28963273uid 417,0
    28973274va (VaSet
     
    29033280tm "BdLibraryNameMgr"
    29043281)
    2905 *82 (Text
     3282*92 (Text
    29063283uid 418,0
    29073284va (VaSet
     
    29133290tm "CptNameMgr"
    29143291)
    2915 *83 (Text
     3292*93 (Text
    29163293uid 419,0
    29173294va (VaSet
     
    29733350archFileType "UNKNOWN"
    29743351)
    2975 *84 (Net
     3352*94 (Net
    29763353uid 424,0
    29773354decl (Decl
     
    29883365font "Courier New,8,0"
    29893366)
    2990 xt "-90000,53400,-68000,54200"
    2991 st "SIGNAL trigger               : std_logic"
    2992 )
    2993 )
    2994 *85 (HdlText
     3367xt "-90000,60600,-68000,61400"
     3368st "SIGNAL trigger               : std_logic
     3369"
     3370)
     3371)
     3372*95 (HdlText
    29953373uid 430,0
    29963374optionalChildren [
    2997 *86 (EmbeddedText
     3375*96 (EmbeddedText
    29983376uid 436,0
    29993377commentText (CommentText
     
    30153393va (VaSet
    30163394)
    3017 xt "50200,45200,60200,48200"
     3395xt "50200,45200,58200,49200"
    30183396st "
    30193397-- eb_ID 1: hard-wired IDs
     
    30463424stg "VerticalLayoutStrategy"
    30473425textVec [
    3048 *87 (Text
     3426*97 (Text
    30493427uid 433,0
    30503428va (VaSet
     
    30563434tm "HdlTextNameMgr"
    30573435)
    3058 *88 (Text
     3436*98 (Text
    30593437uid 434,0
    30603438va (VaSet
     
    30823460viewiconposition 0
    30833461)
    3084 *89 (Net
     3462*99 (Net
    30853463uid 440,0
    30863464decl (Decl
     
    30983476font "Courier New,8,0"
    30993477)
    3100 xt "-90000,40600,-58500,41400"
    3101 st "SIGNAL board_id              : std_logic_vector(3 downto 0)"
    3102 )
    3103 )
    3104 *90 (Net
     3478xt "-90000,45400,-58500,46200"
     3479st "SIGNAL board_id              : std_logic_vector(3 downto 0)
     3480"
     3481)
     3482)
     3483*100 (Net
    31053484uid 448,0
    31063485decl (Decl
     
    31163495font "Courier New,8,0"
    31173496)
    3118 xt "-90000,43000,-58500,43800"
    3119 st "SIGNAL crate_id              : std_logic_vector(1 downto 0)"
    3120 )
    3121 )
    3122 *91 (SaComponent
     3497xt "-90000,47800,-58500,48600"
     3498st "SIGNAL crate_id              : std_logic_vector(1 downto 0)
     3499"
     3500)
     3501)
     3502*101 (SaComponent
    31233503uid 508,0
    31243504optionalChildren [
    3125 *92 (CptPort
     3505*102 (CptPort
    31263506uid 489,0
    31273507ps "OnEdgeStrategy"
     
    31593539)
    31603540)
    3161 *93 (CptPort
     3541*103 (CptPort
    31623542uid 493,0
    31633543ps "OnEdgeStrategy"
     
    31983578)
    31993579)
    3200 *94 (CptPort
     3580*104 (CptPort
    32013581uid 497,0
    32023582ps "OnEdgeStrategy"
     
    32363616)
    32373617)
    3238 *95 (CptPort
     3618*105 (CptPort
    32393619uid 501,0
    32403620ps "OnEdgeStrategy"
     
    32903670stg "VerticalLayoutStrategy"
    32913671textVec [
    3292 *96 (Text
     3672*106 (Text
    32933673uid 511,0
    32943674va (VaSet
     
    33003680tm "BdLibraryNameMgr"
    33013681)
    3302 *97 (Text
     3682*107 (Text
    33033683uid 512,0
    33043684va (VaSet
     
    33103690tm "CptNameMgr"
    33113691)
    3312 *98 (Text
     3692*108 (Text
    33133693uid 513,0
    33143694va (VaSet
     
    33643744archFileType "UNKNOWN"
    33653745)
    3366 *99 (HdlText
     3746*109 (HdlText
    33673747uid 518,0
    33683748optionalChildren [
    3369 *100 (EmbeddedText
     3749*110 (EmbeddedText
    33703750uid 524,0
    33713751commentText (CommentText
     
    33873767va (VaSet
    33883768)
    3389 xt "50200,57200,62100,66200"
     3769xt "50200,57200,60900,67200"
    33903770st "
    33913771-- eb_adc 2: ADC routing
     
    34243804stg "VerticalLayoutStrategy"
    34253805textVec [
    3426 *101 (Text
     3806*111 (Text
    34273807uid 521,0
    34283808va (VaSet
     
    34343814tm "HdlTextNameMgr"
    34353815)
    3436 *102 (Text
     3816*112 (Text
    34373817uid 522,0
    34383818va (VaSet
     
    34603840viewiconposition 0
    34613841)
    3462 *103 (Net
     3842*113 (Net
    34633843uid 528,0
    34643844decl (Decl
     
    34743854font "Courier New,8,0"
    34753855)
    3476 xt "-90000,37400,-58500,38200"
    3477 st "SIGNAL adc_otr_array         : std_logic_vector(3 DOWNTO 0)"
    3478 )
    3479 )
    3480 *104 (Net
     3856xt "-90000,42200,-58500,43000"
     3857st "SIGNAL adc_otr_array         : std_logic_vector(3 DOWNTO 0)
     3858"
     3859)
     3860)
     3861*114 (Net
    34813862uid 536,0
    34823863decl (Decl
     
    34913872font "Courier New,8,0"
    34923873)
    3493 xt "-90000,35000,-63000,35800"
    3494 st "SIGNAL adc_data_array        : adc_data_array_type"
    3495 )
    3496 )
    3497 *105 (Net
     3874xt "-90000,39800,-63000,40600"
     3875st "SIGNAL adc_data_array        : adc_data_array_type
     3876"
     3877)
     3878)
     3879*115 (Net
    34983880uid 544,0
    34993881decl (Decl
     
    35103892font "Courier New,8,0"
    35113893)
    3512 xt "-90000,35800,-68000,36600"
    3513 st "SIGNAL adc_oeb               : std_logic"
    3514 )
    3515 )
    3516 *106 (Net
     3894xt "-90000,40600,-68000,41400"
     3895st "SIGNAL adc_oeb               : std_logic
     3896"
     3897)
     3898)
     3899*116 (Net
    35173900uid 560,0
    35183901decl (Decl
     
    35293912font "Courier New,8,0"
    35303913)
    3531 xt "-90000,36600,-68000,37400"
    3532 st "SIGNAL adc_otr               : STD_LOGIC"
    3533 )
    3534 )
    3535 *107 (Net
     3914xt "-90000,41400,-68000,42200"
     3915st "SIGNAL adc_otr               : STD_LOGIC
     3916"
     3917)
     3918)
     3919*117 (Net
    35363920uid 568,0
    35373921decl (Decl
     
    35493933font "Courier New,8,0"
    35503934)
    3551 xt "-90000,34200,-58000,35000"
    3552 st "SIGNAL adc_data              : std_logic_vector(11 DOWNTO 0)"
    3553 )
    3554 )
    3555 *108 (Net
     3935xt "-90000,39000,-58000,39800"
     3936st "SIGNAL adc_data              : std_logic_vector(11 DOWNTO 0)
     3937"
     3938)
     3939)
     3940*118 (Net
    35563941uid 767,0
    35573942decl (Decl
     
    35673952font "Courier New,8,0"
    35683953)
    3569 xt "-90000,58200,-55000,59000"
    3570 st "SIGNAL wiz_reset             : std_logic                    := '1'"
    3571 )
    3572 )
    3573 *109 (Net
     3954xt "-90000,67000,-55000,67800"
     3955st "SIGNAL wiz_reset             : std_logic                    := '1'
     3956"
     3957)
     3958)
     3959*119 (Net
    35743960uid 775,0
    35753961decl (Decl
     
    35873973font "Courier New,8,0"
    35883974)
    3589 xt "-90000,47800,-49000,48600"
    3590 st "SIGNAL led                   : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
    3591 )
    3592 )
    3593 *110 (Net
     3975xt "-90000,54200,-49000,55000"
     3976st "SIGNAL led                   : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
     3977"
     3978)
     3979)
     3980*120 (Net
    35943981uid 783,0
    35953982decl (Decl
     
    36053992font "Courier New,8,0"
    36063993)
    3607 xt "-90000,55000,-55000,55800"
    3608 st "SIGNAL wiz_cs                : std_logic                    := '1'"
    3609 )
    3610 )
    3611 *111 (Net
     3994xt "-90000,63800,-55000,64600"
     3995st "SIGNAL wiz_cs                : std_logic                    := '1'
     3996"
     3997)
     3998)
     3999*121 (Net
    36124000uid 791,0
    36134001decl (Decl
     
    36224010font "Courier New,8,0"
    36234011)
    3624 xt "-90000,56600,-68000,57400"
    3625 st "SIGNAL wiz_int               : std_logic"
    3626 )
    3627 )
    3628 *112 (Net
     4012xt "-90000,65400,-68000,66200"
     4013st "SIGNAL wiz_int               : std_logic
     4014"
     4015)
     4016)
     4017*122 (Net
    36294018uid 799,0
    36304019decl (Decl
     
    36394028font "Courier New,8,0"
    36404029)
    3641 xt "-90000,43800,-68000,44600"
    3642 st "SIGNAL dac_cs                : std_logic"
    3643 )
    3644 )
    3645 *113 (Net
     4030xt "-90000,48600,-68000,49400"
     4031st "SIGNAL dac_cs                : std_logic
     4032"
     4033)
     4034)
     4035*123 (Net
    36464036uid 807,0
    36474037decl (Decl
     
    36574047font "Courier New,8,0"
    36584048)
    3659 xt "-90000,48600,-55000,49400"
    3660 st "SIGNAL mosi                  : std_logic                    := '0'"
    3661 )
    3662 )
    3663 *114 (Net
     4049xt "-90000,55800,-55000,56600"
     4050st "SIGNAL mosi                  : std_logic                    := '0'
     4051"
     4052)
     4053)
     4054*124 (Net
    36644055uid 815,0
    36654056decl (Decl
     
    36774068font "Courier New,8,0"
    36784069)
    3679 xt "-90000,44600,-41500,45400"
    3680 st "SIGNAL denable               : std_logic                    := '0' -- default domino wave off"
    3681 )
    3682 )
    3683 *115 (Net
     4070xt "-90000,51000,-41500,51800"
     4071st "SIGNAL denable               : std_logic                    := '0' -- default domino wave off
     4072"
     4073)
     4074)
     4075*125 (Net
    36844076uid 823,0
    36854077decl (Decl
     
    36954087)
    36964088xt "-90000,25400,-68000,26200"
    3697 st "SIGNAL CLK_25_PS             : std_logic"
    3698 )
    3699 )
    3700 *116 (Net
     4089st "SIGNAL CLK_25_PS             : std_logic
     4090"
     4091)
     4092)
     4093*126 (Net
    37014094uid 831,0
    37024095decl (Decl
     
    37124105)
    37134106xt "-90000,26200,-68000,27000"
    3714 st "SIGNAL CLK_50                : std_logic"
    3715 )
    3716 )
    3717 *117 (Net
     4107st "SIGNAL CLK_50                : std_logic
     4108"
     4109)
     4110)
     4111*127 (Net
    37184112uid 839,0
    37194113decl (Decl
     
    37304124font "Courier New,8,0"
    37314125)
    3732 xt "-90000,45400,-49000,46200"
    3733 st "SIGNAL drs_channel_id        : std_logic_vector(3 downto 0) := (others => '0')"
    3734 )
    3735 )
    3736 *118 (Net
     4126xt "-90000,51800,-49000,52600"
     4127st "SIGNAL drs_channel_id        : std_logic_vector(3 downto 0) := (others => '0')
     4128"
     4129)
     4130)
     4131*128 (Net
    37374132uid 847,0
    37384133decl (Decl
     
    37484143font "Courier New,8,0"
    37494144)
    3750 xt "-90000,46200,-55000,47000"
    3751 st "SIGNAL drs_dwrite            : std_logic                    := '1'"
    3752 )
    3753 )
    3754 *119 (Net
     4145xt "-90000,52600,-55000,53400"
     4146st "SIGNAL drs_dwrite            : std_logic                    := '1'
     4147"
     4148)
     4149)
     4150*129 (Net
    37554151uid 855,0
    37564152decl (Decl
     
    37664162font "Courier New,8,0"
    37674163)
    3768 xt "-90000,28600,-55000,29400"
    3769 st "SIGNAL RSRLOAD               : std_logic                    := '0'"
    3770 )
    3771 )
    3772 *120 (Net
     4164xt "-90000,33400,-55000,34200"
     4165st "SIGNAL RSRLOAD               : std_logic                    := '0'
     4166"
     4167)
     4168)
     4169*130 (Net
    37734170uid 863,0
    37744171decl (Decl
     
    37844181font "Courier New,8,0"
    37854182)
    3786 xt "-90000,29400,-55000,30200"
    3787 st "SIGNAL SRCLK                 : std_logic                    := '0'"
    3788 )
    3789 )
    3790 *121 (Net
     4183xt "-90000,34200,-55000,35000"
     4184st "SIGNAL SRCLK                 : std_logic                    := '0'
     4185"
     4186)
     4187)
     4188*131 (Net
    37914189uid 871,0
    37924190decl (Decl
     
    38014199font "Courier New,8,0"
    38024200)
    3803 xt "-90000,31000,-68000,31800"
    3804 st "SIGNAL SROUT_in_0            : std_logic"
    3805 )
    3806 )
    3807 *122 (Net
     4201xt "-90000,35800,-68000,36600"
     4202st "SIGNAL SROUT_in_0            : std_logic
     4203"
     4204)
     4205)
     4206*132 (Net
    38084207uid 879,0
    38094208decl (Decl
     
    38184217font "Courier New,8,0"
    38194218)
    3820 xt "-90000,31800,-68000,32600"
    3821 st "SIGNAL SROUT_in_1            : std_logic"
    3822 )
    3823 )
    3824 *123 (Net
     4219xt "-90000,36600,-68000,37400"
     4220st "SIGNAL SROUT_in_1            : std_logic
     4221"
     4222)
     4223)
     4224*133 (Net
    38254225uid 887,0
    38264226decl (Decl
     
    38354235font "Courier New,8,0"
    38364236)
    3837 xt "-90000,32600,-68000,33400"
    3838 st "SIGNAL SROUT_in_2            : std_logic"
    3839 )
    3840 )
    3841 *124 (Net
     4237xt "-90000,37400,-68000,38200"
     4238st "SIGNAL SROUT_in_2            : std_logic
     4239"
     4240)
     4241)
     4242*134 (Net
    38424243uid 895,0
    38434244decl (Decl
     
    38524253font "Courier New,8,0"
    38534254)
    3854 xt "-90000,33400,-68000,34200"
    3855 st "SIGNAL SROUT_in_3            : std_logic"
    3856 )
    3857 )
    3858 *125 (Net
     4255xt "-90000,38200,-68000,39000"
     4256st "SIGNAL SROUT_in_3            : std_logic
     4257"
     4258)
     4259)
     4260*135 (Net
    38594261uid 1435,0
    38604262decl (Decl
     
    38704272font "Courier New,8,0"
    38714273)
    3872 xt "-90000,30200,-55000,31000"
    3873 st "SIGNAL SRIN_out              : std_logic                    := '0'"
    3874 )
    3875 )
    3876 *126 (Net
     4274xt "-90000,35000,-55000,35800"
     4275st "SIGNAL SRIN_out              : std_logic                    := '0'
     4276"
     4277)
     4278)
     4279*136 (Net
    38774280uid 1443,0
    38784281decl (Decl
     
    38874290font "Courier New,8,0"
    38884291)
    3889 xt "-90000,39800,-68000,40600"
    3890 st "SIGNAL amber                 : std_logic"
    3891 )
    3892 )
    3893 *127 (Net
     4292xt "-90000,44600,-68000,45400"
     4293st "SIGNAL amber                 : std_logic
     4294"
     4295)
     4296)
     4297*137 (Net
    38944298uid 1451,0
    38954299decl (Decl
     
    39044308font "Courier New,8,0"
    39054309)
    3906 xt "-90000,50200,-68000,51000"
    3907 st "SIGNAL red                   : std_logic"
    3908 )
    3909 )
    3910 *128 (Net
     4310xt "-90000,57400,-68000,58200"
     4311st "SIGNAL red                   : std_logic
     4312"
     4313)
     4314)
     4315*138 (Net
    39114316uid 1459,0
    39124317decl (Decl
     
    39214326font "Courier New,8,0"
    39224327)
    3923 xt "-90000,47000,-68000,47800"
    3924 st "SIGNAL green                 : std_logic"
    3925 )
    3926 )
    3927 *129 (Net
     4328xt "-90000,53400,-68000,54200"
     4329st "SIGNAL green                 : std_logic
     4330"
     4331)
     4332)
     4333*139 (Net
    39284334uid 1467,0
    39294335decl (Decl
     
    39394345font "Courier New,8,0"
    39404346)
    3941 xt "-90000,42200,-58000,43000"
    3942 st "SIGNAL counter_result        : std_logic_vector(11 DOWNTO 0)"
    3943 )
    3944 )
    3945 *130 (Net
     4347xt "-90000,47000,-58000,47800"
     4348st "SIGNAL counter_result        : std_logic_vector(11 DOWNTO 0)
     4349"
     4350)
     4351)
     4352*140 (Net
    39464353uid 1475,0
    39474354decl (Decl
     
    39574364font "Courier New,8,0"
    39584365)
    3959 xt "-90000,39000,-68000,39800"
    3960 st "SIGNAL alarm_refclk_too_low  : std_logic"
    3961 )
    3962 )
    3963 *131 (Net
     4366xt "-90000,43800,-68000,44600"
     4367st "SIGNAL alarm_refclk_too_low  : std_logic
     4368"
     4369)
     4370)
     4371*141 (Net
    39644372uid 1483,0
    39654373decl (Decl
     
    39744382font "Courier New,8,0"
    39754383)
    3976 xt "-90000,38200,-68000,39000"
    3977 st "SIGNAL alarm_refclk_too_high : std_logic"
    3978 )
    3979 )
    3980 *132 (HdlText
     4384xt "-90000,43000,-68000,43800"
     4385st "SIGNAL alarm_refclk_too_high : std_logic
     4386"
     4387)
     4388)
     4389*142 (HdlText
    39814390uid 1491,0
    39824391optionalChildren [
    3983 *133 (EmbeddedText
     4392*143 (EmbeddedText
    39844393uid 1497,0
    39854394commentText (CommentText
     
    40014410va (VaSet
    40024411)
    4003 xt "27200,72200,39400,77200"
     4412xt "27200,72200,40200,77200"
    40044413st "
    40054414
     
    40364445stg "VerticalLayoutStrategy"
    40374446textVec [
    4038 *134 (Text
     4447*144 (Text
    40394448uid 1494,0
    40404449va (VaSet
     
    40464455tm "HdlTextNameMgr"
    40474456)
    4048 *135 (Text
     4457*145 (Text
    40494458uid 1495,0
    40504459va (VaSet
     
    40724481viewiconposition 0
    40734482)
    4074 *136 (Net
     4483*146 (Net
    40754484uid 1501,0
    40764485decl (Decl
     
    40864495font "Courier New,8,0"
    40874496)
    4088 xt "-90000,27000,-58500,27800"
    4089 st "SIGNAL D_T_in                : std_logic_vector(1 DOWNTO 0)"
    4090 )
    4091 )
    4092 *137 (SaComponent
     4497xt "-90000,28600,-58500,29400"
     4498st "SIGNAL D_T_in                : std_logic_vector(1 DOWNTO 0)
     4499"
     4500)
     4501)
     4502*147 (SaComponent
    40934503uid 1509,0
    40944504optionalChildren [
    4095 *138 (CptPort
     4505*148 (CptPort
    40964506uid 1519,0
    40974507ps "OnEdgeStrategy"
     
    41294539)
    41304540)
    4131 *139 (CptPort
     4541*149 (CptPort
    41324542uid 1523,0
    41334543ps "OnEdgeStrategy"
     
    41824592stg "VerticalLayoutStrategy"
    41834593textVec [
    4184 *140 (Text
     4594*150 (Text
    41854595uid 1512,0
    41864596va (VaSet
     
    41924602tm "BdLibraryNameMgr"
    41934603)
    4194 *141 (Text
     4604*151 (Text
    41954605uid 1513,0
    41964606va (VaSet
     
    42024612tm "CptNameMgr"
    42034613)
    4204 *142 (Text
     4614*152 (Text
    42054615uid 1514,0
    42064616va (VaSet
     
    42614671archFileType "UNKNOWN"
    42624672)
    4263 *143 (Net
     4673*153 (Net
    42644674uid 1559,0
    42654675decl (Decl
     
    42764686font "Courier New,8,0"
    42774687)
    4278 xt "-90000,49400,-29000,50200"
    4279 st "SIGNAL plllock_in            : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked"
    4280 )
    4281 )
    4282 *144 (Net
     4688xt "-90000,56600,-29000,57400"
     4689st "SIGNAL plllock_in            : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked
     4690"
     4691)
     4692)
     4693*154 (Net
    42834694uid 1682,0
    42844695lang 2
     
    42954706)
    42964707xt "-90000,24600,-68000,25400"
    4297 st "SIGNAL ADC_CLK               : std_logic"
    4298 )
    4299 )
    4300 *145 (Net
     4708st "SIGNAL ADC_CLK               : std_logic
     4709"
     4710)
     4711)
     4712*155 (Net
    43014713uid 2001,0
    43024714decl (Decl
     
    43124724font "Courier New,8,0"
    43134725)
    4314 xt "-90000,27800,-55000,28600"
    4315 st "SIGNAL REF_CLK               : STD_LOGIC                    := '0'"
    4316 )
    4317 )
    4318 *146 (SaComponent
     4726xt "-90000,32600,-55000,33400"
     4727st "SIGNAL REF_CLK               : STD_LOGIC                    := '0'
     4728"
     4729)
     4730)
     4731*156 (SaComponent
    43194732uid 2336,0
    43204733optionalChildren [
    4321 *147 (CptPort
     4734*157 (CptPort
    43224735uid 2315,0
    43234736ps "OnEdgeStrategy"
     
    43394752va (VaSet
    43404753)
    4341 xt "124000,20500,128500,21500"
     4754xt "124000,20500,129100,21500"
    43424755st "addr : (9:0)"
    43434756blo "124000,21300"
     
    43564769)
    43574770)
    4358 *148 (CptPort
     4771*158 (CptPort
    43594772uid 2319,0
    43604773ps "OnEdgeStrategy"
     
    43764789va (VaSet
    43774790)
    4378 xt "124000,21500,128800,22500"
     4791xt "124000,21500,129400,22500"
    43794792st "data : (15:0)"
    43804793blo "124000,22300"
     
    43944807)
    43954808)
    4396 *149 (CptPort
     4809*159 (CptPort
    43974810uid 2323,0
    43984811ps "OnEdgeStrategy"
     
    44144827va (VaSet
    44154828)
    4416 xt "124000,24500,125100,25500"
     4829xt "124000,24500,125300,25500"
    44174830st "rd"
    44184831blo "124000,25300"
     
    44304843)
    44314844)
    4432 *150 (CptPort
     4845*160 (CptPort
    44334846uid 2327,0
    44344847ps "OnEdgeStrategy"
     
    44504863va (VaSet
    44514864)
    4452 xt "124000,25500,125200,26500"
     4865xt "124000,25500,125400,26500"
    44534866st "wr"
    44544867blo "124000,26300"
     
    44614874preAdd 0
    44624875posAdd 0
    4463 o 5
     4876o 6
    44644877suid 4,0
    44654878)
    44664879)
    44674880)
    4468 *151 (CptPort
     4881*161 (CptPort
    44694882uid 2331,0
    44704883ps "OnEdgeStrategy"
     
    44864899va (VaSet
    44874900)
    4488 xt "124000,26500,125200,27500"
     4901xt "124000,26500,125400,27500"
    44894902st "int"
    44904903blo "124000,27300"
    4491 )
    4492 t (Text
    4493 uid 2335,0
    4494 va (VaSet
    4495 )
    4496 xt "124000,27500,125200,28500"
    4497 st "'1'"
    4498 blo "124000,28300"
    44994904)
    45004905)
     
    45074912suid 5,0
    45084913i "'1'"
     4914)
     4915)
     4916)
     4917*162 (CptPort
     4918uid 2548,0
     4919ps "OnEdgeStrategy"
     4920shape (Triangle
     4921uid 2549,0
     4922ro 90
     4923va (VaSet
     4924vasetType 1
     4925fg "0,65535,0"
     4926)
     4927xt "122250,27625,123000,28375"
     4928)
     4929tg (CPTG
     4930uid 2550,0
     4931ps "CptPortTextPlaceStrategy"
     4932stg "VerticalLayoutStrategy"
     4933f (Text
     4934uid 2551,0
     4935va (VaSet
     4936)
     4937xt "124000,27500,125200,28500"
     4938st "cs"
     4939blo "124000,28300"
     4940)
     4941)
     4942thePort (LogicalPort
     4943decl (Decl
     4944n "cs"
     4945t "std_logic"
     4946o 5
     4947suid 6,0
    45094948)
    45104949)
     
    45274966stg "VerticalLayoutStrategy"
    45284967textVec [
    4529 *152 (Text
     4968*163 (Text
    45304969uid 2339,0
    45314970va (VaSet
     
    45374976tm "BdLibraryNameMgr"
    45384977)
    4539 *153 (Text
     4978*164 (Text
    45404979uid 2340,0
    45414980va (VaSet
     
    45474986tm "CptNameMgr"
    45484987)
    4549 *154 (Text
     4988*165 (Text
    45504989uid 2341,0
    45514990va (VaSet
     
    45915030viewiconposition 0
    45925031portVis (PortSigDisplay
    4593 sIVOD 1
    45945032)
    45955033archFileType "UNKNOWN"
    45965034)
    4597 *155 (Wire
     5035*166 (Net
     5036uid 2705,0
     5037decl (Decl
     5038n "debug_data_ram_empty"
     5039t "std_logic"
     5040o 45
     5041suid 53,0
     5042)
     5043declText (MLText
     5044uid 2706,0
     5045va (VaSet
     5046font "Courier New,8,0"
     5047)
     5048xt "-90000,49400,-68000,50200"
     5049st "SIGNAL debug_data_ram_empty  : std_logic
     5050"
     5051)
     5052)
     5053*167 (Net
     5054uid 2713,0
     5055decl (Decl
     5056n "debug_data_valid"
     5057t "std_logic"
     5058o 46
     5059suid 54,0
     5060)
     5061declText (MLText
     5062uid 2714,0
     5063va (VaSet
     5064font "Courier New,8,0"
     5065)
     5066xt "-90000,50200,-68000,51000"
     5067st "SIGNAL debug_data_valid      : std_logic
     5068"
     5069)
     5070)
     5071*168 (Net
     5072uid 2721,0
     5073decl (Decl
     5074n "DG_state"
     5075t "std_logic_vector"
     5076b "(7 downto 0)"
     5077prec "-- for debugging"
     5078preAdd 0
     5079o 47
     5080suid 55,0
     5081)
     5082declText (MLText
     5083uid 2722,0
     5084va (VaSet
     5085font "Courier New,8,0"
     5086)
     5087xt "-90000,27000,-58500,28600"
     5088st "-- for debugging
     5089SIGNAL DG_state              : std_logic_vector(7 downto 0)
     5090"
     5091)
     5092)
     5093*169 (Net
     5094uid 2729,0
     5095decl (Decl
     5096n "FTM_RS485_rx_en"
     5097t "std_logic"
     5098o 48
     5099suid 56,0
     5100)
     5101declText (MLText
     5102uid 2730,0
     5103va (VaSet
     5104font "Courier New,8,0"
     5105)
     5106xt "-90000,30200,-68000,31000"
     5107st "SIGNAL FTM_RS485_rx_en       : std_logic
     5108"
     5109)
     5110)
     5111*170 (Net
     5112uid 2737,0
     5113decl (Decl
     5114n "FTM_RS485_tx_d"
     5115t "std_logic"
     5116o 49
     5117suid 57,0
     5118)
     5119declText (MLText
     5120uid 2738,0
     5121va (VaSet
     5122font "Courier New,8,0"
     5123)
     5124xt "-90000,31000,-68000,31800"
     5125st "SIGNAL FTM_RS485_tx_d        : std_logic
     5126"
     5127)
     5128)
     5129*171 (Net
     5130uid 2745,0
     5131decl (Decl
     5132n "FTM_RS485_tx_en"
     5133t "std_logic"
     5134o 50
     5135suid 58,0
     5136)
     5137declText (MLText
     5138uid 2746,0
     5139va (VaSet
     5140font "Courier New,8,0"
     5141)
     5142xt "-90000,31800,-68000,32600"
     5143st "SIGNAL FTM_RS485_tx_en       : std_logic
     5144"
     5145)
     5146)
     5147*172 (Net
     5148uid 2753,0
     5149lang 2
     5150decl (Decl
     5151n "mem_manager_state"
     5152t "std_logic_vector"
     5153b "(3 DOWNTO 0)"
     5154eolc "-- state is encoded here ... useful for debugging."
     5155posAdd 0
     5156o 51
     5157suid 59,0
     5158)
     5159declText (MLText
     5160uid 2754,0
     5161va (VaSet
     5162font "Courier New,8,0"
     5163)
     5164xt "-90000,55000,-33000,55800"
     5165st "SIGNAL mem_manager_state     : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
     5166"
     5167)
     5168)
     5169*173 (Net
     5170uid 2761,0
     5171decl (Decl
     5172n "trigger_veto"
     5173t "std_logic"
     5174o 52
     5175suid 60,0
     5176i "'1'"
     5177)
     5178declText (MLText
     5179uid 2762,0
     5180va (VaSet
     5181font "Courier New,8,0"
     5182)
     5183xt "-90000,61400,-55000,62200"
     5184st "SIGNAL trigger_veto          : std_logic                    := '1'
     5185"
     5186)
     5187)
     5188*174 (Net
     5189uid 2769,0
     5190decl (Decl
     5191n "w5300_state"
     5192t "std_logic_vector"
     5193b "(7 DOWNTO 0)"
     5194eolc "-- state is encoded here ... useful for debugging."
     5195posAdd 0
     5196o 53
     5197suid 61,0
     5198)
     5199declText (MLText
     5200uid 2770,0
     5201va (VaSet
     5202font "Courier New,8,0"
     5203)
     5204xt "-90000,62200,-33000,63000"
     5205st "SIGNAL w5300_state           : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
     5206"
     5207)
     5208)
     5209*175 (Net
     5210uid 2777,0
     5211decl (Decl
     5212n "FTM_RS485_rx_d"
     5213t "std_logic"
     5214o 54
     5215suid 62,0
     5216)
     5217declText (MLText
     5218uid 2778,0
     5219va (VaSet
     5220font "Courier New,8,0"
     5221)
     5222xt "-90000,29400,-68000,30200"
     5223st "SIGNAL FTM_RS485_rx_d        : std_logic
     5224"
     5225)
     5226)
     5227*176 (Wire
    45985228uid 286,0
    45995229shape (OrthoPolyLine
     
    46085238]
    46095239)
    4610 start &59
     5240start &69
    46115241end &27
    46125242sat 32
     
    46295259)
    46305260)
    4631 on &64
    4632 )
    4633 *156 (Wire
     5261on &74
     5262)
     5263*177 (Wire
    46345264uid 318,0
    46355265shape (OrthoPolyLine
     
    46465276)
    46475277start &19
    4648 end &147
     5278end &157
    46495279sat 32
    46505280eat 32
     
    46675297)
    46685298)
    4669 on &65
    4670 )
    4671 *157 (Wire
     5299on &75
     5300)
     5301*178 (Wire
    46725302uid 324,0
    46735303shape (OrthoPolyLine
     
    46845314)
    46855315start &20
    4686 end &148
     5316end &158
    46875317sat 32
    46885318eat 32
     
    47055335)
    47065336)
    4707 on &66
    4708 )
    4709 *158 (Wire
     5337on &76
     5338)
     5339*179 (Wire
    47105340uid 330,0
    47115341shape (OrthoPolyLine
     
    47215351)
    47225352start &23
    4723 end &149
     5353end &159
    47245354sat 32
    47255355eat 32
     
    47415371)
    47425372)
    4743 on &67
    4744 )
    4745 *159 (Wire
     5373on &77
     5374)
     5375*180 (Wire
    47465376uid 336,0
    47475377shape (OrthoPolyLine
     
    47575387)
    47585388start &22
    4759 end &150
     5389end &160
    47605390sat 32
    47615391eat 32
     
    47775407)
    47785408)
    4779 on &68
    4780 )
    4781 *160 (Wire
     5409on &78
     5410)
     5411*181 (Wire
    47825412uid 374,0
    47835413shape (OrthoPolyLine
     
    47965426)
    47975427start &41
    4798 end &72
     5428end &82
    47995429sat 32
    48005430eat 32
     
    48175447)
    48185448)
    4819 on &76
    4820 )
    4821 *161 (Wire
     5449on &86
     5450)
     5451*182 (Wire
    48225452uid 380,0
    48235453shape (OrthoPolyLine
     
    48335463)
    48345464start &38
    4835 end &70
     5465end &80
    48365466sat 32
    48375467eat 32
     
    48535483)
    48545484)
    4855 on &77
    4856 )
    4857 *162 (Wire
     5485on &87
     5486)
     5487*183 (Wire
    48585488uid 386,0
    48595489shape (OrthoPolyLine
     
    48695499)
    48705500start &39
    4871 end &71
     5501end &81
    48725502sat 32
    48735503eat 32
     
    48895519)
    48905520)
    4891 on &78
    4892 )
    4893 *163 (Wire
     5521on &88
     5522)
     5523*184 (Wire
    48945524uid 426,0
    48955525shape (OrthoPolyLine
     
    49045534]
    49055535)
    4906 start &80
     5536start &90
    49075537end &15
    49085538sat 32
     
    49245554)
    49255555)
    4926 on &84
    4927 )
    4928 *164 (Wire
     5556on &94
     5557)
     5558*185 (Wire
    49295559uid 442,0
    49305560shape (OrthoPolyLine
     
    49435573)
    49445574start &17
    4945 end &85
     5575end &95
    49465576sat 32
    49475577eat 2
     
    49645594)
    49655595)
    4966 on &89
    4967 )
    4968 *165 (Wire
     5596on &99
     5597)
     5598*186 (Wire
    49695599uid 450,0
    49705600shape (OrthoPolyLine
     
    49835613)
    49845614start &18
    4985 end &85
     5615end &95
    49865616sat 32
    49875617eat 2
     
    50045634)
    50055635)
    5006 on &90
    5007 )
    5008 *166 (Wire
     5636on &100
     5637)
     5638*187 (Wire
    50095639uid 530,0
    50105640shape (OrthoPolyLine
     
    50235653)
    50245654start &28
    5025 end &99
     5655end &109
    50265656sat 32
    50275657eat 2
     
    50445674)
    50455675)
    5046 on &103
    5047 )
    5048 *167 (Wire
     5676on &113
     5677)
     5678*188 (Wire
    50495679uid 538,0
    50505680shape (OrthoPolyLine
     
    50635693)
    50645694start &29
    5065 end &99
     5695end &109
    50665696sat 32
    50675697eat 2
     
    50845714)
    50855715)
    5086 on &104
    5087 )
    5088 *168 (Wire
     5716on &114
     5717)
     5718*189 (Wire
    50895719uid 546,0
    50905720shape (OrthoPolyLine
     
    51025732)
    51035733start &16
    5104 end &99
     5734end &109
    51055735sat 32
    51065736eat 1
     
    51225752)
    51235753)
    5124 on &105
    5125 )
    5126 *169 (Wire
     5754on &115
     5755)
     5756*190 (Wire
    51275757uid 554,0
    51285758shape (OrthoPolyLine
     
    51375767]
    51385768)
    5139 start &99
    5140 end &95
     5769start &109
     5770end &105
    51415771sat 2
    51425772eat 32
     
    51575787)
    51585788)
    5159 on &105
    5160 )
    5161 *170 (Wire
     5789on &115
     5790)
     5791*191 (Wire
    51625792uid 562,0
    51635793shape (OrthoPolyLine
     
    51725802]
    51735803)
    5174 start &94
    5175 end &99
     5804start &104
     5805end &109
    51765806sat 32
    51775807eat 1
     
    51925822)
    51935823)
    5194 on &106
    5195 )
    5196 *171 (Wire
     5824on &116
     5825)
     5826*192 (Wire
    51975827uid 570,0
    51985828shape (OrthoPolyLine
     
    52085838]
    52095839)
    5210 start &93
    5211 end &99
     5840start &103
     5841end &109
    52125842sat 32
    52135843eat 1
     
    52295859)
    52305860)
    5231 on &107
    5232 )
    5233 *172 (Wire
     5861on &117
     5862)
     5863*193 (Wire
    52345864uid 578,0
    52355865shape (OrthoPolyLine
     
    52445874]
    52455875)
    5246 start &92
     5876start &102
    52475877sat 32
    52485878eat 16
     
    52635893)
    52645894)
    5265 on &144
    5266 )
    5267 *173 (Wire
     5895on &154
     5896)
     5897*194 (Wire
    52685898uid 769,0
    52695899shape (OrthoPolyLine
     
    52985928)
    52995929)
    5300 on &108
    5301 )
    5302 *174 (Wire
     5930on &118
     5931)
     5932*195 (Wire
    53035933uid 777,0
    53045934shape (OrthoPolyLine
     
    53355965)
    53365966)
    5337 on &109
    5338 )
    5339 *175 (Wire
     5967on &119
     5968)
     5969*196 (Wire
    53405970uid 785,0
    53415971shape (OrthoPolyLine
     
    53445974vasetType 3
    53455975)
    5346 xt "109750,28000,116000,28000"
     5976xt "109750,28000,122250,28000"
    53475977pts [
    53485978"109750,28000"
    5349 "116000,28000"
     5979"122250,28000"
    53505980]
    53515981)
    53525982start &21
     5983end &162
    53535984sat 32
    5354 eat 16
     5985eat 32
    53555986st 0
    53565987sf 1
     
    53706001)
    53716002)
    5372 on &110
    5373 )
    5374 *176 (Wire
     6003on &120
     6004)
     6005*197 (Wire
    53756006uid 793,0
    53766007shape (OrthoPolyLine
     
    53856016]
    53866017)
    5387 start &151
     6018start &161
    53886019end &24
    53896020sat 32
     
    54066037)
    54076038)
    5408 on &111
    5409 )
    5410 *177 (Wire
     6039on &121
     6040)
     6041*198 (Wire
    54116042uid 801,0
    54126043shape (OrthoPolyLine
     
    54416072)
    54426073)
    5443 on &112
    5444 )
    5445 *178 (Wire
     6074on &122
     6075)
     6076*199 (Wire
    54466077uid 809,0
    54476078shape (OrthoPolyLine
     
    54766107)
    54776108)
    5478 on &113
    5479 )
    5480 *179 (Wire
     6109on &123
     6110)
     6111*200 (Wire
    54816112uid 817,0
    54826113shape (OrthoPolyLine
     
    55116142)
    55126143)
    5513 on &114
    5514 )
    5515 *180 (Wire
     6144on &124
     6145)
     6146*201 (Wire
    55166147uid 825,0
    55176148shape (OrthoPolyLine
     
    55466177)
    55476178)
    5548 on &115
    5549 )
    5550 *181 (Wire
     6179on &125
     6180)
     6181*202 (Wire
    55516182uid 833,0
    55526183shape (OrthoPolyLine
     
    55816212)
    55826213)
    5583 on &116
    5584 )
    5585 *182 (Wire
     6214on &126
     6215)
     6216*203 (Wire
    55866217uid 841,0
    55876218shape (OrthoPolyLine
     
    56186249)
    56196250)
    5620 on &117
    5621 )
    5622 *183 (Wire
     6251on &127
     6252)
     6253*204 (Wire
    56236254uid 849,0
    56246255shape (OrthoPolyLine
     
    56546285)
    56556286)
    5656 on &118
    5657 )
    5658 *184 (Wire
     6287on &128
     6288)
     6289*205 (Wire
    56596290uid 857,0
    56606291shape (OrthoPolyLine
     
    56896320)
    56906321)
    5691 on &119
    5692 )
    5693 *185 (Wire
     6322on &129
     6323)
     6324*206 (Wire
    56946325uid 865,0
    56956326shape (OrthoPolyLine
     
    57246355)
    57256356)
    5726 on &120
    5727 )
    5728 *186 (Wire
     6357on &130
     6358)
     6359*207 (Wire
    57296360uid 873,0
    57306361shape (OrthoPolyLine
     
    57596390)
    57606391)
    5761 on &121
    5762 )
    5763 *187 (Wire
     6392on &131
     6393)
     6394*208 (Wire
    57646395uid 881,0
    57656396shape (OrthoPolyLine
     
    57946425)
    57956426)
    5796 on &122
    5797 )
    5798 *188 (Wire
     6427on &132
     6428)
     6429*209 (Wire
    57996430uid 889,0
    58006431shape (OrthoPolyLine
     
    58296460)
    58306461)
    5831 on &123
    5832 )
    5833 *189 (Wire
     6462on &133
     6463)
     6464*210 (Wire
    58346465uid 897,0
    58356466shape (OrthoPolyLine
     
    58646495)
    58656496)
    5866 on &124
    5867 )
    5868 *190 (Wire
     6497on &134
     6498)
     6499*211 (Wire
    58696500uid 1437,0
    58706501shape (OrthoPolyLine
     
    58996530)
    59006531)
    5901 on &125
    5902 )
    5903 *191 (Wire
     6532on &135
     6533)
     6534*212 (Wire
    59046535uid 1445,0
    59056536shape (OrthoPolyLine
     
    59346565)
    59356566)
    5936 on &126
    5937 )
    5938 *192 (Wire
     6567on &136
     6568)
     6569*213 (Wire
    59396570uid 1453,0
    59406571shape (OrthoPolyLine
     
    59696600)
    59706601)
    5971 on &127
    5972 )
    5973 *193 (Wire
     6602on &137
     6603)
     6604*214 (Wire
    59746605uid 1461,0
    59756606shape (OrthoPolyLine
     
    60046635)
    60056636)
    6006 on &128
    6007 )
    6008 *194 (Wire
     6637on &138
     6638)
     6639*215 (Wire
    60096640uid 1469,0
    60106641shape (OrthoPolyLine
     
    60416672)
    60426673)
    6043 on &129
    6044 )
    6045 *195 (Wire
     6674on &139
     6675)
     6676*216 (Wire
    60466677uid 1477,0
    60476678shape (OrthoPolyLine
     
    60766707)
    60776708)
    6078 on &130
    6079 )
    6080 *196 (Wire
     6709on &140
     6710)
     6711*217 (Wire
    60816712uid 1485,0
    60826713shape (OrthoPolyLine
     
    61116742)
    61126743)
    6113 on &131
    6114 )
    6115 *197 (Wire
     6744on &141
     6745)
     6746*218 (Wire
    61166747uid 1503,0
    61176748shape (OrthoPolyLine
     
    61486779)
    61496780)
    6150 on &136
    6151 )
    6152 *198 (Wire
     6781on &146
     6782)
     6783*219 (Wire
    61536784uid 1529,0
    61546785shape (OrthoPolyLine
     
    61656796]
    61666797)
    6167 start &138
     6798start &148
    61686799end &49
    61696800sat 32
     
    61866817)
    61876818)
    6188 on &145
    6189 )
    6190 *199 (Wire
     6819on &155
     6820)
     6821*220 (Wire
    61916822uid 1533,0
    61926823shape (OrthoPolyLine
     
    62016832]
    62026833)
    6203 start &132
     6834start &142
    62046835sat 2
    62056836eat 16
     
    62216852)
    62226853)
    6223 on &136
    6224 )
    6225 *200 (Wire
     6854on &146
     6855)
     6856*221 (Wire
    62266857uid 1561,0
    62276858shape (OrthoPolyLine
     
    62586889)
    62596890)
    6260 on &143
    6261 )
    6262 *201 (Wire
     6891on &153
     6892)
     6893*222 (Wire
    62636894uid 1567,0
    62646895shape (OrthoPolyLine
     
    62736904]
    62746905)
    6275 start &132
     6906start &142
    62766907sat 2
    62776908eat 16
     
    62936924)
    62946925)
    6295 on &143
    6296 )
    6297 *202 (Wire
     6926on &153
     6927)
     6928*223 (Wire
    62986929uid 1684,0
    62996930shape (OrthoPolyLine
     
    63286959)
    63296960)
    6330 on &144
     6961on &154
     6962)
     6963*224 (Wire
     6964uid 2707,0
     6965shape (OrthoPolyLine
     6966uid 2708,0
     6967va (VaSet
     6968vasetType 3
     6969)
     6970xt "109750,81000,122000,81000"
     6971pts [
     6972"109750,81000"
     6973"122000,81000"
     6974]
     6975)
     6976start &55
     6977sat 32
     6978eat 16
     6979st 0
     6980sf 1
     6981si 0
     6982tg (WTG
     6983uid 2711,0
     6984ps "ConnStartEndStrategy"
     6985stg "STSignalDisplayStrategy"
     6986f (Text
     6987uid 2712,0
     6988va (VaSet
     6989)
     6990xt "111000,80000,121400,81000"
     6991st "debug_data_ram_empty"
     6992blo "111000,80800"
     6993tm "WireNameMgr"
     6994)
     6995)
     6996on &166
     6997)
     6998*225 (Wire
     6999uid 2715,0
     7000shape (OrthoPolyLine
     7001uid 2716,0
     7002va (VaSet
     7003vasetType 3
     7004)
     7005xt "109750,82000,120000,82000"
     7006pts [
     7007"109750,82000"
     7008"120000,82000"
     7009]
     7010)
     7011start &56
     7012sat 32
     7013eat 16
     7014st 0
     7015sf 1
     7016si 0
     7017tg (WTG
     7018uid 2719,0
     7019ps "ConnStartEndStrategy"
     7020stg "STSignalDisplayStrategy"
     7021f (Text
     7022uid 2720,0
     7023va (VaSet
     7024)
     7025xt "111000,81000,118500,82000"
     7026st "debug_data_valid"
     7027blo "111000,81800"
     7028tm "WireNameMgr"
     7029)
     7030)
     7031on &167
     7032)
     7033*226 (Wire
     7034uid 2723,0
     7035shape (OrthoPolyLine
     7036uid 2724,0
     7037va (VaSet
     7038vasetType 3
     7039lineWidth 2
     7040)
     7041xt "109750,83000,119000,83000"
     7042pts [
     7043"109750,83000"
     7044"119000,83000"
     7045]
     7046)
     7047start &57
     7048sat 32
     7049eat 16
     7050sty 1
     7051st 0
     7052sf 1
     7053si 0
     7054tg (WTG
     7055uid 2727,0
     7056ps "ConnStartEndStrategy"
     7057stg "STSignalDisplayStrategy"
     7058f (Text
     7059uid 2728,0
     7060va (VaSet
     7061)
     7062xt "111000,82000,117900,83000"
     7063st "DG_state : (7:0)"
     7064blo "111000,82800"
     7065tm "WireNameMgr"
     7066)
     7067)
     7068on &168
     7069)
     7070*227 (Wire
     7071uid 2731,0
     7072shape (OrthoPolyLine
     7073uid 2732,0
     7074va (VaSet
     7075vasetType 3
     7076)
     7077xt "109750,84000,120000,84000"
     7078pts [
     7079"109750,84000"
     7080"120000,84000"
     7081]
     7082)
     7083start &59
     7084sat 32
     7085eat 16
     7086st 0
     7087sf 1
     7088si 0
     7089tg (WTG
     7090uid 2735,0
     7091ps "ConnStartEndStrategy"
     7092stg "STSignalDisplayStrategy"
     7093f (Text
     7094uid 2736,0
     7095va (VaSet
     7096)
     7097xt "111000,83000,119400,84000"
     7098st "FTM_RS485_rx_en"
     7099blo "111000,83800"
     7100tm "WireNameMgr"
     7101)
     7102)
     7103on &169
     7104)
     7105*228 (Wire
     7106uid 2739,0
     7107shape (OrthoPolyLine
     7108uid 2740,0
     7109va (VaSet
     7110vasetType 3
     7111)
     7112xt "109750,85000,120000,85000"
     7113pts [
     7114"109750,85000"
     7115"120000,85000"
     7116]
     7117)
     7118start &60
     7119sat 32
     7120eat 16
     7121st 0
     7122sf 1
     7123si 0
     7124tg (WTG
     7125uid 2743,0
     7126ps "ConnStartEndStrategy"
     7127stg "STSignalDisplayStrategy"
     7128f (Text
     7129uid 2744,0
     7130va (VaSet
     7131)
     7132xt "111000,84000,119100,85000"
     7133st "FTM_RS485_tx_d"
     7134blo "111000,84800"
     7135tm "WireNameMgr"
     7136)
     7137)
     7138on &170
     7139)
     7140*229 (Wire
     7141uid 2747,0
     7142shape (OrthoPolyLine
     7143uid 2748,0
     7144va (VaSet
     7145vasetType 3
     7146)
     7147xt "109750,86000,120000,86000"
     7148pts [
     7149"109750,86000"
     7150"120000,86000"
     7151]
     7152)
     7153start &61
     7154sat 32
     7155eat 16
     7156st 0
     7157sf 1
     7158si 0
     7159tg (WTG
     7160uid 2751,0
     7161ps "ConnStartEndStrategy"
     7162stg "STSignalDisplayStrategy"
     7163f (Text
     7164uid 2752,0
     7165va (VaSet
     7166)
     7167xt "111000,85000,119400,86000"
     7168st "FTM_RS485_tx_en"
     7169blo "111000,85800"
     7170tm "WireNameMgr"
     7171)
     7172)
     7173on &171
     7174)
     7175*230 (Wire
     7176uid 2755,0
     7177shape (OrthoPolyLine
     7178uid 2756,0
     7179va (VaSet
     7180vasetType 3
     7181lineWidth 2
     7182)
     7183xt "109750,87000,123000,87000"
     7184pts [
     7185"109750,87000"
     7186"123000,87000"
     7187]
     7188)
     7189start &62
     7190sat 32
     7191eat 16
     7192sty 1
     7193st 0
     7194sf 1
     7195si 0
     7196tg (WTG
     7197uid 2759,0
     7198ps "ConnStartEndStrategy"
     7199stg "STSignalDisplayStrategy"
     7200f (Text
     7201uid 2760,0
     7202va (VaSet
     7203)
     7204xt "111000,86000,122400,87000"
     7205st "mem_manager_state : (3:0)"
     7206blo "111000,86800"
     7207tm "WireNameMgr"
     7208)
     7209)
     7210on &172
     7211)
     7212*231 (Wire
     7213uid 2763,0
     7214shape (OrthoPolyLine
     7215uid 2764,0
     7216va (VaSet
     7217vasetType 3
     7218)
     7219xt "109750,88000,118000,88000"
     7220pts [
     7221"109750,88000"
     7222"118000,88000"
     7223]
     7224)
     7225start &63
     7226sat 32
     7227eat 16
     7228st 0
     7229sf 1
     7230si 0
     7231tg (WTG
     7232uid 2767,0
     7233ps "ConnStartEndStrategy"
     7234stg "STSignalDisplayStrategy"
     7235f (Text
     7236uid 2768,0
     7237va (VaSet
     7238)
     7239xt "111000,87000,116600,88000"
     7240st "trigger_veto"
     7241blo "111000,87800"
     7242tm "WireNameMgr"
     7243)
     7244)
     7245on &173
     7246)
     7247*232 (Wire
     7248uid 2771,0
     7249shape (OrthoPolyLine
     7250uid 2772,0
     7251va (VaSet
     7252vasetType 3
     7253lineWidth 2
     7254)
     7255xt "109750,89000,120000,89000"
     7256pts [
     7257"109750,89000"
     7258"120000,89000"
     7259]
     7260)
     7261start &64
     7262sat 32
     7263eat 16
     7264sty 1
     7265st 0
     7266sf 1
     7267si 0
     7268tg (WTG
     7269uid 2775,0
     7270ps "ConnStartEndStrategy"
     7271stg "STSignalDisplayStrategy"
     7272f (Text
     7273uid 2776,0
     7274va (VaSet
     7275)
     7276xt "111000,88000,119400,89000"
     7277st "w5300_state : (7:0)"
     7278blo "111000,88800"
     7279tm "WireNameMgr"
     7280)
     7281)
     7282on &174
     7283)
     7284*233 (Wire
     7285uid 2779,0
     7286shape (OrthoPolyLine
     7287uid 2780,0
     7288va (VaSet
     7289vasetType 3
     7290)
     7291xt "74000,78000,80250,82000"
     7292pts [
     7293"74000,82000"
     7294"80250,78000"
     7295]
     7296)
     7297end &58
     7298sat 16
     7299eat 32
     7300st 0
     7301sf 1
     7302si 0
     7303tg (WTG
     7304uid 2783,0
     7305ps "ConnStartEndStrategy"
     7306stg "STSignalDisplayStrategy"
     7307f (Text
     7308uid 2784,0
     7309va (VaSet
     7310)
     7311xt "73000,80000,81100,81000"
     7312st "FTM_RS485_rx_d"
     7313blo "73000,80800"
     7314tm "WireNameMgr"
     7315)
     7316)
     7317on &175
    63317318)
    63327319]
     
    63427329color "26368,26368,26368"
    63437330)
    6344 packageList *203 (PackageList
     7331packageList *234 (PackageList
    63457332uid 41,0
    63467333stg "VerticalLayoutStrategy"
    63477334textVec [
    6348 *204 (Text
     7335*235 (Text
    63497336uid 42,0
    63507337va (VaSet
     
    63557342blo "-87000,800"
    63567343)
    6357 *205 (MLText
     7344*236 (MLText
    63587345uid 43,0
    63597346va (VaSet
    63607347)
    6361 xt "-87000,1000,-72500,11000"
     7348xt "-87000,1000,-70900,11000"
    63627349st "LIBRARY ieee;
    63637350USE ieee.std_logic_1164.all;
     
    63787365stg "VerticalLayoutStrategy"
    63797366textVec [
    6380 *206 (Text
     7367*237 (Text
    63817368uid 45,0
    63827369va (VaSet
     
    63887375blo "20000,800"
    63897376)
    6390 *207 (Text
     7377*238 (Text
    63917378uid 46,0
    63927379va (VaSet
     
    63987385blo "20000,1800"
    63997386)
    6400 *208 (MLText
     7387*239 (MLText
    64017388uid 47,0
    64027389va (VaSet
    64037390isHidden 1
    64047391)
    6405 xt "20000,2000,27500,4000"
     7392xt "20000,2000,28200,4000"
    64067393st "`resetall
    64077394`timescale 1ns/10ps"
    64087395tm "BdCompilerDirectivesTextMgr"
    64097396)
    6410 *209 (Text
     7397*240 (Text
    64117398uid 48,0
    64127399va (VaSet
     
    64187405blo "20000,4800"
    64197406)
    6420 *210 (MLText
     7407*241 (MLText
    64217408uid 49,0
    64227409va (VaSet
     
    64267413tm "BdCompilerDirectivesTextMgr"
    64277414)
    6428 *211 (Text
     7415*242 (Text
    64297416uid 50,0
    64307417va (VaSet
     
    64367423blo "20000,5800"
    64377424)
    6438 *212 (MLText
     7425*243 (MLText
    64397426uid 51,0
    64407427va (VaSet
     
    64477434associable 1
    64487435)
    6449 windowSize "0,0,1681,1030"
    6450 viewArea "60000,4200,152106,60144"
     7436windowSize "0,20,1681,1050"
     7437viewArea "69198,38598,161304,96306"
    64517438cachedDiagramExtent "-92000,0,146000,98000"
    64527439pageSetupInfo (PageSetupInfo
     
    64617448hasePageBreakOrigin 1
    64627449pageBreakOrigin "-146000,0"
    6463 lastUid 2446,0
     7450lastUid 2804,0
    64647451defaultCommentText (CommentText
    64657452shape (Rectangle
     
    64767463fg "0,0,32768"
    64777464)
    6478 xt "200,200,2000,1200"
     7465xt "200,200,2400,1200"
    64797466st "
    64807467Text
     
    65237510stg "VerticalLayoutStrategy"
    65247511textVec [
    6525 *213 (Text
     7512*244 (Text
    65267513va (VaSet
    65277514font "Arial,8,1"
     
    65327519tm "BdLibraryNameMgr"
    65337520)
    6534 *214 (Text
     7521*245 (Text
    65357522va (VaSet
    65367523font "Arial,8,1"
     
    65417528tm "BlkNameMgr"
    65427529)
    6543 *215 (Text
     7530*246 (Text
    65447531va (VaSet
    65457532font "Arial,8,1"
     
    65927579stg "VerticalLayoutStrategy"
    65937580textVec [
    6594 *216 (Text
     7581*247 (Text
    65957582va (VaSet
    65967583font "Arial,8,1"
     
    66007587blo "550,4300"
    66017588)
    6602 *217 (Text
     7589*248 (Text
    66037590va (VaSet
    66047591font "Arial,8,1"
     
    66087595blo "550,5300"
    66097596)
    6610 *218 (Text
     7597*249 (Text
    66117598va (VaSet
    66127599font "Arial,8,1"
     
    66577644stg "VerticalLayoutStrategy"
    66587645textVec [
    6659 *219 (Text
     7646*250 (Text
    66607647va (VaSet
    66617648font "Arial,8,1"
     
    66667653tm "BdLibraryNameMgr"
    66677654)
    6668 *220 (Text
     7655*251 (Text
    66697656va (VaSet
    66707657font "Arial,8,1"
     
    66757662tm "CptNameMgr"
    66767663)
    6677 *221 (Text
     7664*252 (Text
    66787665va (VaSet
    66797666font "Arial,8,1"
     
    67297716stg "VerticalLayoutStrategy"
    67307717textVec [
    6731 *222 (Text
     7718*253 (Text
    67327719va (VaSet
    67337720font "Arial,8,1"
     
    67377724blo "500,4300"
    67387725)
    6739 *223 (Text
     7726*254 (Text
    67407727va (VaSet
    67417728font "Arial,8,1"
     
    67457732blo "500,5300"
    67467733)
    6747 *224 (Text
     7734*255 (Text
    67487735va (VaSet
    67497736font "Arial,8,1"
     
    67907777stg "VerticalLayoutStrategy"
    67917778textVec [
    6792 *225 (Text
     7779*256 (Text
    67937780va (VaSet
    67947781font "Arial,8,1"
     
    67987785blo "50,4300"
    67997786)
    6800 *226 (Text
     7787*257 (Text
    68017788va (VaSet
    68027789font "Arial,8,1"
     
    68067793blo "50,5300"
    68077794)
    6808 *227 (Text
     7795*258 (Text
    68097796va (VaSet
    68107797font "Arial,8,1"
     
    68477834stg "VerticalLayoutStrategy"
    68487835textVec [
    6849 *228 (Text
     7836*259 (Text
    68507837va (VaSet
    68517838font "Arial,8,1"
     
    68567843tm "HdlTextNameMgr"
    68577844)
    6858 *229 (Text
     7845*260 (Text
    68597846va (VaSet
    68607847font "Arial,8,1"
     
    68947881va (VaSet
    68957882)
    6896 xt "200,200,2000,1200"
     7883xt "200,200,2400,1200"
    68977884st "
    68987885Text
     
    72328219va (VaSet
    72338220)
    7234 xt "0,-1100,12600,-100"
     8221xt "0,-1100,12900,-100"
    72358222st "g0: FOR i IN 0 TO n GENERATE"
    72368223tm "FrameTitleTextMgr"
     
    72598246stg "VerticalLayoutStrategy"
    72608247textVec [
    7261 *230 (Text
     8248*261 (Text
    72628249va (VaSet
    72638250font "Arial,8,1"
     
    72678254blo "14100,20800"
    72688255)
    7269 *231 (MLText
     8256*262 (MLText
    72708257va (VaSet
    72718258)
     
    72928279va (VaSet
    72938280)
    7294 xt "0,-1100,7400,-100"
     8281xt "0,-1100,7700,-100"
    72958282st "b0: BLOCK (guard)"
    72968283tm "FrameTitleTextMgr"
     
    73198306stg "VerticalLayoutStrategy"
    73208307textVec [
    7321 *232 (Text
     8308*263 (Text
    73228309va (VaSet
    73238310font "Arial,8,1"
     
    73278314blo "14100,20800"
    73288315)
    7329 *233 (MLText
     8316*264 (MLText
    73308317va (VaSet
    73318318)
     
    74718458commonDM (CommonDM
    74728459ldm (LogicalDM
    7473 suid 51,0
     8460suid 62,0
    74748461usingSuid 1
    7475 emptyRow *234 (LEmptyRow
     8462emptyRow *265 (LEmptyRow
    74768463)
    74778464uid 54,0
    74788465optionalChildren [
    7479 *235 (RefLabelRowHdr
    7480 )
    7481 *236 (TitleRowHdr
    7482 )
    7483 *237 (FilterRowHdr
    7484 )
    7485 *238 (RefLabelColHdr
     8466*266 (RefLabelRowHdr
     8467)
     8468*267 (TitleRowHdr
     8469)
     8470*268 (FilterRowHdr
     8471)
     8472*269 (RefLabelColHdr
    74868473tm "RefLabelColHdrMgr"
    74878474)
    7488 *239 (RowExpandColHdr
     8475*270 (RowExpandColHdr
    74898476tm "RowExpandColHdrMgr"
    74908477)
    7491 *240 (GroupColHdr
     8478*271 (GroupColHdr
    74928479tm "GroupColHdrMgr"
    74938480)
    7494 *241 (NameColHdr
     8481*272 (NameColHdr
    74958482tm "BlockDiagramNameColHdrMgr"
    74968483)
    7497 *242 (ModeColHdr
     8484*273 (ModeColHdr
    74988485tm "BlockDiagramModeColHdrMgr"
    74998486)
    7500 *243 (TypeColHdr
     8487*274 (TypeColHdr
    75018488tm "BlockDiagramTypeColHdrMgr"
    75028489)
    7503 *244 (BoundsColHdr
     8490*275 (BoundsColHdr
    75048491tm "BlockDiagramBoundsColHdrMgr"
    75058492)
    7506 *245 (InitColHdr
     8493*276 (InitColHdr
    75078494tm "BlockDiagramInitColHdrMgr"
    75088495)
    7509 *246 (EolColHdr
     8496*277 (EolColHdr
    75108497tm "BlockDiagramEolColHdrMgr"
    75118498)
    7512 *247 (LeafLogPort
     8499*278 (LeafLogPort
    75138500port (LogicalPort
    75148501m 4
     
    75248511uid 340,0
    75258512)
    7526 *248 (LeafLogPort
     8513*279 (LeafLogPort
    75278514port (LogicalPort
    75288515m 4
     
    75378524uid 342,0
    75388525)
    7539 *249 (LeafLogPort
     8526*280 (LeafLogPort
    75408527port (LogicalPort
    75418528m 4
     
    75508537uid 344,0
    75518538)
    7552 *250 (LeafLogPort
     8539*281 (LeafLogPort
    75538540port (LogicalPort
    75548541m 4
     
    75638550uid 346,0
    75648551)
    7565 *251 (LeafLogPort
     8552*282 (LeafLogPort
    75668553port (LogicalPort
    75678554m 4
     
    75768563uid 348,0
    75778564)
    7578 *252 (LeafLogPort
     8565*283 (LeafLogPort
    75798566port (LogicalPort
    75808567m 4
     
    75898576uid 404,0
    75908577)
    7591 *253 (LeafLogPort
     8578*284 (LeafLogPort
    75928579port (LogicalPort
    75938580m 4
     
    76018588uid 406,0
    76028589)
    7603 *254 (LeafLogPort
     8590*285 (LeafLogPort
    76048591port (LogicalPort
    76058592m 4
     
    76158602uid 408,0
    76168603)
    7617 *255 (LeafLogPort
     8604*286 (LeafLogPort
    76188605port (LogicalPort
    76198606m 4
     
    76298616uid 456,0
    76308617)
    7631 *256 (LeafLogPort
     8618*287 (LeafLogPort
    76328619port (LogicalPort
    76338620m 4
     
    76448631uid 458,0
    76458632)
    7646 *257 (LeafLogPort
     8633*288 (LeafLogPort
    76478634port (LogicalPort
    76488635m 4
     
    76578644uid 460,0
    76588645)
    7659 *258 (LeafLogPort
     8646*289 (LeafLogPort
    76608647port (LogicalPort
    76618648m 4
     
    76708657uid 584,0
    76718658)
    7672 *259 (LeafLogPort
     8659*290 (LeafLogPort
    76738660port (LogicalPort
    76748661m 4
     
    76828669uid 586,0
    76838670)
    7684 *260 (LeafLogPort
     8671*291 (LeafLogPort
    76858672port (LogicalPort
    76868673m 4
     
    76968683uid 588,0
    76978684)
    7698 *261 (LeafLogPort
     8685*292 (LeafLogPort
    76998686port (LogicalPort
    77008687m 4
     
    77108697uid 590,0
    77118698)
    7712 *262 (LeafLogPort
     8699*293 (LeafLogPort
    77138700port (LogicalPort
    77148701m 4
     
    77258712uid 592,0
    77268713)
    7727 *263 (LeafLogPort
     8714*294 (LeafLogPort
    77288715port (LogicalPort
    77298716m 4
     
    77388725uid 903,0
    77398726)
    7740 *264 (LeafLogPort
     8727*295 (LeafLogPort
    77418728port (LogicalPort
    77428729m 4
     
    77538740uid 905,0
    77548741)
    7755 *265 (LeafLogPort
     8742*296 (LeafLogPort
    77568743port (LogicalPort
    77578744m 4
     
    77668753uid 907,0
    77678754)
    7768 *266 (LeafLogPort
     8755*297 (LeafLogPort
    77698756port (LogicalPort
    77708757m 4
     
    77788765uid 909,0
    77798766)
    7780 *267 (LeafLogPort
     8767*298 (LeafLogPort
    77818768port (LogicalPort
    77828769m 4
     
    77908777uid 911,0
    77918778)
    7792 *268 (LeafLogPort
     8779*299 (LeafLogPort
    77938780port (LogicalPort
    77948781m 4
     
    78038790uid 913,0
    78048791)
    7805 *269 (LeafLogPort
     8792*300 (LeafLogPort
    78068793port (LogicalPort
    78078794m 4
     
    78188805uid 915,0
    78198806)
    7820 *270 (LeafLogPort
     8807*301 (LeafLogPort
    78218808port (LogicalPort
    78228809m 4
     
    78308817uid 917,0
    78318818)
    7832 *271 (LeafLogPort
     8819*302 (LeafLogPort
    78338820port (LogicalPort
    78348821m 4
     
    78428829uid 919,0
    78438830)
    7844 *272 (LeafLogPort
     8831*303 (LeafLogPort
    78458832port (LogicalPort
    78468833m 4
     
    78568843uid 921,0
    78578844)
    7858 *273 (LeafLogPort
     8845*304 (LeafLogPort
    78598846port (LogicalPort
    78608847m 4
     
    78698856uid 923,0
    78708857)
    7871 *274 (LeafLogPort
     8858*305 (LeafLogPort
    78728859port (LogicalPort
    78738860m 4
     
    78828869uid 925,0
    78838870)
    7884 *275 (LeafLogPort
     8871*306 (LeafLogPort
    78858872port (LogicalPort
    78868873m 4
     
    78958882uid 927,0
    78968883)
    7897 *276 (LeafLogPort
     8884*307 (LeafLogPort
    78988885port (LogicalPort
    78998886m 4
     
    79078894uid 929,0
    79088895)
    7909 *277 (LeafLogPort
     8896*308 (LeafLogPort
    79108897port (LogicalPort
    79118898m 4
     
    79198906uid 931,0
    79208907)
    7921 *278 (LeafLogPort
     8908*309 (LeafLogPort
    79228909port (LogicalPort
    79238910m 4
     
    79318918uid 933,0
    79328919)
    7933 *279 (LeafLogPort
     8920*310 (LeafLogPort
    79348921port (LogicalPort
    79358922m 4
     
    79438930uid 935,0
    79448931)
    7945 *280 (LeafLogPort
     8932*311 (LeafLogPort
    79468933port (LogicalPort
    79478934m 4
     
    79568943uid 1541,0
    79578944)
    7958 *281 (LeafLogPort
     8945*312 (LeafLogPort
    79598946port (LogicalPort
    79608947m 4
     
    79688955uid 1543,0
    79698956)
    7970 *282 (LeafLogPort
     8957*313 (LeafLogPort
    79718958port (LogicalPort
    79728959m 4
     
    79808967uid 1545,0
    79818968)
    7982 *283 (LeafLogPort
     8969*314 (LeafLogPort
    79838970port (LogicalPort
    79848971m 4
     
    79928979uid 1547,0
    79938980)
    7994 *284 (LeafLogPort
     8981*315 (LeafLogPort
    79958982port (LogicalPort
    79968983m 4
     
    80058992uid 1549,0
    80068993)
    8007 *285 (LeafLogPort
     8994*316 (LeafLogPort
    80088995port (LogicalPort
    80098996m 4
     
    80189005uid 1551,0
    80199006)
    8020 *286 (LeafLogPort
     9007*317 (LeafLogPort
    80219008port (LogicalPort
    80229009m 4
     
    80309017uid 1553,0
    80319018)
    8032 *287 (LeafLogPort
     9019*318 (LeafLogPort
    80339020port (LogicalPort
    80349021m 4
     
    80439030uid 1555,0
    80449031)
    8045 *288 (LeafLogPort
     9032*319 (LeafLogPort
    80469033port (LogicalPort
    80479034m 4
     
    80579044uid 1575,0
    80589045)
    8059 *289 (LeafLogPort
     9046*320 (LeafLogPort
    80609047port (LogicalPort
    80619048lang 2
     
    80709057uid 1690,0
    80719058)
    8072 *290 (LeafLogPort
     9059*321 (LeafLogPort
    80739060port (LogicalPort
    80749061m 4
     
    80839070uid 2003,0
    80849071)
     9072*322 (LeafLogPort
     9073port (LogicalPort
     9074m 4
     9075decl (Decl
     9076n "debug_data_ram_empty"
     9077t "std_logic"
     9078o 45
     9079suid 53,0
     9080)
     9081)
     9082uid 2785,0
     9083)
     9084*323 (LeafLogPort
     9085port (LogicalPort
     9086m 4
     9087decl (Decl
     9088n "debug_data_valid"
     9089t "std_logic"
     9090o 46
     9091suid 54,0
     9092)
     9093)
     9094uid 2787,0
     9095)
     9096*324 (LeafLogPort
     9097port (LogicalPort
     9098m 4
     9099decl (Decl
     9100n "DG_state"
     9101t "std_logic_vector"
     9102b "(7 downto 0)"
     9103prec "-- for debugging"
     9104preAdd 0
     9105o 47
     9106suid 55,0
     9107)
     9108)
     9109uid 2789,0
     9110)
     9111*325 (LeafLogPort
     9112port (LogicalPort
     9113m 4
     9114decl (Decl
     9115n "FTM_RS485_rx_en"
     9116t "std_logic"
     9117o 48
     9118suid 56,0
     9119)
     9120)
     9121uid 2791,0
     9122)
     9123*326 (LeafLogPort
     9124port (LogicalPort
     9125m 4
     9126decl (Decl
     9127n "FTM_RS485_tx_d"
     9128t "std_logic"
     9129o 49
     9130suid 57,0
     9131)
     9132)
     9133uid 2793,0
     9134)
     9135*327 (LeafLogPort
     9136port (LogicalPort
     9137m 4
     9138decl (Decl
     9139n "FTM_RS485_tx_en"
     9140t "std_logic"
     9141o 50
     9142suid 58,0
     9143)
     9144)
     9145uid 2795,0
     9146)
     9147*328 (LeafLogPort
     9148port (LogicalPort
     9149lang 2
     9150m 4
     9151decl (Decl
     9152n "mem_manager_state"
     9153t "std_logic_vector"
     9154b "(3 DOWNTO 0)"
     9155eolc "-- state is encoded here ... useful for debugging."
     9156posAdd 0
     9157o 51
     9158suid 59,0
     9159)
     9160)
     9161uid 2797,0
     9162)
     9163*329 (LeafLogPort
     9164port (LogicalPort
     9165m 4
     9166decl (Decl
     9167n "trigger_veto"
     9168t "std_logic"
     9169o 52
     9170suid 60,0
     9171i "'1'"
     9172)
     9173)
     9174uid 2799,0
     9175)
     9176*330 (LeafLogPort
     9177port (LogicalPort
     9178m 4
     9179decl (Decl
     9180n "w5300_state"
     9181t "std_logic_vector"
     9182b "(7 DOWNTO 0)"
     9183eolc "-- state is encoded here ... useful for debugging."
     9184posAdd 0
     9185o 53
     9186suid 61,0
     9187)
     9188)
     9189uid 2801,0
     9190)
     9191*331 (LeafLogPort
     9192port (LogicalPort
     9193m 4
     9194decl (Decl
     9195n "FTM_RS485_rx_d"
     9196t "std_logic"
     9197o 54
     9198suid 62,0
     9199)
     9200)
     9201uid 2803,0
     9202)
    80859203]
    80869204)
     
    80909208uid 67,0
    80919209optionalChildren [
    8092 *291 (Sheet
     9210*332 (Sheet
    80939211sheetRow (SheetRow
    80949212headerVa (MVa
     
    81079225font "Tahoma,10,0"
    81089226)
    8109 emptyMRCItem *292 (MRCItem
    8110 litem &234
    8111 pos 44
     9227emptyMRCItem *333 (MRCItem
     9228litem &265
     9229pos 54
    81129230dimension 20
    81139231)
    81149232uid 69,0
    81159233optionalChildren [
    8116 *293 (MRCItem
    8117 litem &235
     9234*334 (MRCItem
     9235litem &266
    81189236pos 0
    81199237dimension 20
    81209238uid 70,0
    81219239)
    8122 *294 (MRCItem
    8123 litem &236
     9240*335 (MRCItem
     9241litem &267
    81249242pos 1
    81259243dimension 23
    81269244uid 71,0
    81279245)
    8128 *295 (MRCItem
    8129 litem &237
     9246*336 (MRCItem
     9247litem &268
    81309248pos 2
    81319249hidden 1
     
    81339251uid 72,0
    81349252)
    8135 *296 (MRCItem
    8136 litem &247
     9253*337 (MRCItem
     9254litem &278
    81379255pos 0
    81389256dimension 20
    81399257uid 341,0
    81409258)
    8141 *297 (MRCItem
    8142 litem &248
     9259*338 (MRCItem
     9260litem &279
    81439261pos 1
    81449262dimension 20
    81459263uid 343,0
    81469264)
    8147 *298 (MRCItem
    8148 litem &249
     9265*339 (MRCItem
     9266litem &280
    81499267pos 2
    81509268dimension 20
    81519269uid 345,0
    81529270)
    8153 *299 (MRCItem
    8154 litem &250
     9271*340 (MRCItem
     9272litem &281
    81559273pos 3
    81569274dimension 20
    81579275uid 347,0
    81589276)
    8159 *300 (MRCItem
    8160 litem &251
     9277*341 (MRCItem
     9278litem &282
    81619279pos 4
    81629280dimension 20
    81639281uid 349,0
    81649282)
    8165 *301 (MRCItem
    8166 litem &252
     9283*342 (MRCItem
     9284litem &283
    81679285pos 5
    81689286dimension 20
    81699287uid 405,0
    81709288)
    8171 *302 (MRCItem
    8172 litem &253
     9289*343 (MRCItem
     9290litem &284
    81739291pos 6
    81749292dimension 20
    81759293uid 407,0
    81769294)
    8177 *303 (MRCItem
    8178 litem &254
     9295*344 (MRCItem
     9296litem &285
    81799297pos 7
    81809298dimension 20
    81819299uid 409,0
    81829300)
    8183 *304 (MRCItem
    8184 litem &255
     9301*345 (MRCItem
     9302litem &286
    81859303pos 8
    81869304dimension 20
    81879305uid 457,0
    81889306)
    8189 *305 (MRCItem
    8190 litem &256
     9307*346 (MRCItem
     9308litem &287
    81919309pos 9
    81929310dimension 20
    81939311uid 459,0
    81949312)
    8195 *306 (MRCItem
    8196 litem &257
     9313*347 (MRCItem
     9314litem &288
    81979315pos 10
    81989316dimension 20
    81999317uid 461,0
    82009318)
    8201 *307 (MRCItem
    8202 litem &258
     9319*348 (MRCItem
     9320litem &289
    82039321pos 11
    82049322dimension 20
    82059323uid 585,0
    82069324)
    8207 *308 (MRCItem
    8208 litem &259
     9325*349 (MRCItem
     9326litem &290
    82099327pos 12
    82109328dimension 20
    82119329uid 587,0
    82129330)
    8213 *309 (MRCItem
    8214 litem &260
     9331*350 (MRCItem
     9332litem &291
    82159333pos 13
    82169334dimension 20
    82179335uid 589,0
    82189336)
    8219 *310 (MRCItem
    8220 litem &261
     9337*351 (MRCItem
     9338litem &292
    82219339pos 14
    82229340dimension 20
    82239341uid 591,0
    82249342)
    8225 *311 (MRCItem
    8226 litem &262
     9343*352 (MRCItem
     9344litem &293
    82279345pos 15
    82289346dimension 20
    82299347uid 593,0
    82309348)
    8231 *312 (MRCItem
    8232 litem &263
     9349*353 (MRCItem
     9350litem &294
    82339351pos 16
    82349352dimension 20
    82359353uid 904,0
    82369354)
    8237 *313 (MRCItem
    8238 litem &264
     9355*354 (MRCItem
     9356litem &295
    82399357pos 17
    82409358dimension 20
    82419359uid 906,0
    82429360)
    8243 *314 (MRCItem
    8244 litem &265
     9361*355 (MRCItem
     9362litem &296
    82459363pos 18
    82469364dimension 20
    82479365uid 908,0
    82489366)
    8249 *315 (MRCItem
    8250 litem &266
     9367*356 (MRCItem
     9368litem &297
    82519369pos 19
    82529370dimension 20
    82539371uid 910,0
    82549372)
    8255 *316 (MRCItem
    8256 litem &267
     9373*357 (MRCItem
     9374litem &298
    82579375pos 20
    82589376dimension 20
    82599377uid 912,0
    82609378)
    8261 *317 (MRCItem
    8262 litem &268
     9379*358 (MRCItem
     9380litem &299
    82639381pos 21
    82649382dimension 20
    82659383uid 914,0
    82669384)
    8267 *318 (MRCItem
    8268 litem &269
     9385*359 (MRCItem
     9386litem &300
    82699387pos 22
    82709388dimension 20
    82719389uid 916,0
    82729390)
    8273 *319 (MRCItem
    8274 litem &270
     9391*360 (MRCItem
     9392litem &301
    82759393pos 23
    82769394dimension 20
    82779395uid 918,0
    82789396)
    8279 *320 (MRCItem
    8280 litem &271
     9397*361 (MRCItem
     9398litem &302
    82819399pos 24
    82829400dimension 20
    82839401uid 920,0
    82849402)
    8285 *321 (MRCItem
    8286 litem &272
     9403*362 (MRCItem
     9404litem &303
    82879405pos 25
    82889406dimension 20
    82899407uid 922,0
    82909408)
    8291 *322 (MRCItem
    8292 litem &273
     9409*363 (MRCItem
     9410litem &304
    82939411pos 26
    82949412dimension 20
    82959413uid 924,0
    82969414)
    8297 *323 (MRCItem
    8298 litem &274
     9415*364 (MRCItem
     9416litem &305
    82999417pos 27
    83009418dimension 20
    83019419uid 926,0
    83029420)
    8303 *324 (MRCItem
    8304 litem &275
     9421*365 (MRCItem
     9422litem &306
    83059423pos 28
    83069424dimension 20
    83079425uid 928,0
    83089426)
    8309 *325 (MRCItem
    8310 litem &276
     9427*366 (MRCItem
     9428litem &307
    83119429pos 29
    83129430dimension 20
    83139431uid 930,0
    83149432)
    8315 *326 (MRCItem
    8316 litem &277
     9433*367 (MRCItem
     9434litem &308
    83179435pos 30
    83189436dimension 20
    83199437uid 932,0
    83209438)
    8321 *327 (MRCItem
    8322 litem &278
     9439*368 (MRCItem
     9440litem &309
    83239441pos 31
    83249442dimension 20
    83259443uid 934,0
    83269444)
    8327 *328 (MRCItem
    8328 litem &279
     9445*369 (MRCItem
     9446litem &310
    83299447pos 32
    83309448dimension 20
    83319449uid 936,0
    83329450)
    8333 *329 (MRCItem
    8334 litem &280
     9451*370 (MRCItem
     9452litem &311
    83359453pos 33
    83369454dimension 20
    83379455uid 1542,0
    83389456)
    8339 *330 (MRCItem
    8340 litem &281
     9457*371 (MRCItem
     9458litem &312
    83419459pos 34
    83429460dimension 20
    83439461uid 1544,0
    83449462)
    8345 *331 (MRCItem
    8346 litem &282
     9463*372 (MRCItem
     9464litem &313
    83479465pos 35
    83489466dimension 20
    83499467uid 1546,0
    83509468)
    8351 *332 (MRCItem
    8352 litem &283
     9469*373 (MRCItem
     9470litem &314
    83539471pos 36
    83549472dimension 20
    83559473uid 1548,0
    83569474)
    8357 *333 (MRCItem
    8358 litem &284
     9475*374 (MRCItem
     9476litem &315
    83599477pos 37
    83609478dimension 20
    83619479uid 1550,0
    83629480)
    8363 *334 (MRCItem
    8364 litem &285
     9481*375 (MRCItem
     9482litem &316
    83659483pos 38
    83669484dimension 20
    83679485uid 1552,0
    83689486)
    8369 *335 (MRCItem
    8370 litem &286
     9487*376 (MRCItem
     9488litem &317
    83719489pos 39
    83729490dimension 20
    83739491uid 1554,0
    83749492)
    8375 *336 (MRCItem
    8376 litem &287
     9493*377 (MRCItem
     9494litem &318
    83779495pos 40
    83789496dimension 20
    83799497uid 1556,0
    83809498)
    8381 *337 (MRCItem
    8382 litem &288
     9499*378 (MRCItem
     9500litem &319
    83839501pos 41
    83849502dimension 20
    83859503uid 1576,0
    83869504)
    8387 *338 (MRCItem
    8388 litem &289
     9505*379 (MRCItem
     9506litem &320
    83899507pos 42
    83909508dimension 20
    83919509uid 1691,0
    83929510)
    8393 *339 (MRCItem
    8394 litem &290
     9511*380 (MRCItem
     9512litem &321
    83959513pos 43
    83969514dimension 20
    83979515uid 2004,0
     9516)
     9517*381 (MRCItem
     9518litem &322
     9519pos 44
     9520dimension 20
     9521uid 2786,0
     9522)
     9523*382 (MRCItem
     9524litem &323
     9525pos 45
     9526dimension 20
     9527uid 2788,0
     9528)
     9529*383 (MRCItem
     9530litem &324
     9531pos 46
     9532dimension 20
     9533uid 2790,0
     9534)
     9535*384 (MRCItem
     9536litem &325
     9537pos 47
     9538dimension 20
     9539uid 2792,0
     9540)
     9541*385 (MRCItem
     9542litem &326
     9543pos 48
     9544dimension 20
     9545uid 2794,0
     9546)
     9547*386 (MRCItem
     9548litem &327
     9549pos 49
     9550dimension 20
     9551uid 2796,0
     9552)
     9553*387 (MRCItem
     9554litem &328
     9555pos 50
     9556dimension 20
     9557uid 2798,0
     9558)
     9559*388 (MRCItem
     9560litem &329
     9561pos 51
     9562dimension 20
     9563uid 2800,0
     9564)
     9565*389 (MRCItem
     9566litem &330
     9567pos 52
     9568dimension 20
     9569uid 2802,0
     9570)
     9571*390 (MRCItem
     9572litem &331
     9573pos 53
     9574dimension 20
     9575uid 2804,0
    83989576)
    83999577]
     
    84089586uid 73,0
    84099587optionalChildren [
    8410 *340 (MRCItem
    8411 litem &238
     9588*391 (MRCItem
     9589litem &269
    84129590pos 0
    84139591dimension 20
    84149592uid 74,0
    84159593)
    8416 *341 (MRCItem
    8417 litem &240
     9594*392 (MRCItem
     9595litem &271
    84189596pos 1
    84199597dimension 50
    84209598uid 75,0
    84219599)
    8422 *342 (MRCItem
    8423 litem &241
     9600*393 (MRCItem
     9601litem &272
    84249602pos 2
    84259603dimension 100
    84269604uid 76,0
    84279605)
    8428 *343 (MRCItem
    8429 litem &242
     9606*394 (MRCItem
     9607litem &273
    84309608pos 3
    84319609dimension 50
    84329610uid 77,0
    84339611)
    8434 *344 (MRCItem
    8435 litem &243
     9612*395 (MRCItem
     9613litem &274
    84369614pos 4
    84379615dimension 100
    84389616uid 78,0
    84399617)
    8440 *345 (MRCItem
    8441 litem &244
     9618*396 (MRCItem
     9619litem &275
    84429620pos 5
    84439621dimension 100
    84449622uid 79,0
    84459623)
    8446 *346 (MRCItem
    8447 litem &245
     9624*397 (MRCItem
     9625litem &276
    84489626pos 6
    84499627dimension 50
    84509628uid 80,0
    84519629)
    8452 *347 (MRCItem
    8453 litem &246
     9630*398 (MRCItem
     9631litem &277
    84549632pos 7
    84559633dimension 80
     
    84719649genericsCommonDM (CommonDM
    84729650ldm (LogicalDM
    8473 emptyRow *348 (LEmptyRow
     9651emptyRow *399 (LEmptyRow
    84749652)
    84759653uid 83,0
    84769654optionalChildren [
    8477 *349 (RefLabelRowHdr
    8478 )
    8479 *350 (TitleRowHdr
    8480 )
    8481 *351 (FilterRowHdr
    8482 )
    8483 *352 (RefLabelColHdr
     9655*400 (RefLabelRowHdr
     9656)
     9657*401 (TitleRowHdr
     9658)
     9659*402 (FilterRowHdr
     9660)
     9661*403 (RefLabelColHdr
    84849662tm "RefLabelColHdrMgr"
    84859663)
    8486 *353 (RowExpandColHdr
     9664*404 (RowExpandColHdr
    84879665tm "RowExpandColHdrMgr"
    84889666)
    8489 *354 (GroupColHdr
     9667*405 (GroupColHdr
    84909668tm "GroupColHdrMgr"
    84919669)
    8492 *355 (NameColHdr
     9670*406 (NameColHdr
    84939671tm "GenericNameColHdrMgr"
    84949672)
    8495 *356 (TypeColHdr
     9673*407 (TypeColHdr
    84969674tm "GenericTypeColHdrMgr"
    84979675)
    8498 *357 (InitColHdr
     9676*408 (InitColHdr
    84999677tm "GenericValueColHdrMgr"
    85009678)
    8501 *358 (PragmaColHdr
     9679*409 (PragmaColHdr
    85029680tm "GenericPragmaColHdrMgr"
    85039681)
    8504 *359 (EolColHdr
     9682*410 (EolColHdr
    85059683tm "GenericEolColHdrMgr"
    85069684)
     
    85129690uid 95,0
    85139691optionalChildren [
    8514 *360 (Sheet
     9692*411 (Sheet
    85159693sheetRow (SheetRow
    85169694headerVa (MVa
     
    85299707font "Tahoma,10,0"
    85309708)
    8531 emptyMRCItem *361 (MRCItem
    8532 litem &348
     9709emptyMRCItem *412 (MRCItem
     9710litem &399
    85339711pos 0
    85349712dimension 20
     
    85369714uid 97,0
    85379715optionalChildren [
    8538 *362 (MRCItem
    8539 litem &349
     9716*413 (MRCItem
     9717litem &400
    85409718pos 0
    85419719dimension 20
    85429720uid 98,0
    85439721)
    8544 *363 (MRCItem
    8545 litem &350
     9722*414 (MRCItem
     9723litem &401
    85469724pos 1
    85479725dimension 23
    85489726uid 99,0
    85499727)
    8550 *364 (MRCItem
    8551 litem &351
     9728*415 (MRCItem
     9729litem &402
    85529730pos 2
    85539731hidden 1
     
    85669744uid 101,0
    85679745optionalChildren [
    8568 *365 (MRCItem
    8569 litem &352
     9746*416 (MRCItem
     9747litem &403
    85709748pos 0
    85719749dimension 20
    85729750uid 102,0
    85739751)
    8574 *366 (MRCItem
    8575 litem &354
     9752*417 (MRCItem
     9753litem &405
    85769754pos 1
    85779755dimension 50
    85789756uid 103,0
    85799757)
    8580 *367 (MRCItem
    8581 litem &355
     9758*418 (MRCItem
     9759litem &406
    85829760pos 2
    85839761dimension 100
    85849762uid 104,0
    85859763)
    8586 *368 (MRCItem
    8587 litem &356
     9764*419 (MRCItem
     9765litem &407
    85889766pos 3
    85899767dimension 100
    85909768uid 105,0
    85919769)
    8592 *369 (MRCItem
    8593 litem &357
     9770*420 (MRCItem
     9771litem &408
    85949772pos 4
    85959773dimension 50
    85969774uid 106,0
    85979775)
    8598 *370 (MRCItem
    8599 litem &358
     9776*421 (MRCItem
     9777litem &409
    86009778pos 5
    86019779dimension 50
    86029780uid 107,0
    86039781)
    8604 *371 (MRCItem
    8605 litem &359
     9782*422 (MRCItem
     9783litem &410
    86069784pos 6
    86079785dimension 80
Note: See TracChangeset for help on using the changeset viewer.