Ignore:
Timestamp:
07/27/11 11:55:25 (13 years ago)
Author:
vogler
Message:
clock cond interface, new settings loaded only when changed
File:
1 edited

Legend:

Unmodified
Added
Removed
  • firmware/FTM/Clock_cond_interface/Clock_cond_interface_tb.vhd

    r11513 r11648  
    5353         LD_Clk_Cond : IN  std_logic;
    5454         TIM_Sel : OUT  std_logic;
     55        --              locked  : out STD_LOGIC;  -- PLL in the Clock Conditioner locked
    5556         cc_R0 : IN  std_logic_vector(31 downto 0);
    5657         cc_R1 : IN  std_logic_vector(31 downto 0);
     
    8384   signal cc_R13 : std_logic_vector(31 downto 0) := x"020A000D";
    8485   signal cc_R14 : std_logic_vector(31 downto 0) := x"0830280E";
    85    signal cc_R15 : std_logic_vector(31 downto 0) :=  x"1400FA0F";   
     86   signal cc_R15 : std_logic_vector(31 downto 0) := x"1400FA0F";   
    8687
    8788         
     
    101102   signal config_started : std_logic;
    102103   signal config_done : std_logic;
    103 
     104 --  signal locked : STD_LOGIC;  -- PLL in the Clock Conditioner locked
    104105
    105106   
     
    121122          LD_Clk_Cond => LD_Clk_Cond,
    122123          TIM_Sel => TIM_Sel,
     124        --               locked => locked,         
    123125          cc_R0 => cc_R0,
    124126          cc_R1 => cc_R1,
     
    147149   end process;
    148150 
    149 --   CLK_Clk_Cond_process :process
    150 --   begin
    151 --              CLK_Clk_Cond <= '0';
    152 --              wait for CLK_Clk_Cond_period/2;
    153 --              CLK_Clk_Cond <= '1';
    154 --              wait for CLK_Clk_Cond_period/2;
    155 --   end process;
    156  
     151
    157152
    158153
     
    174169      start_config <= '0';
    175170         
    176                 wait for 180 us;
     171                wait for 300 us;
    177172      LD_Clk_Cond <= '1';               
    178173     
     174               
     175                wait for 300 us;
     176                LD_Clk_Cond <= '0';
     177      start_config <= '1';
     178      wait for clk_period*100;
     179      start_config <= '0';
     180      wait for 300 us;
     181      LD_Clk_Cond <= '1';       
     182               
     183               
     184               
     185               
     186               
     187                -- programm new settings
     188               
     189                cc_R0 <= x"00038027";
     190      cc_R1 <= x"00010101";
     191      cc_R8 <= x"10000963";
     192      cc_R9 <= x"A0032A09";
     193      cc_R11 <= x"0082000B";
     194      cc_R13 <= x"020A000D";
     195      cc_R14 <= x"0830280E";
     196      cc_R15 <= x"1400FA0F";   
     197       
     198                wait for 300 us;
     199                LD_Clk_Cond <= '0';
     200      start_config <= '1';
     201      wait for clk_period*100;
     202      start_config <= '0';
     203      wait for 300 us;
     204      LD_Clk_Cond <= '1';       
     205               
     206               
     207               
    179208      wait;
    180209   end process;
Note: See TracChangeset for help on using the changeset viewer.