Changeset 242 for FPGA


Ignore:
Timestamp:
07/06/10 16:46:25 (14 years ago)
Author:
qweitzel
Message:
more add-ons for FTU_test2
Location:
FPGA/FTU/test_firmware/FTU_test2
Files:
3 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FTU/test_firmware/FTU_test2/FTU_test2_spi_controller.vhd

    r236 r242  
    1919      mosi         : OUT    std_logic := '0';
    2020      dac_id       : IN     std_logic_vector (2 DOWNTO 0);
    21       data         : INOUT  std_logic_vector (15 DOWNTO 0) := (others => 'Z');
     21      --data         : INOUT  std_logic_vector (15 DOWNTO 0) := (others => 'Z');
     22      data         : IN  std_logic_vector (15 DOWNTO 0) := (others => '0');
    2223      dac_cs       : OUT    std_logic := '1';
    2324      dac_start    : IN     std_logic;
     
    4344      miso <= 'Z';
    4445      mosi <= '0';
    45       data <= (others => 'Z');
     46      --data <= (others => 'Z');
    4647      case spi_state is
    4748        when SPI_IDLE =>
  • FPGA/FTU/test_firmware/FTU_test2/FTU_test2_spi_distributor.vhd

    r238 r242  
    2626    dac_config_ready  : IN    std_logic;
    2727    dac_id            : OUT   std_logic_vector(2 downto 0) := (others => '0');
    28     data              : INOUT std_logic_vector(15 downto 0) := (others => 'Z')
     28    --data              : INOUT std_logic_vector(15 downto 0) := (others => 'Z')
     29    data              : OUT std_logic_vector(15 downto 0) := (others => '0')
    2930  );
    3031END ENTITY FTU_test2_spi_distributor;
     
    3637  signal spi_distr_state       : TYPE_SPI_DISTRIBUTION_STATE := INIT;
    3738  signal dac_id_cnt            : integer range 0 to 4 := 0;
     39  signal wait_cnt              : integer range 0 to 3 := 0;
    3840 
    3941BEGIN
     
    4345   
    4446    if rising_edge(clk) then
    45       data <= (others => 'Z');
     47      --data <= (others => 'Z');
     48      data <= (others => '0');
    4649      case spi_distr_state is
    4750        when INIT =>
    48           data <= (others => 'Z');
    49           spi_distr_state <= IDLE;
     51          --data <= (others => 'Z');
     52          data <= (others => '0');
     53          if wait_cnt < 3 then
     54            wait_cnt <= wait_cnt + 1;
     55            spi_distr_state <= INIT;
     56          else
     57            spi_distr_state <= IDLE;
     58          end if;
    5059        when IDLE =>
    51           data <= (others => 'Z');
     60          --data <= (others => 'Z');
     61          data <= (others => '0');
    5262         -- start DAC configuration
    5363          if (config_start = '1') then
     
    5666            dac_config_start <= '1';
    5767            dac_id <= conv_std_logic_vector(dac_id_cnt, dac_id'length);
    58             data <= conv_std_logic_vector(dac_array(dac_id_cnt),data'length);
     68            data <= conv_std_logic_vector(dac_array(dac_id_cnt),data'length);         
    5969            spi_distr_state <= CONFIG_DAC;
    6070          end if;
  • FPGA/FTU/test_firmware/FTU_test2/FTU_test2_spi_interface.vhd

    r236 r242  
    7575      dac_ready    : OUT    std_logic                      := '0';
    7676      mosi         : OUT    std_logic                      := '0';
    77       data         : INOUT  std_logic_vector (15 DOWNTO 0) := (others => 'Z');
     77      --data         : INOUT  std_logic_vector (15 DOWNTO 0) := (others => 'Z');
     78      data         : IN  std_logic_vector (15 DOWNTO 0) := (others => '0');
    7879      miso         : INOUT  std_logic                      := 'Z'
    7980   );
     
    9091      dac_config_start  : OUT    std_logic                      := '0';
    9192      dac_id            : OUT    std_logic_vector (2 DOWNTO 0)  := (others => '0');
    92       data              : INOUT  std_logic_vector (15 DOWNTO 0) := (others => 'Z')
     93      --data              : INOUT  std_logic_vector (15 DOWNTO 0) := (others => 'Z')
     94      data              : OUT  std_logic_vector (15 DOWNTO 0) := (others => '0')
    9395   );
    9496   END COMPONENT;
Note: See TracChangeset for help on using the changeset viewer.