Changeset 10073


Ignore:
Timestamp:
01/04/11 10:03:04 (14 years ago)
Author:
neise
Message:
 
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib
Files:
25 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/clock_generator_var_ps_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 10:49:24 30.08.2010
     5--          at - 14:00:25 01.10.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    4141-- Created:
    4242--          by - dneise.UNKNOWN (E5B-LABOR6)
    43 --          at - 10:49:25 30.08.2010
     43--          at - 14:00:25 01.10.2010
    4444--
    4545-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/control_unit_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 09:42:04 30.07.2010
     5--          at - 17:00:23 03.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    2323      config_data_valid : OUT    std_logic;
    2424      config_ready      : OUT    std_logic;
     25      -- --
     26      config_rw_ack     : OUT    std_logic  := '0';
     27      -- --
     28      config_rw_ready   : OUT    std_logic  := '0';
    2529      config_started    : OUT    std_logic  := '0';
    2630      dac_array         : OUT    dac_array_type;
    27       drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
    28       drs_address_mode  : OUT    std_logic;
    2931      roi_array         : OUT    roi_array_type;
    3032      config_data       : INOUT  std_logic_vector (15 DOWNTO 0)
     
    4042-- Created:
    4143--          by - dneise.UNKNOWN (E5B-LABOR6)
    42 --          at - 09:42:04 30.07.2010
     44--          at - 17:00:23 03.01.2011
    4345--
    4446-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    9193      config_data_valid : OUT    std_logic                      := '0';
    9294      config_busy       : OUT    std_logic                      := '0';
     95      -- --
     96      config_rw_ack     : OUT    std_logic                      := '0';
     97      -- --
     98      config_rw_ready   : OUT    std_logic                      := '0';
     99      -- --
    93100      ram_addr          : OUT    std_logic_vector (ADDR_WIDTH - 1 DOWNTO 0);
    94101      ram_data_in       : OUT    std_logic_vector (15 DOWNTO 0);
    95102      ram_write_en      : OUT    std_logic_vector (0 DOWNTO 0);
    96103      dac_array         : OUT    dac_array_type ;
    97       roi_array         : OUT    roi_array_type ;
    98       drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
    99       drs_address_mode  : OUT    std_logic
     104      roi_array         : OUT    roi_array_type
    100105   );
    101106   END COMPONENT;
     
    137142         config_data_valid => config_data_valid,
    138143         config_busy       => config_busy,
     144         config_rw_ack     => config_rw_ack,
     145         config_rw_ready   => config_rw_ready,
    139146         ram_addr          => ram_addr,
    140147         ram_data_in       => ram_data_in,
    141148         ram_write_en      => ram_wren,
    142149         dac_array         => dac_array,
    143          roi_array         => roi_array,
    144          drs_address       => drs_address,
    145          drs_address_mode  => drs_address_mode
     150         roi_array         => roi_array
    146151      );
    147152
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/data_generator.vhd

    r10072 r10073  
    142142        when CONFIG4 =>
    143143          drs_channel_id <= DRS_WRITE_SHIFT_REG;
    144           drs_srin_data <= "10101010";
     144          drs_srin_data <= "11111111";
    145145          drs_srin_write_8b <= '1';
    146146          if (drs_srin_write_ack = '1') then
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/data_generator.vhd.bak

    r10072 r10073  
    2525  );
    2626   port(
     27--      led            : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
     28
    2729      clk            : in     std_logic;
    2830      data_out       : out    std_logic_vector (63 downto 0);
     
    3234      ram_write_ea : in std_logic;
    3335      ram_write_ready : out std_logic := '0';
     36      -- --
     37      ram_write_ready_ack : IN std_logic;
     38      -- --
    3439      config_start_mm, config_start_cm, config_start_spi : out std_logic := '0';
    3540      config_ready_mm, config_ready_cm, config_ready_spi : in std_logic;
     
    4550      trigger_id     : in std_logic_vector (47 downto 0);
    4651      trigger        : in std_logic;
    47       s_trigger      : in std_logic;
     52--      s_trigger      : in std_logic;
    4853      new_config     : in std_logic;
    4954      config_started : out std_logic := '0';
     
    5560      drs_dwrite : out std_logic := '1';
    5661      drs_clk_en, drs_read_s_cell : out std_logic := '0';
     62
     63      drs_srin_write_8b : out std_logic := '0';
     64      drs_srin_write_ack : in std_logic;
     65      drs_srin_data : out std_logic_vector (7 downto 0) := (others => '0');
     66      drs_srin_write_ready : in std_logic;
     67
    5768      drs_read_s_cell_ready : in std_logic;
    5869      drs_s_cell_array : in drs_s_cell_array_type
     
    6273architecture Behavioral of data_generator is
    6374
    64 type state_generate_type is (INIT, CONFIG, CONFIG1, CONFIG2,CONFIG3, CONFIG4, WRITE_HEADER, WRITE_EXTERNAL_TRIGGER, WRITE_INTERNAL_TRIGGER, WRITE_BOARD_ID, WRITE_TEMPERATURES,
     75type state_generate_type is (INIT, CONFIG, CONFIG1, CONFIG2,CONFIG3, CONFIG4, CONFIG5, CONFIG6, WRITE_HEADER, WRITE_EXTERNAL_TRIGGER, WRITE_INTERNAL_TRIGGER, WRITE_BOARD_ID, WRITE_TEMPERATURES,
    6576                             WRITE_DAC1, WRITE_DAC2, WRITE_CHANNEL_ID, WRITE_START_CELL, WRITE_ROI, WRITE_ADC_DATA, WRITE_DATA_END, WRITE_DATA_END_WAIT,
    6677                             WRITE_END_FLAG, WRITE_DATA_STOP,
     
    7687signal adc_wait_cnt : integer range 0 to 7 := 0;
    7788
    78 signal trigger_flag : std_logic := '0';
    79 
     89signal trigger_flag :std_logic := '0';
     90signal ram_write_ea_flag : std_logic := '0';
     91signal new_config_int : std_logic := '0';
     92
     93signal roi_max_int : roi_max_type;
    8094
    8195begin
     
    95109        when CONFIG =>
    96110          config_started <= '1';
    97           -- config config manager
    98           config_start_cm <= '1';
    99           if (config_started_cm = '1') then
    100             state_generate <= CONFIG1;
     111          if (new_config = '0') then
     112            config_started <= '0';
     113            -- config config manager
     114            config_start_cm <= '1';
     115            if (config_started_cm = '1') then
     116              config_start_cm <= '0';
     117              state_generate <= CONFIG1;
     118            end if;
    101119          end if;
    102120        when CONFIG1 =>
    103121          if (config_ready_cm = '1') then
    104             config_started <= '0';
    105             config_start_cm <= '0';
    106122            config_start_mm <= '1';
    107123          end if;
    108124          if (config_started_mm = '1') then
     125            config_start_mm <= '0';
    109126            state_generate <= CONFIG2;
    110127          end if;
    111128        when CONFIG2 =>
    112129          if (config_ready_mm = '1') then
    113             config_start_mm <= '0';
    114130            config_start_spi <= '1';
    115131          end if;
    116132          if (config_started_spi = '1') then
     133            config_start_spi <= '0';
    117134            state_generate <= CONFIG3;
    118135          end if;
    119136        when CONFIG3 =>
    120137          if (config_ready_spi = '1') then
    121             config_start_spi <= '0';
     138            state_generate <= CONFIG4;
     139--            state_generate <= WRITE_DATA_IDLE;
     140          end if;
     141        -- configure DRS
     142        when CONFIG4 =>
     143          drs_channel_id <= DRS_WRITE_SHIFT_REG;
     144          drs_srin_data <= "10101010";
     145          drs_srin_write_8b <= '1';
     146          if (drs_srin_write_ack = '1') then
     147            drs_srin_write_8b <= '0';
     148            state_generate <= CONFIG5;
     149          end if;
     150        when CONFIG5 =>
     151          if (drs_srin_write_ready = '1') then
     152            roi_max_int <= roi_max;
    122153            state_generate <= WRITE_DATA_IDLE;
    123154          end if;
     155        -- end configure DRS
    124156
    125157        when WRITE_DATA_IDLE =>
     
    127159            state_generate <= CONFIG;
    128160          end if;
    129           if (ram_write_ea = '1' and (trigger_flag = '1' or s_trigger = '1')) then
     161--          if (ram_write_ea = '1' and (trigger_flag = '1' or s_trigger = '1')) then
     162          if (ram_write_ea = '1' and trigger_flag = '1') then
    130163            -- stop drs, dwrite low
    131164            drs_dwrite <= '0';
     
    248281        when WRITE_EXTERNAL_TRIGGER =>    -- external trigger ID
    249282          addr_out <= start_addr + conv_std_logic_vector(1, RAM_ADDR_WIDTH);
    250           data_out <= X"0000" & trigger_id(39 downto 32) & trigger_id(47 downto 40) & trigger_id(15 downto 0) & trigger_id(31 downto 16);
     283--          data_out <= X"0000" & trigger_id(39 downto 32) & trigger_id(47 downto 40) & trigger_id(15 downto 0) & trigger_id(31 downto 16);
     284          data_out <= X"0000" & trigger_id(39 downto 32) & trigger_id(47 downto 40) & evnt_cntr(15 downto 0) & evnt_cntr(31 downto 16);
    251285          state_generate <= WRITE_INTERNAL_TRIGGER;
    252286        when WRITE_INTERNAL_TRIGGER =>    -- internal trigger ID
     
    263297                                        state_generate <= WRITE_DATA_END_WAIT;
    264298                                when WRITE_DATA_END_WAIT =>
    265                                   state_generate <= WRITE_DATA_STOP;
     299          -- --
     300                                  if (ram_write_ready_ack = '1') then
     301                                    state_generate <= WRITE_DATA_STOP;
     302                                    -- --
     303            ram_write_ready <= '0';
     304            -- --
     305                                  end if;
     306                                  -- --
    266307                when WRITE_DATA_STOP =>
    267           drs_dwrite <= '1';
    268                                         data_cntr <= 0;
    269                                         addr_cntr <= 0;
    270                                         channel_id <= 0;
    271                                         ram_write_ready <= '0';
    272                                         state_generate <= WRITE_DATA_IDLE;
    273                                
     308                  -- --
     309                  if (ram_write_ready_ack = '0') then
     310                  -- --
     311            drs_dwrite <= '1';
     312                                          data_cntr <= 0;
     313                                          addr_cntr <= 0;
     314                                          channel_id <= 0;
     315                                          state_generate <= WRITE_DATA_IDLE;
     316                                        -- --
     317                                        end if;
     318                                  -- --
    274319                                when others =>
    275320                                        null;
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board.ucf

    r9912 r10073  
    2525NET RS485_C_DE LOC  = C5 | IOSTANDARD=LVCMOS33;         #ok
    2626NET RS485_C_RE LOC  = C6 | IOSTANDARD=LVCMOS33;         #ok
    27 #NET RS485_C_DO LOC  = C7 | IOSTANDARD=LVCMOS33;                #ok
    28 #NET RS485_C_DI LOC  = C8 | IOSTANDARD=LVCMOS33;                #ok
     27NET RS485_C_DO LOC  = C7 | IOSTANDARD=LVCMOS33;         #ok
     28NET RS485_C_DI LOC  = C8 | IOSTANDARD=LVCMOS33;         #ok
    2929
    3030NET RS485_E_DE LOC  = D20 | IOSTANDARD=LVCMOS33;                #ok
    3131NET RS485_E_RE LOC  = D21 | IOSTANDARD=LVCMOS33;                #ok
    32 #NET RS485_E_DO LOC  = D22 | IOSTANDARD=LVCMOS33;               #ok
    33 #NET RS485_E_DI LOC  = D23 | IOSTANDARD=LVCMOS33;               #ok
     32NET RS485_E_DO LOC  = D22 | IOSTANDARD=LVCMOS33;                #ok
     33NET RS485_E_DI LOC  = D23 | IOSTANDARD=LVCMOS33;                #ok
    3434
    3535
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 10:49:29 30.08.2010
     5--          at - 17:00:27 03.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    2323      D3_SROUT   : IN     std_logic;
    2424      D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0);
     25      RS485_C_DI : IN     std_logic;
     26      RS485_E_DI : IN     std_logic;
     27      RS485_E_DO : IN     std_logic;
    2528      TRG        : IN     STD_LOGIC;
    2629      W_INT      : IN     std_logic;
     
    4447      OE_ADC     : OUT    STD_LOGIC;
    4548      RS485_C_DE : OUT    std_logic;
     49      RS485_C_DO : OUT    std_logic;
    4650      RS485_C_RE : OUT    std_logic;
    4751      RS485_E_DE : OUT    std_logic;
    4852      RS485_E_RE : OUT    std_logic;
    4953      RSRLOAD    : OUT    std_logic                       := '0';
    50       SRIN       : OUT    std_logic;
     54      SRIN       : OUT    std_logic                       := '0';
    5155      S_CLK      : OUT    std_logic;
    5256      T0_CS      : OUT    std_logic;
     
    7377-- Created:
    7478--          by - dneise.UNKNOWN (E5B-LABOR6)
    75 --          at - 10:49:29 30.08.2010
     79--          at - 17:00:27 03.01.2011
    7680--
    7781-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    152156      RSRLOAD         : OUT    std_logic                     := '0';
    153157      SRCLK           : OUT    std_logic                     := '0';
     158      SRIN_out        : OUT    std_logic                     := '0';
    154159      adc_clk_en      : OUT    std_logic                     := '0';
    155160      adc_oeb         : OUT    std_logic                     := '1';
     
    220225   -- MISC 6     
    221226   TRG_V <= '0';
    222    RS485_C_RE <= '1';
     227   RS485_C_RE <= '0';
    223228   RS485_C_DE <= '0';   
    224    RS485_E_RE <= '1';     
     229   RS485_C_DO <= RS485_C_DI;
     230   
     231   RS485_E_RE <= '0';     
    225232   RS485_E_DE <= '0';
     233   --RS485_E_DO <= RS485_E_DI;
    226234   
    227235   -- DENABLE <= '0'; -- domino wave stopped
    228236   -- DENABLE <= '1'; -- domino wave running
    229237   
    230    SRIN <= '1';
     238   
    231239   EE_CS <= '1';
    232240   -- LEDs are low active
     
    305313         RSRLOAD         => RSRLOAD,
    306314         SRCLK           => SRCLK,
     315         SRIN_out        => SRIN,
    307316         adc_clk_en      => adc_clk_en,
    308317         adc_oeb         => OE_ADC,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 10:49:27 30.08.2010
     5--          at - 17:00:23 03.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    4444      RSRLOAD         : OUT    std_logic                     := '0';
    4545      SRCLK           : OUT    std_logic                     := '0';
     46      SRIN_out        : OUT    std_logic                     := '0';
    4647      adc_clk_en      : OUT    std_logic                     := '0';
    4748      adc_oeb         : OUT    std_logic                     := '1';
     
    7677-- Created:
    7778--          by - dneise.UNKNOWN (E5B-LABOR6)
    78 --          at - 10:49:28 30.08.2010
     79--          at - 17:00:24 03.01.2011
    7980--
    8081-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    113114   SIGNAL config_ready_cm        : std_logic;
    114115   SIGNAL config_ready_spi       : std_logic;
     116   -- --
     117   SIGNAL config_rw_ack          : std_logic                                    := '0';
     118   -- --
     119   SIGNAL config_rw_ready        : std_logic                                    := '0';
    115120   SIGNAL config_start           : std_logic                                    := '0';
    116121   SIGNAL config_start_cm        : std_logic;
     
    130135   SIGNAL drs_read_s_cell_ready  : std_logic;
    131136   SIGNAL drs_s_cell_array       : drs_s_cell_array_type;
     137   SIGNAL drs_srin_data          : std_logic_vector(7 DOWNTO 0)                 := (others => '0');
    132138   SIGNAL dwrite                 : std_logic                                    := '1';
    133139   SIGNAL dwrite_enable          : std_logic                                    := '1';
     
    142148   SIGNAL ram_write_ea           : std_logic;
    143149   SIGNAL ram_write_ready        : std_logic                                    := '0';
     150   -- --
     151   SIGNAL ram_write_ready_ack    : std_logic                                    := '0';
    144152   SIGNAL roi_array              : roi_array_type;
    145153   SIGNAL roi_max                : roi_max_type;
    146    SIGNAL s_trigger              : std_logic                                    := '0';
     154   SIGNAL s_trigger              : std_logic;
    147155   SIGNAL sclk1                  : std_logic;
    148156   SIGNAL sclk_enable            : std_logic;
     
    150158   SIGNAL sensor_ready           : std_logic;
    151159   SIGNAL srclk_enable           : std_logic                                    := '0';
     160   SIGNAL srin_write_ack         : std_logic                                    := '0';
     161   SIGNAL srin_write_ready       : std_logic                                    := '0';
     162   SIGNAL start_srin_write_8b    : std_logic;
    152163   SIGNAL trigger_id             : std_logic_vector(47 DOWNTO 0);
     164   SIGNAL trigger_out            : std_logic;
    153165   SIGNAL wiz_ack                : std_logic;
    154166   SIGNAL wiz_busy               : std_logic;
     
    207219      config_data_valid : OUT    std_logic ;
    208220      config_ready      : OUT    std_logic ;
     221      -- --
     222      config_rw_ack     : OUT    std_logic  := '0';
     223      -- --
     224      config_rw_ready   : OUT    std_logic  := '0';
    209225      config_started    : OUT    std_logic  := '0';
    210226      dac_array         : OUT    dac_array_type ;
    211       drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
    212       drs_address_mode  : OUT    std_logic ;
    213227      roi_array         : OUT    roi_array_type ;
    214228      config_data       : INOUT  std_logic_vector (15 DOWNTO 0)
     
    231245   );
    232246   PORT (
     247      --      led            : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
    233248      clk                   : IN     std_logic ;
    234249      data_out              : OUT    std_logic_vector (63 DOWNTO 0);
     
    238253      ram_write_ea          : IN     std_logic ;
    239254      ram_write_ready       : OUT    std_logic                     := '0';
     255      -- --
     256      ram_write_ready_ack   : IN     std_logic ;
     257      -- --
    240258      config_start_mm       : OUT    std_logic                     := '0';
     259      -- --
    241260      config_start_cm       : OUT    std_logic                     := '0';
     261      -- --
    242262      config_start_spi      : OUT    std_logic                     := '0';
    243263      config_ready_mm       : IN     std_logic ;
     
    257277      trigger_id            : IN     std_logic_vector (47 DOWNTO 0);
    258278      trigger               : IN     std_logic ;
    259       s_trigger             : IN     std_logic ;
     279      --      s_trigger      : in std_logic;
    260280      new_config            : IN     std_logic ;
    261281      config_started        : OUT    std_logic                     := '0';
     
    268288      drs_clk_en            : OUT    std_logic                     := '0';
    269289      drs_read_s_cell       : OUT    std_logic                     := '0';
     290      drs_srin_write_8b     : OUT    std_logic                     := '0';
     291      drs_srin_write_ack    : IN     std_logic ;
     292      drs_srin_data         : OUT    std_logic_vector (7 DOWNTO 0) := (others => '0');
     293      drs_srin_write_ready  : IN     std_logic ;
    270294      drs_read_s_cell_ready : IN     std_logic ;
    271295      drs_s_cell_array      : IN     drs_s_cell_array_type
     
    279303      SROUT_in_2               : IN     std_logic;
    280304      SROUT_in_3               : IN     std_logic;
     305      srin_data                : IN     std_logic_vector (7 DOWNTO 0);
    281306      start_endless_mode       : IN     std_logic;
    282307      start_read_stop_pos_mode : IN     std_logic;
     308      start_srin_write_8b      : IN     std_logic;
    283309      RSRLOAD                  : OUT    std_logic  := '0';
    284310      SRCLK                    : OUT    std_logic  := '0';
     311      SRIN_out                 : OUT    std_logic  := '0';
     312      srin_write_ack           : OUT    std_logic  := '0';
     313      srin_write_ready         : OUT    std_logic  := '0';
    285314      stop_pos                 : OUT    drs_s_cell_array_type;
    286315      stop_pos_valid           : OUT    std_logic  := '0'
     
    296325      config_start           : IN     std_logic ;
    297326      ram_write_ready        : IN     std_logic ;
     327      -- --
     328      ram_write_ready_ack    : OUT    std_logic                                        := '0';
     329      -- --
    298330      roi_array              : IN     roi_array_type ;
    299331      ram_write_ea           : OUT    std_logic                                        := '0';
     
    367399      config_wr_en      : OUT    std_logic                      := '0';
    368400      config_rd_en      : OUT    std_logic                      := '0';
     401      -- --
     402      config_rw_ack     : IN     std_logic ;
     403      -- --
     404      config_rw_ready   : IN     std_logic ;
     405      -- --
    369406      config_busy       : IN     std_logic ;
    370407      denable           : OUT    std_logic                      := '0';           -- default domino wave off
     
    429466      END CASE;
    430467   END PROCESS u_0combo_proc;
     468
     469   -- ModuleWare code(v1.9) for instance 'U_9' of 'or'
     470   trigger_out <= s_trigger OR trigger;
    431471
    432472   -- Instance port mappings.
     
    468508         config_data_valid => config_data_valid,
    469509         config_ready      => config_ready_cm,
     510         config_rw_ack     => config_rw_ack,
     511         config_rw_ready   => config_rw_ready,
    470512         config_started    => config_started_cu,
    471513         dac_array         => dac_array,
    472          drs_address       => drs_address,
    473          drs_address_mode  => drs_address_mode,
    474514         roi_array         => roi_array,
    475515         config_data       => config_data
     
    497537         ram_write_ea          => ram_write_ea,
    498538         ram_write_ready       => ram_write_ready,
     539         ram_write_ready_ack   => ram_write_ready_ack,
    499540         config_start_mm       => config_start,
    500541         config_start_cm       => config_start_cm,
     
    515556         crate_id              => crate_id,
    516557         trigger_id            => trigger_id,
    517          trigger               => trigger,
    518          s_trigger             => s_trigger,
     558         trigger               => trigger_out,
    519559         new_config            => new_config,
    520560         config_started        => config_started,
     
    527567         drs_clk_en            => drs_clk_en,
    528568         drs_read_s_cell       => drs_read_s_cell,
     569         drs_srin_write_8b     => start_srin_write_8b,
     570         drs_srin_write_ack    => srin_write_ack,
     571         drs_srin_data         => drs_srin_data,
     572         drs_srin_write_ready  => srin_write_ready,
    529573         drs_read_s_cell_ready => drs_read_s_cell_ready,
    530574         drs_s_cell_array      => drs_s_cell_array
     
    541585         stop_pos                 => drs_s_cell_array,
    542586         stop_pos_valid           => drs_read_s_cell_ready,
     587         start_srin_write_8b      => start_srin_write_8b,
     588         srin_write_ready         => srin_write_ready,
     589         srin_write_ack           => srin_write_ack,
     590         srin_data                => drs_srin_data,
     591         SRIN_out                 => SRIN_out,
    543592         RSRLOAD                  => RSRLOAD,
    544593         SRCLK                    => SRCLK1
     
    553602         config_start           => config_start,
    554603         ram_write_ready        => ram_write_ready,
     604         ram_write_ready_ack    => ram_write_ready_ack,
    555605         roi_array              => roi_array,
    556606         ram_write_ea           => ram_write_ea,
     
    587637      PORT MAP (
    588638         trigger_id => trigger_id,
    589          trigger    => trigger,
     639         trigger    => trigger_out,
    590640         clk        => CLK_25_PS_internal
    591641      );
     
    621671         config_wr_en      => config_wr_en,
    622672         config_rd_en      => config_rd_en,
     673         config_rw_ack     => config_rw_ack,
     674         config_rw_ready   => config_rw_ready,
    623675         config_busy       => config_busy,
    624676         denable           => denable,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/spi_interface_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 09:42:04 30.07.2010
     5--          at - 14:00:24 01.10.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    3838-- Created:
    3939--          by - dneise.UNKNOWN (E5B-LABOR6)
    40 --          at - 09:42:04 30.07.2010
     40--          at - 14:00:25 01.10.2010
    4141--
    4242-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/control_unit_struct.xrf

    r9912 r10073  
    3131DESIGN control_unit
    3232VIEW symbol.sb
    33 GRAPHIC 350,0 24 0
    34 DESIGN control_unit
    35 VIEW symbol.sb
    36 GRAPHIC 165,0 25 0
    37 DESIGN control_unit
    38 VIEW symbol.sb
    39 GRAPHIC 521,0 26 0
    40 DESIGN control_unit
    41 VIEW symbol.sb
    42 GRAPHIC 526,0 27 0
    43 DESIGN control_unit
    44 VIEW symbol.sb
    45 GRAPHIC 155,0 28 0
    46 DESIGN control_unit
    47 VIEW symbol.sb
    48 GRAPHIC 150,0 29 0
    49 DESIGN control_unit
    50 VIEW symbol.sb
    51 GRAPHIC 1,0 32 0
    52 DESIGN control_unit
    53 VIEW symbol.sb
    54 GRAPHIC 1,0 33 0
    55 DESIGN control_unit
    56 VIEW struct.bd
    57 NO_GRAPHIC 36
    58 DESIGN control_unit
    59 VIEW struct.bd
    60 GRAPHIC 41,0 45 0
    61 DESIGN control_unit
    62 VIEW struct.bd
    63 NO_GRAPHIC 50
    64 DESIGN control_unit
    65 VIEW struct.bd
    66 GRAPHIC 0,0 53 2
    67 DESIGN control_unit
    68 VIEW struct.bd
    69 GRAPHIC 345,0 58 0
    70 DESIGN control_unit
    71 VIEW struct.bd
    72 GRAPHIC 333,0 59 0
    73 DESIGN control_unit
    74 VIEW struct.bd
    75 GRAPHIC 349,0 60 0
    76 DESIGN control_unit
    77 VIEW struct.bd
    78 GRAPHIC 329,0 61 0
    79 DESIGN control_unit
    80 VIEW struct.bd
    81 NO_GRAPHIC 62
    82 DESIGN control_unit
    83 VIEW struct.bd
    84 NO_GRAPHIC 63
     33GRAPHIC 558,0 25 0
     34DESIGN control_unit
     35VIEW symbol.sb
     36GRAPHIC 564,0 27 0
     37DESIGN control_unit
     38VIEW symbol.sb
     39GRAPHIC 350,0 28 0
     40DESIGN control_unit
     41VIEW symbol.sb
     42GRAPHIC 165,0 29 0
     43DESIGN control_unit
     44VIEW symbol.sb
     45GRAPHIC 155,0 30 0
     46DESIGN control_unit
     47VIEW symbol.sb
     48GRAPHIC 150,0 31 0
     49DESIGN control_unit
     50VIEW symbol.sb
     51GRAPHIC 1,0 34 0
     52DESIGN control_unit
     53VIEW symbol.sb
     54GRAPHIC 1,0 35 0
     55DESIGN control_unit
     56VIEW struct.bd
     57NO_GRAPHIC 38
     58DESIGN control_unit
     59VIEW struct.bd
     60GRAPHIC 41,0 47 0
     61DESIGN control_unit
     62VIEW struct.bd
     63NO_GRAPHIC 52
     64DESIGN control_unit
     65VIEW struct.bd
     66GRAPHIC 0,0 55 2
     67DESIGN control_unit
     68VIEW struct.bd
     69GRAPHIC 345,0 60 0
     70DESIGN control_unit
     71VIEW struct.bd
     72GRAPHIC 333,0 61 0
     73DESIGN control_unit
     74VIEW struct.bd
     75GRAPHIC 349,0 62 0
     76DESIGN control_unit
     77VIEW struct.bd
     78GRAPHIC 329,0 63 0
     79DESIGN control_unit
     80VIEW struct.bd
     81NO_GRAPHIC 64
     82DESIGN control_unit
     83VIEW struct.bd
     84NO_GRAPHIC 65
    8585LIBRARY FACT_FAD_lib
    8686DESIGN control@r@a@m_16bit_x256
    8787VIEW control@r@a@m_16bit_x256_a
    88 GRAPHIC 993,0 65 0
    89 DESIGN control@r@a@m_16bit_x256
    90 VIEW control@r@a@m_16bit_x256_a
    91 GRAPHIC 48,0 67 0
    92 DESIGN control@r@a@m_16bit_x256
    93 VIEW control@r@a@m_16bit_x256_a
    94 GRAPHIC 53,0 68 0
    95 DESIGN control@r@a@m_16bit_x256
    96 VIEW control@r@a@m_16bit_x256_a
    97 GRAPHIC 58,0 69 0
    98 DESIGN control@r@a@m_16bit_x256
    99 VIEW control@r@a@m_16bit_x256_a
    100 GRAPHIC 63,0 70 0
    101 DESIGN control@r@a@m_16bit_x256
    102 VIEW control@r@a@m_16bit_x256_a
    103 GRAPHIC 68,0 71 0
    104 DESIGN control_unit
    105 VIEW struct.bd
    106 GRAPHIC 960,0 74 0
    107 DESIGN control_manager
    108 VIEW symbol.sb
    109 GRAPHIC 14,0 75 1
    110 DESIGN control_manager
    111 VIEW beha
    112 GRAPHIC 48,0 81 0
    113 DESIGN control_manager
    114 VIEW beha
    115 GRAPHIC 310,0 82 0
    116 DESIGN control_manager
    117 VIEW beha
    118 GRAPHIC 58,0 83 0
    119 DESIGN control_manager
    120 VIEW beha
    121 GRAPHIC 492,0 84 0
    122 DESIGN control_manager
    123 VIEW beha
    124 GRAPHIC 63,0 85 0
    125 DESIGN control_manager
    126 VIEW beha
    127 GRAPHIC 68,0 86 0
    128 DESIGN control_manager
    129 VIEW beha
    130 GRAPHIC 73,0 87 0
    131 DESIGN control_manager
    132 VIEW beha
    133 GRAPHIC 78,0 88 0
    134 DESIGN control_manager
    135 VIEW beha
    136 GRAPHIC 83,0 89 0
    137 DESIGN control_manager
    138 VIEW beha
    139 GRAPHIC 88,0 90 0
    140 DESIGN control_manager
    141 VIEW beha
    142 GRAPHIC 93,0 91 0
    143 DESIGN control_manager
    144 VIEW beha
    145 GRAPHIC 346,0 92 0
    146 DESIGN control_manager
    147 VIEW beha
    148 GRAPHIC 263,0 93 0
    149 DESIGN control_manager
    150 VIEW beha
    151 GRAPHIC 268,0 94 0
    152 DESIGN control_manager
    153 VIEW beha
    154 GRAPHIC 118,0 95 0
    155 DESIGN control_manager
    156 VIEW beha
    157 GRAPHIC 123,0 96 0
    158 DESIGN control_manager
    159 VIEW beha
    160 GRAPHIC 528,0 97 0
    161 DESIGN control_manager
    162 VIEW beha
    163 GRAPHIC 533,0 98 0
     88GRAPHIC 993,0 67 0
     89DESIGN control@r@a@m_16bit_x256
     90VIEW control@r@a@m_16bit_x256_a
     91GRAPHIC 48,0 69 0
     92DESIGN control@r@a@m_16bit_x256
     93VIEW control@r@a@m_16bit_x256_a
     94GRAPHIC 53,0 70 0
     95DESIGN control@r@a@m_16bit_x256
     96VIEW control@r@a@m_16bit_x256_a
     97GRAPHIC 58,0 71 0
     98DESIGN control@r@a@m_16bit_x256
     99VIEW control@r@a@m_16bit_x256_a
     100GRAPHIC 63,0 72 0
     101DESIGN control@r@a@m_16bit_x256
     102VIEW control@r@a@m_16bit_x256_a
     103GRAPHIC 68,0 73 0
     104DESIGN control_unit
     105VIEW struct.bd
     106GRAPHIC 960,0 76 0
     107DESIGN control_manager
     108VIEW symbol.sb
     109GRAPHIC 14,0 77 1
     110DESIGN control_manager
     111VIEW beha
     112GRAPHIC 48,0 83 0
     113DESIGN control_manager
     114VIEW beha
     115GRAPHIC 310,0 84 0
     116DESIGN control_manager
     117VIEW beha
     118GRAPHIC 58,0 85 0
     119DESIGN control_manager
     120VIEW beha
     121GRAPHIC 492,0 86 0
     122DESIGN control_manager
     123VIEW beha
     124GRAPHIC 63,0 87 0
     125DESIGN control_manager
     126VIEW beha
     127GRAPHIC 68,0 88 0
     128DESIGN control_manager
     129VIEW beha
     130GRAPHIC 73,0 89 0
     131DESIGN control_manager
     132VIEW beha
     133GRAPHIC 78,0 90 0
     134DESIGN control_manager
     135VIEW beha
     136GRAPHIC 83,0 91 0
     137DESIGN control_manager
     138VIEW beha
     139GRAPHIC 88,0 92 0
     140DESIGN control_manager
     141VIEW beha
     142GRAPHIC 93,0 93 0
     143DESIGN control_manager
     144VIEW beha
     145GRAPHIC 571,0 94 0
     146DESIGN control_manager
     147VIEW beha
     148GRAPHIC 576,0 96 0
     149DESIGN control_manager
     150VIEW beha
     151GRAPHIC 346,0 98 0
     152DESIGN control_manager
     153VIEW beha
     154GRAPHIC 263,0 100 0
     155DESIGN control_manager
     156VIEW beha
     157GRAPHIC 268,0 101 0
     158DESIGN control_manager
     159VIEW beha
     160GRAPHIC 118,0 102 0
     161DESIGN control_manager
     162VIEW beha
     163GRAPHIC 123,0 103 0
    164164LIBRARY FACT_FAD_lib
    165165DESIGN control_unit
    166166VIEW struct.bd
    167 NO_GRAPHIC 101
    168 DESIGN control_unit
    169 VIEW struct.bd
    170 GRAPHIC 993,0 104 0
    171 DESIGN control_unit
    172 VIEW struct.bd
    173 GRAPHIC 960,0 105 0
    174 DESIGN control_unit
    175 VIEW struct.bd
    176 NO_GRAPHIC 108
    177 DESIGN control_unit
    178 VIEW struct.bd
    179 NO_GRAPHIC 110
    180 DESIGN control_unit
    181 VIEW struct.bd
    182 GRAPHIC 993,0 112 0
    183 DESIGN control_unit
    184 VIEW struct.bd
    185 GRAPHIC 279,0 114 0
    186 DESIGN control_unit
    187 VIEW struct.bd
    188 GRAPHIC 237,0 115 0
    189 DESIGN control_unit
    190 VIEW struct.bd
    191 GRAPHIC 285,0 116 0
    192 DESIGN control_unit
    193 VIEW struct.bd
    194 GRAPHIC 233,0 117 0
    195 DESIGN control_unit
    196 VIEW struct.bd
    197 GRAPHIC 301,0 118 0
    198 DESIGN control_unit
    199 VIEW struct.bd
    200 GRAPHIC 960,0 120 0
    201 DESIGN control_unit
    202 VIEW struct.bd
    203 GRAPHIC 967,0 121 1
    204 DESIGN control_unit
    205 VIEW struct.bd
    206 GRAPHIC 241,0 127 0
    207 DESIGN control_unit
    208 VIEW struct.bd
    209 GRAPHIC 301,0 128 0
    210 DESIGN control_unit
    211 VIEW struct.bd
    212 GRAPHIC 321,0 129 0
    213 DESIGN control_unit
    214 VIEW struct.bd
    215 GRAPHIC 1084,0 130 0
    216 DESIGN control_unit
    217 VIEW struct.bd
    218 GRAPHIC 289,0 131 0
    219 DESIGN control_unit
    220 VIEW struct.bd
    221 GRAPHIC 267,0 132 0
    222 DESIGN control_unit
    223 VIEW struct.bd
    224 GRAPHIC 227,0 133 0
    225 DESIGN control_unit
    226 VIEW struct.bd
    227 GRAPHIC 295,0 134 0
    228 DESIGN control_unit
    229 VIEW struct.bd
    230 GRAPHIC 311,0 135 0
    231 DESIGN control_unit
    232 VIEW struct.bd
    233 GRAPHIC 255,0 136 0
    234 DESIGN control_unit
    235 VIEW struct.bd
    236 GRAPHIC 261,0 137 0
    237 DESIGN control_unit
    238 VIEW struct.bd
    239 GRAPHIC 285,0 138 0
    240 DESIGN control_unit
    241 VIEW struct.bd
    242 GRAPHIC 237,0 139 0
    243 DESIGN control_unit
    244 VIEW struct.bd
    245 GRAPHIC 233,0 140 0
    246 DESIGN control_unit
    247 VIEW struct.bd
    248 GRAPHIC 305,0 141 0
    249 DESIGN control_unit
    250 VIEW struct.bd
    251 GRAPHIC 273,0 142 0
    252 DESIGN control_unit
    253 VIEW struct.bd
    254 GRAPHIC 1208,0 143 0
    255 DESIGN control_unit
    256 VIEW struct.bd
    257 GRAPHIC 1222,0 144 0
    258 DESIGN control_unit
    259 VIEW struct.bd
    260 NO_GRAPHIC 147
     167NO_GRAPHIC 106
     168DESIGN control_unit
     169VIEW struct.bd
     170GRAPHIC 993,0 109 0
     171DESIGN control_unit
     172VIEW struct.bd
     173GRAPHIC 960,0 110 0
     174DESIGN control_unit
     175VIEW struct.bd
     176NO_GRAPHIC 113
     177DESIGN control_unit
     178VIEW struct.bd
     179NO_GRAPHIC 115
     180DESIGN control_unit
     181VIEW struct.bd
     182GRAPHIC 993,0 117 0
     183DESIGN control_unit
     184VIEW struct.bd
     185GRAPHIC 279,0 119 0
     186DESIGN control_unit
     187VIEW struct.bd
     188GRAPHIC 237,0 120 0
     189DESIGN control_unit
     190VIEW struct.bd
     191GRAPHIC 285,0 121 0
     192DESIGN control_unit
     193VIEW struct.bd
     194GRAPHIC 233,0 122 0
     195DESIGN control_unit
     196VIEW struct.bd
     197GRAPHIC 301,0 123 0
     198DESIGN control_unit
     199VIEW struct.bd
     200GRAPHIC 960,0 125 0
     201DESIGN control_unit
     202VIEW struct.bd
     203GRAPHIC 967,0 126 1
     204DESIGN control_unit
     205VIEW struct.bd
     206GRAPHIC 241,0 132 0
     207DESIGN control_unit
     208VIEW struct.bd
     209GRAPHIC 301,0 133 0
     210DESIGN control_unit
     211VIEW struct.bd
     212GRAPHIC 321,0 134 0
     213DESIGN control_unit
     214VIEW struct.bd
     215GRAPHIC 1084,0 135 0
     216DESIGN control_unit
     217VIEW struct.bd
     218GRAPHIC 289,0 136 0
     219DESIGN control_unit
     220VIEW struct.bd
     221GRAPHIC 267,0 137 0
     222DESIGN control_unit
     223VIEW struct.bd
     224GRAPHIC 227,0 138 0
     225DESIGN control_unit
     226VIEW struct.bd
     227GRAPHIC 295,0 139 0
     228DESIGN control_unit
     229VIEW struct.bd
     230GRAPHIC 311,0 140 0
     231DESIGN control_unit
     232VIEW struct.bd
     233GRAPHIC 255,0 141 0
     234DESIGN control_unit
     235VIEW struct.bd
     236GRAPHIC 261,0 142 0
     237DESIGN control_unit
     238VIEW struct.bd
     239GRAPHIC 1281,0 143 0
     240DESIGN control_unit
     241VIEW struct.bd
     242GRAPHIC 1295,0 144 0
     243DESIGN control_unit
     244VIEW struct.bd
     245GRAPHIC 285,0 145 0
     246DESIGN control_unit
     247VIEW struct.bd
     248GRAPHIC 237,0 146 0
     249DESIGN control_unit
     250VIEW struct.bd
     251GRAPHIC 233,0 147 0
     252DESIGN control_unit
     253VIEW struct.bd
     254GRAPHIC 305,0 148 0
     255DESIGN control_unit
     256VIEW struct.bd
     257GRAPHIC 273,0 149 0
     258DESIGN control_unit
     259VIEW struct.bd
     260NO_GRAPHIC 152
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_board_struct.xrf

    r9912 r10073  
    3737DESIGN @f@a@d_@board
    3838VIEW symbol.sb
    39 GRAPHIC 1121,0 24 0
    40 DESIGN @f@a@d_@board
    41 VIEW symbol.sb
    42 GRAPHIC 1421,0 25 0
    43 DESIGN @f@a@d_@board
    44 VIEW symbol.sb
    45 GRAPHIC 1116,0 26 0
    46 DESIGN @f@a@d_@board
    47 VIEW symbol.sb
    48 GRAPHIC 3456,0 27 0
    49 DESIGN @f@a@d_@board
    50 VIEW symbol.sb
    51 GRAPHIC 3026,0 28 0
    52 DESIGN @f@a@d_@board
    53 VIEW symbol.sb
    54 GRAPHIC 1126,0 29 0
    55 DESIGN @f@a@d_@board
    56 VIEW symbol.sb
    57 GRAPHIC 1227,0 30 0
    58 DESIGN @f@a@d_@board
    59 VIEW symbol.sb
    60 GRAPHIC 1232,0 31 0
    61 DESIGN @f@a@d_@board
    62 VIEW symbol.sb
    63 GRAPHIC 1237,0 32 0
    64 DESIGN @f@a@d_@board
    65 VIEW symbol.sb
    66 GRAPHIC 1242,0 33 0
    67 DESIGN @f@a@d_@board
    68 VIEW symbol.sb
    69 GRAPHIC 1363,0 34 0
    70 DESIGN @f@a@d_@board
    71 VIEW symbol.sb
    72 GRAPHIC 1701,0 35 0
    73 DESIGN @f@a@d_@board
    74 VIEW symbol.sb
    75 GRAPHIC 1308,0 36 0
    76 DESIGN @f@a@d_@board
    77 VIEW symbol.sb
    78 GRAPHIC 1302,0 37 0
    79 DESIGN @f@a@d_@board
    80 VIEW symbol.sb
    81 GRAPHIC 2068,0 38 0
    82 DESIGN @f@a@d_@board
    83 VIEW symbol.sb
    84 GRAPHIC 2949,0 39 0
    85 DESIGN @f@a@d_@board
    86 VIEW symbol.sb
    87 GRAPHIC 1711,0 40 0
    88 DESIGN @f@a@d_@board
    89 VIEW symbol.sb
    90 GRAPHIC 2375,0 41 0
    91 DESIGN @f@a@d_@board
    92 VIEW symbol.sb
    93 GRAPHIC 1620,0 42 0
    94 DESIGN @f@a@d_@board
    95 VIEW symbol.sb
    96 GRAPHIC 1166,0 43 0
    97 DESIGN @f@a@d_@board
    98 VIEW symbol.sb
    99 GRAPHIC 1686,0 44 0
    100 DESIGN @f@a@d_@board
    101 VIEW symbol.sb
    102 GRAPHIC 1681,0 45 0
    103 DESIGN @f@a@d_@board
    104 VIEW symbol.sb
    105 GRAPHIC 1696,0 46 0
    106 DESIGN @f@a@d_@board
    107 VIEW symbol.sb
    108 GRAPHIC 1691,0 47 0
    109 DESIGN @f@a@d_@board
    110 VIEW symbol.sb
    111 GRAPHIC 693,0 48 0
    112 DESIGN @f@a@d_@board
    113 VIEW symbol.sb
    114 GRAPHIC 1706,0 49 0
    115 DESIGN @f@a@d_@board
    116 VIEW symbol.sb
    117 GRAPHIC 1388,0 50 0
    118 DESIGN @f@a@d_@board
    119 VIEW symbol.sb
    120 GRAPHIC 1368,0 51 0
    121 DESIGN @f@a@d_@board
    122 VIEW symbol.sb
    123 GRAPHIC 1373,0 52 0
    124 DESIGN @f@a@d_@board
    125 VIEW symbol.sb
    126 GRAPHIC 1378,0 53 0
    127 DESIGN @f@a@d_@board
    128 VIEW symbol.sb
    129 GRAPHIC 1383,0 54 0
    130 DESIGN @f@a@d_@board
    131 VIEW symbol.sb
    132 GRAPHIC 1676,0 55 0
    133 DESIGN @f@a@d_@board
    134 VIEW symbol.sb
    135 GRAPHIC 1393,0 56 0
    136 DESIGN @f@a@d_@board
    137 VIEW symbol.sb
    138 GRAPHIC 1426,0 57 0
    139 DESIGN @f@a@d_@board
    140 VIEW symbol.sb
    141 GRAPHIC 1409,0 58 0
    142 DESIGN @f@a@d_@board
    143 VIEW symbol.sb
    144 GRAPHIC 1403,0 59 0
    145 DESIGN @f@a@d_@board
    146 VIEW symbol.sb
    147 GRAPHIC 1415,0 60 0
    148 DESIGN @f@a@d_@board
    149 VIEW symbol.sb
    150 GRAPHIC 1626,0 61 0
    151 DESIGN @f@a@d_@board
    152 VIEW symbol.sb
    153 GRAPHIC 1398,0 62 0
    154 DESIGN @f@a@d_@board
    155 VIEW symbol.sb
    156 GRAPHIC 1,0 65 0
    157 DESIGN @f@a@d_@board
    158 VIEW symbol.sb
    159 GRAPHIC 1,0 66 0
    160 DESIGN @f@a@d_@board
    161 VIEW struct.bd
    162 NO_GRAPHIC 69
    163 DESIGN @f@a@d_@board
    164 VIEW struct.bd
    165 GRAPHIC 41,0 78 0
    166 DESIGN @f@a@d_@board
    167 VIEW struct.bd
    168 NO_GRAPHIC 86
    169 DESIGN @f@a@d_@board
    170 VIEW struct.bd
    171 GRAPHIC 0,0 89 2
    172 DESIGN @f@a@d_@board
    173 VIEW struct.bd
    174 GRAPHIC 10504,0 94 0
    175 DESIGN @f@a@d_@board
    176 VIEW struct.bd
    177 GRAPHIC 10512,0 95 0
    178 DESIGN @f@a@d_@board
    179 VIEW struct.bd
    180 GRAPHIC 10496,0 96 0
    181 DESIGN @f@a@d_@board
    182 VIEW struct.bd
    183 GRAPHIC 3268,0 97 0
    184 DESIGN @f@a@d_@board
    185 VIEW struct.bd
    186 GRAPHIC 10032,0 98 0
    187 DESIGN @f@a@d_@board
    188 VIEW struct.bd
    189 GRAPHIC 9500,0 99 0
    190 DESIGN @f@a@d_@board
    191 VIEW struct.bd
    192 GRAPHIC 10552,0 100 0
    193 DESIGN @f@a@d_@board
    194 VIEW struct.bd
    195 GRAPHIC 10592,0 101 0
    196 DESIGN @f@a@d_@board
    197 VIEW struct.bd
    198 GRAPHIC 10584,0 102 0
    199 DESIGN @f@a@d_@board
    200 VIEW struct.bd
    201 GRAPHIC 10576,0 103 0
    202 DESIGN @f@a@d_@board
    203 VIEW struct.bd
    204 GRAPHIC 10536,0 104 0
    205 DESIGN @f@a@d_@board
    206 VIEW struct.bd
    207 GRAPHIC 10544,0 105 0
    208 DESIGN @f@a@d_@board
    209 VIEW struct.bd
    210 GRAPHIC 10520,0 106 0
    211 DESIGN @f@a@d_@board
    212 VIEW struct.bd
    213 GRAPHIC 10528,0 107 0
    214 DESIGN @f@a@d_@board
    215 VIEW struct.bd
    216 GRAPHIC 2421,0 108 0
    217 DESIGN @f@a@d_@board
    218 VIEW struct.bd
    219 GRAPHIC 10050,0 109 0
    220 DESIGN @f@a@d_@board
    221 VIEW struct.bd
    222 GRAPHIC 1465,0 110 0
    223 DESIGN @f@a@d_@board
    224 VIEW struct.bd
    225 GRAPHIC 275,0 111 0
    226 DESIGN @f@a@d_@board
    227 VIEW struct.bd
    228 GRAPHIC 283,0 112 0
    229 DESIGN @f@a@d_@board
    230 VIEW struct.bd
    231 GRAPHIC 8851,0 113 0
    232 DESIGN @f@a@d_@board
    233 VIEW struct.bd
    234 GRAPHIC 7485,0 114 0
    235 DESIGN @f@a@d_@board
    236 VIEW struct.bd
    237 GRAPHIC 10560,0 115 0
    238 DESIGN @f@a@d_@board
    239 VIEW struct.bd
    240 GRAPHIC 3019,0 116 0
    241 DESIGN @f@a@d_@board
    242 VIEW struct.bd
    243 GRAPHIC 10568,0 118 0
    244 DESIGN @f@a@d_@board
    245 VIEW struct.bd
    246 NO_GRAPHIC 119
    247 DESIGN @f@a@d_@board
    248 VIEW struct.bd
    249 NO_GRAPHIC 120
     39GRAPHIC 3581,0 24 0
     40DESIGN @f@a@d_@board
     41VIEW symbol.sb
     42GRAPHIC 3687,0 25 0
     43DESIGN @f@a@d_@board
     44VIEW symbol.sb
     45GRAPHIC 3692,0 26 0
     46DESIGN @f@a@d_@board
     47VIEW symbol.sb
     48GRAPHIC 1121,0 27 0
     49DESIGN @f@a@d_@board
     50VIEW symbol.sb
     51GRAPHIC 1421,0 28 0
     52DESIGN @f@a@d_@board
     53VIEW symbol.sb
     54GRAPHIC 1116,0 29 0
     55DESIGN @f@a@d_@board
     56VIEW symbol.sb
     57GRAPHIC 3456,0 30 0
     58DESIGN @f@a@d_@board
     59VIEW symbol.sb
     60GRAPHIC 3026,0 31 0
     61DESIGN @f@a@d_@board
     62VIEW symbol.sb
     63GRAPHIC 1126,0 32 0
     64DESIGN @f@a@d_@board
     65VIEW symbol.sb
     66GRAPHIC 1227,0 33 0
     67DESIGN @f@a@d_@board
     68VIEW symbol.sb
     69GRAPHIC 1232,0 34 0
     70DESIGN @f@a@d_@board
     71VIEW symbol.sb
     72GRAPHIC 1237,0 35 0
     73DESIGN @f@a@d_@board
     74VIEW symbol.sb
     75GRAPHIC 1242,0 36 0
     76DESIGN @f@a@d_@board
     77VIEW symbol.sb
     78GRAPHIC 1363,0 37 0
     79DESIGN @f@a@d_@board
     80VIEW symbol.sb
     81GRAPHIC 1701,0 38 0
     82DESIGN @f@a@d_@board
     83VIEW symbol.sb
     84GRAPHIC 1308,0 39 0
     85DESIGN @f@a@d_@board
     86VIEW symbol.sb
     87GRAPHIC 1302,0 40 0
     88DESIGN @f@a@d_@board
     89VIEW symbol.sb
     90GRAPHIC 2068,0 41 0
     91DESIGN @f@a@d_@board
     92VIEW symbol.sb
     93GRAPHIC 2949,0 42 0
     94DESIGN @f@a@d_@board
     95VIEW symbol.sb
     96GRAPHIC 1711,0 43 0
     97DESIGN @f@a@d_@board
     98VIEW symbol.sb
     99GRAPHIC 2375,0 44 0
     100DESIGN @f@a@d_@board
     101VIEW symbol.sb
     102GRAPHIC 1620,0 45 0
     103DESIGN @f@a@d_@board
     104VIEW symbol.sb
     105GRAPHIC 1166,0 46 0
     106DESIGN @f@a@d_@board
     107VIEW symbol.sb
     108GRAPHIC 1686,0 47 0
     109DESIGN @f@a@d_@board
     110VIEW symbol.sb
     111GRAPHIC 3586,0 48 0
     112DESIGN @f@a@d_@board
     113VIEW symbol.sb
     114GRAPHIC 1681,0 49 0
     115DESIGN @f@a@d_@board
     116VIEW symbol.sb
     117GRAPHIC 1696,0 50 0
     118DESIGN @f@a@d_@board
     119VIEW symbol.sb
     120GRAPHIC 1691,0 51 0
     121DESIGN @f@a@d_@board
     122VIEW symbol.sb
     123GRAPHIC 693,0 52 0
     124DESIGN @f@a@d_@board
     125VIEW symbol.sb
     126GRAPHIC 1706,0 53 0
     127DESIGN @f@a@d_@board
     128VIEW symbol.sb
     129GRAPHIC 1388,0 54 0
     130DESIGN @f@a@d_@board
     131VIEW symbol.sb
     132GRAPHIC 1368,0 55 0
     133DESIGN @f@a@d_@board
     134VIEW symbol.sb
     135GRAPHIC 1373,0 56 0
     136DESIGN @f@a@d_@board
     137VIEW symbol.sb
     138GRAPHIC 1378,0 57 0
     139DESIGN @f@a@d_@board
     140VIEW symbol.sb
     141GRAPHIC 1383,0 58 0
     142DESIGN @f@a@d_@board
     143VIEW symbol.sb
     144GRAPHIC 1676,0 59 0
     145DESIGN @f@a@d_@board
     146VIEW symbol.sb
     147GRAPHIC 1393,0 60 0
     148DESIGN @f@a@d_@board
     149VIEW symbol.sb
     150GRAPHIC 1426,0 61 0
     151DESIGN @f@a@d_@board
     152VIEW symbol.sb
     153GRAPHIC 1409,0 62 0
     154DESIGN @f@a@d_@board
     155VIEW symbol.sb
     156GRAPHIC 1403,0 63 0
     157DESIGN @f@a@d_@board
     158VIEW symbol.sb
     159GRAPHIC 1415,0 64 0
     160DESIGN @f@a@d_@board
     161VIEW symbol.sb
     162GRAPHIC 1626,0 65 0
     163DESIGN @f@a@d_@board
     164VIEW symbol.sb
     165GRAPHIC 1398,0 66 0
     166DESIGN @f@a@d_@board
     167VIEW symbol.sb
     168GRAPHIC 1,0 69 0
     169DESIGN @f@a@d_@board
     170VIEW symbol.sb
     171GRAPHIC 1,0 70 0
     172DESIGN @f@a@d_@board
     173VIEW struct.bd
     174NO_GRAPHIC 73
     175DESIGN @f@a@d_@board
     176VIEW struct.bd
     177GRAPHIC 41,0 82 0
     178DESIGN @f@a@d_@board
     179VIEW struct.bd
     180NO_GRAPHIC 90
     181DESIGN @f@a@d_@board
     182VIEW struct.bd
     183GRAPHIC 0,0 93 2
     184DESIGN @f@a@d_@board
     185VIEW struct.bd
     186GRAPHIC 10504,0 98 0
     187DESIGN @f@a@d_@board
     188VIEW struct.bd
     189GRAPHIC 10512,0 99 0
     190DESIGN @f@a@d_@board
     191VIEW struct.bd
     192GRAPHIC 10496,0 100 0
     193DESIGN @f@a@d_@board
     194VIEW struct.bd
     195GRAPHIC 3268,0 101 0
     196DESIGN @f@a@d_@board
     197VIEW struct.bd
     198GRAPHIC 10032,0 102 0
     199DESIGN @f@a@d_@board
     200VIEW struct.bd
     201GRAPHIC 9500,0 103 0
     202DESIGN @f@a@d_@board
     203VIEW struct.bd
     204GRAPHIC 10552,0 104 0
     205DESIGN @f@a@d_@board
     206VIEW struct.bd
     207GRAPHIC 10592,0 105 0
     208DESIGN @f@a@d_@board
     209VIEW struct.bd
     210GRAPHIC 10584,0 106 0
     211DESIGN @f@a@d_@board
     212VIEW struct.bd
     213GRAPHIC 10576,0 107 0
     214DESIGN @f@a@d_@board
     215VIEW struct.bd
     216GRAPHIC 10536,0 108 0
     217DESIGN @f@a@d_@board
     218VIEW struct.bd
     219GRAPHIC 10544,0 109 0
     220DESIGN @f@a@d_@board
     221VIEW struct.bd
     222GRAPHIC 10520,0 110 0
     223DESIGN @f@a@d_@board
     224VIEW struct.bd
     225GRAPHIC 10528,0 111 0
     226DESIGN @f@a@d_@board
     227VIEW struct.bd
     228GRAPHIC 2421,0 112 0
     229DESIGN @f@a@d_@board
     230VIEW struct.bd
     231GRAPHIC 10050,0 113 0
     232DESIGN @f@a@d_@board
     233VIEW struct.bd
     234GRAPHIC 1465,0 114 0
     235DESIGN @f@a@d_@board
     236VIEW struct.bd
     237GRAPHIC 275,0 115 0
     238DESIGN @f@a@d_@board
     239VIEW struct.bd
     240GRAPHIC 283,0 116 0
     241DESIGN @f@a@d_@board
     242VIEW struct.bd
     243GRAPHIC 8851,0 117 0
     244DESIGN @f@a@d_@board
     245VIEW struct.bd
     246GRAPHIC 7485,0 118 0
     247DESIGN @f@a@d_@board
     248VIEW struct.bd
     249GRAPHIC 10560,0 119 0
     250DESIGN @f@a@d_@board
     251VIEW struct.bd
     252GRAPHIC 3019,0 120 0
     253DESIGN @f@a@d_@board
     254VIEW struct.bd
     255GRAPHIC 10568,0 122 0
     256DESIGN @f@a@d_@board
     257VIEW struct.bd
     258NO_GRAPHIC 123
     259DESIGN @f@a@d_@board
     260VIEW struct.bd
     261NO_GRAPHIC 124
    250262LIBRARY FACT_FAD_lib
    251263DESIGN @f@a@d_main
    252264VIEW struct
    253 GRAPHIC 169,0 122 0
    254 DESIGN @f@a@d_main
    255 VIEW symbol.sb
    256 GRAPHIC 14,0 123 1
    257 DESIGN @f@a@d_main
    258 VIEW symbol.sb
    259 GRAPHIC 1755,0 127 0
    260 DESIGN @f@a@d_main
    261 VIEW symbol.sb
    262 GRAPHIC 2710,0 128 0
    263 DESIGN @f@a@d_main
    264 VIEW symbol.sb
    265 GRAPHIC 2715,0 129 0
    266 DESIGN @f@a@d_main
    267 VIEW symbol.sb
    268 GRAPHIC 2720,0 130 0
    269 DESIGN @f@a@d_main
    270 VIEW symbol.sb
    271 GRAPHIC 2725,0 131 0
    272 DESIGN @f@a@d_main
    273 VIEW symbol.sb
    274 GRAPHIC 2282,0 132 0
    275 DESIGN @f@a@d_main
    276 VIEW symbol.sb
    277 GRAPHIC 1976,0 133 0
    278 DESIGN @f@a@d_main
    279 VIEW symbol.sb
    280 GRAPHIC 923,0 134 0
    281 DESIGN @f@a@d_main
    282 VIEW symbol.sb
    283 GRAPHIC 928,0 135 0
    284 DESIGN @f@a@d_main
    285 VIEW symbol.sb
    286 GRAPHIC 464,0 136 0
    287 DESIGN @f@a@d_main
    288 VIEW symbol.sb
    289 GRAPHIC 1062,0 137 0
    290 DESIGN @f@a@d_main
    291 VIEW symbol.sb
    292 GRAPHIC 4584,0 138 0
    293 DESIGN @f@a@d_main
    294 VIEW symbol.sb
    295 GRAPHIC 4589,0 139 0
    296 DESIGN @f@a@d_main
    297 VIEW symbol.sb
    298 GRAPHIC 4579,0 140 0
    299 DESIGN @f@a@d_main
    300 VIEW symbol.sb
    301 GRAPHIC 1389,0 141 0
    302 DESIGN @f@a@d_main
    303 VIEW symbol.sb
    304 GRAPHIC 1725,0 142 0
    305 DESIGN @f@a@d_main
    306 VIEW symbol.sb
    307 GRAPHIC 4497,0 143 0
    308 DESIGN @f@a@d_main
    309 VIEW symbol.sb
    310 GRAPHIC 4467,0 144 0
    311 DESIGN @f@a@d_main
    312 VIEW symbol.sb
    313 GRAPHIC 4487,0 145 0
    314 DESIGN @f@a@d_main
    315 VIEW symbol.sb
    316 GRAPHIC 4472,0 146 0
    317 DESIGN @f@a@d_main
    318 VIEW symbol.sb
    319 GRAPHIC 4482,0 147 0
    320 DESIGN @f@a@d_main
    321 VIEW symbol.sb
    322 GRAPHIC 4477,0 148 0
    323 DESIGN @f@a@d_main
    324 VIEW symbol.sb
    325 GRAPHIC 4517,0 149 0
    326 DESIGN @f@a@d_main
    327 VIEW symbol.sb
    328 GRAPHIC 4522,0 150 0
    329 DESIGN @f@a@d_main
    330 VIEW symbol.sb
    331 GRAPHIC 2987,0 151 0
    332 DESIGN @f@a@d_main
    333 VIEW symbol.sb
    334 GRAPHIC 2992,0 152 0
    335 DESIGN @f@a@d_main
    336 VIEW symbol.sb
    337 GRAPHIC 4323,0 153 0
    338 DESIGN @f@a@d_main
    339 VIEW symbol.sb
    340 GRAPHIC 833,0 154 0
    341 DESIGN @f@a@d_main
    342 VIEW symbol.sb
    343 GRAPHIC 3641,0 155 0
    344 DESIGN @f@a@d_main
    345 VIEW symbol.sb
    346 GRAPHIC 4144,0 156 0
    347 DESIGN @f@a@d_main
    348 VIEW symbol.sb
    349 GRAPHIC 2448,0 157 0
    350 DESIGN @f@a@d_main
    351 VIEW symbol.sb
    352 GRAPHIC 2453,0 158 0
    353 DESIGN @f@a@d_main
    354 VIEW symbol.sb
    355 GRAPHIC 163,0 159 0
    356 DESIGN @f@a@d_main
    357 VIEW symbol.sb
    358 GRAPHIC 4067,0 160 0
    359 DESIGN @f@a@d_main
    360 VIEW symbol.sb
    361 GRAPHIC 4502,0 161 0
    362 DESIGN @f@a@d_main
    363 VIEW symbol.sb
    364 GRAPHIC 4512,0 162 0
    365 DESIGN @f@a@d_main
    366 VIEW symbol.sb
    367 GRAPHIC 3631,0 163 0
    368 DESIGN @f@a@d_main
    369 VIEW symbol.sb
    370 GRAPHIC 3646,0 164 0
    371 DESIGN @f@a@d_main
    372 VIEW symbol.sb
    373 GRAPHIC 4507,0 165 0
    374 DESIGN @f@a@d_main
    375 VIEW symbol.sb
    376 GRAPHIC 1037,0 167 0
    377 DESIGN @f@a@d_main
    378 VIEW symbol.sb
    379 GRAPHIC 1047,0 168 0
    380 DESIGN @f@a@d_main
    381 VIEW symbol.sb
    382 GRAPHIC 1057,0 169 0
    383 DESIGN @f@a@d_main
    384 VIEW symbol.sb
    385 GRAPHIC 135,0 170 0
    386 DESIGN @f@a@d_main
    387 VIEW symbol.sb
    388 GRAPHIC 1052,0 171 0
    389 DESIGN @f@a@d_main
    390 VIEW symbol.sb
    391 GRAPHIC 3636,0 172 0
    392 DESIGN @f@a@d_main
    393 VIEW symbol.sb
    394 GRAPHIC 1042,0 173 0
     265GRAPHIC 169,0 126 0
     266DESIGN @f@a@d_main
     267VIEW symbol.sb
     268GRAPHIC 14,0 127 1
     269DESIGN @f@a@d_main
     270VIEW symbol.sb
     271GRAPHIC 1755,0 131 0
     272DESIGN @f@a@d_main
     273VIEW symbol.sb
     274GRAPHIC 2710,0 132 0
     275DESIGN @f@a@d_main
     276VIEW symbol.sb
     277GRAPHIC 2715,0 133 0
     278DESIGN @f@a@d_main
     279VIEW symbol.sb
     280GRAPHIC 2720,0 134 0
     281DESIGN @f@a@d_main
     282VIEW symbol.sb
     283GRAPHIC 2725,0 135 0
     284DESIGN @f@a@d_main
     285VIEW symbol.sb
     286GRAPHIC 2282,0 136 0
     287DESIGN @f@a@d_main
     288VIEW symbol.sb
     289GRAPHIC 1976,0 137 0
     290DESIGN @f@a@d_main
     291VIEW symbol.sb
     292GRAPHIC 923,0 138 0
     293DESIGN @f@a@d_main
     294VIEW symbol.sb
     295GRAPHIC 928,0 139 0
     296DESIGN @f@a@d_main
     297VIEW symbol.sb
     298GRAPHIC 464,0 140 0
     299DESIGN @f@a@d_main
     300VIEW symbol.sb
     301GRAPHIC 1062,0 141 0
     302DESIGN @f@a@d_main
     303VIEW symbol.sb
     304GRAPHIC 4584,0 142 0
     305DESIGN @f@a@d_main
     306VIEW symbol.sb
     307GRAPHIC 4589,0 143 0
     308DESIGN @f@a@d_main
     309VIEW symbol.sb
     310GRAPHIC 4579,0 144 0
     311DESIGN @f@a@d_main
     312VIEW symbol.sb
     313GRAPHIC 1389,0 145 0
     314DESIGN @f@a@d_main
     315VIEW symbol.sb
     316GRAPHIC 1725,0 146 0
     317DESIGN @f@a@d_main
     318VIEW symbol.sb
     319GRAPHIC 4497,0 147 0
     320DESIGN @f@a@d_main
     321VIEW symbol.sb
     322GRAPHIC 4467,0 148 0
     323DESIGN @f@a@d_main
     324VIEW symbol.sb
     325GRAPHIC 4487,0 149 0
     326DESIGN @f@a@d_main
     327VIEW symbol.sb
     328GRAPHIC 4472,0 150 0
     329DESIGN @f@a@d_main
     330VIEW symbol.sb
     331GRAPHIC 4482,0 151 0
     332DESIGN @f@a@d_main
     333VIEW symbol.sb
     334GRAPHIC 4477,0 152 0
     335DESIGN @f@a@d_main
     336VIEW symbol.sb
     337GRAPHIC 4517,0 153 0
     338DESIGN @f@a@d_main
     339VIEW symbol.sb
     340GRAPHIC 4522,0 154 0
     341DESIGN @f@a@d_main
     342VIEW symbol.sb
     343GRAPHIC 2987,0 155 0
     344DESIGN @f@a@d_main
     345VIEW symbol.sb
     346GRAPHIC 2992,0 156 0
     347DESIGN @f@a@d_main
     348VIEW symbol.sb
     349GRAPHIC 4780,0 157 0
     350DESIGN @f@a@d_main
     351VIEW symbol.sb
     352GRAPHIC 4323,0 158 0
     353DESIGN @f@a@d_main
     354VIEW symbol.sb
     355GRAPHIC 833,0 159 0
     356DESIGN @f@a@d_main
     357VIEW symbol.sb
     358GRAPHIC 3641,0 160 0
     359DESIGN @f@a@d_main
     360VIEW symbol.sb
     361GRAPHIC 4144,0 161 0
     362DESIGN @f@a@d_main
     363VIEW symbol.sb
     364GRAPHIC 2448,0 162 0
     365DESIGN @f@a@d_main
     366VIEW symbol.sb
     367GRAPHIC 2453,0 163 0
     368DESIGN @f@a@d_main
     369VIEW symbol.sb
     370GRAPHIC 163,0 164 0
     371DESIGN @f@a@d_main
     372VIEW symbol.sb
     373GRAPHIC 4067,0 165 0
     374DESIGN @f@a@d_main
     375VIEW symbol.sb
     376GRAPHIC 4502,0 166 0
     377DESIGN @f@a@d_main
     378VIEW symbol.sb
     379GRAPHIC 4512,0 167 0
     380DESIGN @f@a@d_main
     381VIEW symbol.sb
     382GRAPHIC 3631,0 168 0
     383DESIGN @f@a@d_main
     384VIEW symbol.sb
     385GRAPHIC 3646,0 169 0
     386DESIGN @f@a@d_main
     387VIEW symbol.sb
     388GRAPHIC 4507,0 170 0
     389DESIGN @f@a@d_main
     390VIEW symbol.sb
     391GRAPHIC 1037,0 172 0
     392DESIGN @f@a@d_main
     393VIEW symbol.sb
     394GRAPHIC 1047,0 173 0
     395DESIGN @f@a@d_main
     396VIEW symbol.sb
     397GRAPHIC 1057,0 174 0
     398DESIGN @f@a@d_main
     399VIEW symbol.sb
     400GRAPHIC 135,0 175 0
     401DESIGN @f@a@d_main
     402VIEW symbol.sb
     403GRAPHIC 1052,0 176 0
     404DESIGN @f@a@d_main
     405VIEW symbol.sb
     406GRAPHIC 3636,0 177 0
     407DESIGN @f@a@d_main
     408VIEW symbol.sb
     409GRAPHIC 1042,0 178 0
    395410LIBRARY FACT_FAD_lib
    396411DESIGN @f@a@d_@board
    397412VIEW struct.bd
    398 NO_GRAPHIC 176
    399 DESIGN @f@a@d_@board
    400 VIEW struct.bd
    401 GRAPHIC 169,0 179 0
    402 DESIGN @f@a@d_@board
    403 VIEW struct.bd
    404 NO_GRAPHIC 182
    405 DESIGN @f@a@d_@board
    406 VIEW struct.bd
    407 GRAPHIC 265,0 185 0
    408 DESIGN @f@a@d_@board
    409 VIEW struct.bd
    410 NO_GRAPHIC 189
    411 DESIGN @f@a@d_@board
    412 VIEW struct.bd
    413 GRAPHIC 3248,0 190 0
    414 DESIGN @f@a@d_@board
    415 VIEW struct.bd
    416 NO_GRAPHIC 196
    417 DESIGN @f@a@d_@board
    418 VIEW struct.bd
    419 GRAPHIC 3300,0 197 0
    420 DESIGN @f@a@d_@board
    421 VIEW struct.bd
    422 NO_GRAPHIC 203
    423 DESIGN @f@a@d_@board
    424 VIEW struct.bd
    425 GRAPHIC 3394,0 204 0
    426 DESIGN @f@a@d_@board
    427 VIEW struct.bd
    428 NO_GRAPHIC 210
    429 DESIGN @f@a@d_@board
    430 VIEW struct.bd
    431 GRAPHIC 3542,0 211 0
    432 DESIGN @f@a@d_@board
    433 VIEW struct.bd
    434 NO_GRAPHIC 217
    435 DESIGN @f@a@d_@board
    436 VIEW struct.bd
    437 GRAPHIC 3700,0 218 0
    438 DESIGN @f@a@d_@board
    439 VIEW struct.bd
    440 NO_GRAPHIC 238
    441 DESIGN @f@a@d_@board
    442 VIEW struct.bd
    443 GRAPHIC 6888,0 239 0
    444 DESIGN @f@a@d_@board
    445 VIEW struct.bd
    446 NO_GRAPHIC 241
    447 DESIGN @f@a@d_@board
    448 VIEW struct.bd
    449 GRAPHIC 7092,0 242 0
    450 DESIGN @f@a@d_@board
    451 VIEW struct.bd
    452 NO_GRAPHIC 245
    453 DESIGN @f@a@d_@board
    454 VIEW struct.bd
    455 GRAPHIC 10310,0 246 0
    456 DESIGN @f@a@d_@board
    457 VIEW struct.bd
    458 NO_GRAPHIC 266
    459 DESIGN @f@a@d_@board
    460 VIEW struct.bd
    461 GRAPHIC 10023,0 267 0
    462 DESIGN @f@a@d_@board
    463 VIEW struct.bd
    464 GRAPHIC 7652,0 270 0
    465 DESIGN @f@a@d_@board
    466 VIEW struct.bd
    467 NO_GRAPHIC 273
    468 DESIGN @f@a@d_@board
    469 VIEW struct.bd
    470 GRAPHIC 169,0 275 0
    471 DESIGN @f@a@d_@board
    472 VIEW struct.bd
    473 GRAPHIC 176,0 276 1
    474 DESIGN @f@a@d_@board
    475 VIEW struct.bd
    476 GRAPHIC 245,0 280 0
    477 DESIGN @f@a@d_@board
    478 VIEW struct.bd
    479 GRAPHIC 1865,0 281 0
    480 DESIGN @f@a@d_@board
    481 VIEW struct.bd
    482 GRAPHIC 1873,0 282 0
    483 DESIGN @f@a@d_@board
    484 VIEW struct.bd
    485 GRAPHIC 1881,0 283 0
    486 DESIGN @f@a@d_@board
    487 VIEW struct.bd
    488 GRAPHIC 1889,0 284 0
    489 DESIGN @f@a@d_@board
    490 VIEW struct.bd
    491 GRAPHIC 1467,0 285 0
    492 DESIGN @f@a@d_@board
    493 VIEW struct.bd
    494 GRAPHIC 1730,0 286 0
    495 DESIGN @f@a@d_@board
    496 VIEW struct.bd
    497 GRAPHIC 277,0 287 0
    498 DESIGN @f@a@d_@board
    499 VIEW struct.bd
    500 GRAPHIC 285,0 288 0
    501 DESIGN @f@a@d_@board
    502 VIEW struct.bd
    503 GRAPHIC 3218,0 289 0
    504 DESIGN @f@a@d_@board
    505 VIEW struct.bd
    506 GRAPHIC 450,0 290 0
    507 DESIGN @f@a@d_@board
    508 VIEW struct.bd
    509 GRAPHIC 10506,0 291 0
    510 DESIGN @f@a@d_@board
    511 VIEW struct.bd
    512 GRAPHIC 10514,0 292 0
    513 DESIGN @f@a@d_@board
    514 VIEW struct.bd
    515 GRAPHIC 10498,0 293 0
    516 DESIGN @f@a@d_@board
    517 VIEW struct.bd
    518 GRAPHIC 10034,0 294 0
    519 DESIGN @f@a@d_@board
    520 VIEW struct.bd
    521 GRAPHIC 9502,0 295 0
    522 DESIGN @f@a@d_@board
    523 VIEW struct.bd
    524 GRAPHIC 10554,0 296 0
    525 DESIGN @f@a@d_@board
    526 VIEW struct.bd
    527 GRAPHIC 10594,0 297 0
    528 DESIGN @f@a@d_@board
    529 VIEW struct.bd
    530 GRAPHIC 10586,0 298 0
    531 DESIGN @f@a@d_@board
    532 VIEW struct.bd
    533 GRAPHIC 10578,0 299 0
    534 DESIGN @f@a@d_@board
    535 VIEW struct.bd
    536 GRAPHIC 10538,0 300 0
    537 DESIGN @f@a@d_@board
    538 VIEW struct.bd
    539 GRAPHIC 10546,0 301 0
    540 DESIGN @f@a@d_@board
    541 VIEW struct.bd
    542 GRAPHIC 10522,0 302 0
    543 DESIGN @f@a@d_@board
    544 VIEW struct.bd
    545 GRAPHIC 10530,0 303 0
    546 DESIGN @f@a@d_@board
    547 VIEW struct.bd
    548 GRAPHIC 2409,0 304 0
    549 DESIGN @f@a@d_@board
    550 VIEW struct.bd
    551 GRAPHIC 2423,0 305 0
    552 DESIGN @f@a@d_@board
    553 VIEW struct.bd
    554 GRAPHIC 10052,0 306 0
    555 DESIGN @f@a@d_@board
    556 VIEW struct.bd
    557 GRAPHIC 362,0 307 0
    558 DESIGN @f@a@d_@board
    559 VIEW struct.bd
    560 GRAPHIC 7477,0 308 0
    561 DESIGN @f@a@d_@board
    562 VIEW struct.bd
    563 GRAPHIC 6431,0 309 0
    564 DESIGN @f@a@d_@board
    565 VIEW struct.bd
    566 GRAPHIC 8853,0 310 0
    567 DESIGN @f@a@d_@board
    568 VIEW struct.bd
    569 GRAPHIC 1841,0 311 0
    570 DESIGN @f@a@d_@board
    571 VIEW struct.bd
    572 GRAPHIC 4942,0 312 0
    573 DESIGN @f@a@d_@board
    574 VIEW struct.bd
    575 GRAPHIC 3682,0 313 0
    576 DESIGN @f@a@d_@board
    577 VIEW struct.bd
    578 GRAPHIC 10562,0 315 0
    579 DESIGN @f@a@d_@board
    580 VIEW struct.bd
    581 GRAPHIC 3009,0 316 0
    582 DESIGN @f@a@d_@board
    583 VIEW struct.bd
    584 GRAPHIC 3021,0 317 0
    585 DESIGN @f@a@d_@board
    586 VIEW struct.bd
    587 GRAPHIC 10570,0 318 0
    588 DESIGN @f@a@d_@board
    589 VIEW struct.bd
    590 GRAPHIC 426,0 319 0
    591 DESIGN @f@a@d_@board
    592 VIEW struct.bd
    593 GRAPHIC 434,0 320 0
    594 DESIGN @f@a@d_@board
    595 VIEW struct.bd
    596 GRAPHIC 458,0 321 0
    597 DESIGN @f@a@d_@board
    598 VIEW struct.bd
    599 GRAPHIC 418,0 322 0
    600 DESIGN @f@a@d_@board
    601 VIEW struct.bd
    602 GRAPHIC 466,0 323 0
    603 DESIGN @f@a@d_@board
    604 VIEW struct.bd
    605 GRAPHIC 3015,0 324 0
    606 DESIGN @f@a@d_@board
    607 VIEW struct.bd
    608 GRAPHIC 442,0 325 0
    609 DESIGN @f@a@d_@board
    610 VIEW struct.bd
    611 NO_GRAPHIC 328
     413NO_GRAPHIC 181
     414DESIGN @f@a@d_@board
     415VIEW struct.bd
     416GRAPHIC 169,0 184 0
     417DESIGN @f@a@d_@board
     418VIEW struct.bd
     419NO_GRAPHIC 187
     420DESIGN @f@a@d_@board
     421VIEW struct.bd
     422GRAPHIC 265,0 190 0
     423DESIGN @f@a@d_@board
     424VIEW struct.bd
     425NO_GRAPHIC 194
     426DESIGN @f@a@d_@board
     427VIEW struct.bd
     428GRAPHIC 3248,0 195 0
     429DESIGN @f@a@d_@board
     430VIEW struct.bd
     431NO_GRAPHIC 201
     432DESIGN @f@a@d_@board
     433VIEW struct.bd
     434GRAPHIC 3300,0 202 0
     435DESIGN @f@a@d_@board
     436VIEW struct.bd
     437NO_GRAPHIC 208
     438DESIGN @f@a@d_@board
     439VIEW struct.bd
     440GRAPHIC 3394,0 209 0
     441DESIGN @f@a@d_@board
     442VIEW struct.bd
     443NO_GRAPHIC 215
     444DESIGN @f@a@d_@board
     445VIEW struct.bd
     446GRAPHIC 3542,0 216 0
     447DESIGN @f@a@d_@board
     448VIEW struct.bd
     449NO_GRAPHIC 222
     450DESIGN @f@a@d_@board
     451VIEW struct.bd
     452GRAPHIC 3700,0 223 0
     453DESIGN @f@a@d_@board
     454VIEW struct.bd
     455NO_GRAPHIC 246
     456DESIGN @f@a@d_@board
     457VIEW struct.bd
     458GRAPHIC 6888,0 247 0
     459DESIGN @f@a@d_@board
     460VIEW struct.bd
     461NO_GRAPHIC 249
     462DESIGN @f@a@d_@board
     463VIEW struct.bd
     464GRAPHIC 7092,0 250 0
     465DESIGN @f@a@d_@board
     466VIEW struct.bd
     467NO_GRAPHIC 253
     468DESIGN @f@a@d_@board
     469VIEW struct.bd
     470GRAPHIC 10310,0 254 0
     471DESIGN @f@a@d_@board
     472VIEW struct.bd
     473NO_GRAPHIC 274
     474DESIGN @f@a@d_@board
     475VIEW struct.bd
     476GRAPHIC 10023,0 275 0
     477DESIGN @f@a@d_@board
     478VIEW struct.bd
     479GRAPHIC 7652,0 278 0
     480DESIGN @f@a@d_@board
     481VIEW struct.bd
     482NO_GRAPHIC 281
     483DESIGN @f@a@d_@board
     484VIEW struct.bd
     485GRAPHIC 169,0 283 0
     486DESIGN @f@a@d_@board
     487VIEW struct.bd
     488GRAPHIC 176,0 284 1
     489DESIGN @f@a@d_@board
     490VIEW struct.bd
     491GRAPHIC 245,0 288 0
     492DESIGN @f@a@d_@board
     493VIEW struct.bd
     494GRAPHIC 1865,0 289 0
     495DESIGN @f@a@d_@board
     496VIEW struct.bd
     497GRAPHIC 1873,0 290 0
     498DESIGN @f@a@d_@board
     499VIEW struct.bd
     500GRAPHIC 1881,0 291 0
     501DESIGN @f@a@d_@board
     502VIEW struct.bd
     503GRAPHIC 1889,0 292 0
     504DESIGN @f@a@d_@board
     505VIEW struct.bd
     506GRAPHIC 1467,0 293 0
     507DESIGN @f@a@d_@board
     508VIEW struct.bd
     509GRAPHIC 1730,0 294 0
     510DESIGN @f@a@d_@board
     511VIEW struct.bd
     512GRAPHIC 277,0 295 0
     513DESIGN @f@a@d_@board
     514VIEW struct.bd
     515GRAPHIC 285,0 296 0
     516DESIGN @f@a@d_@board
     517VIEW struct.bd
     518GRAPHIC 3218,0 297 0
     519DESIGN @f@a@d_@board
     520VIEW struct.bd
     521GRAPHIC 450,0 298 0
     522DESIGN @f@a@d_@board
     523VIEW struct.bd
     524GRAPHIC 10506,0 299 0
     525DESIGN @f@a@d_@board
     526VIEW struct.bd
     527GRAPHIC 10514,0 300 0
     528DESIGN @f@a@d_@board
     529VIEW struct.bd
     530GRAPHIC 10498,0 301 0
     531DESIGN @f@a@d_@board
     532VIEW struct.bd
     533GRAPHIC 10034,0 302 0
     534DESIGN @f@a@d_@board
     535VIEW struct.bd
     536GRAPHIC 9502,0 303 0
     537DESIGN @f@a@d_@board
     538VIEW struct.bd
     539GRAPHIC 10554,0 304 0
     540DESIGN @f@a@d_@board
     541VIEW struct.bd
     542GRAPHIC 10594,0 305 0
     543DESIGN @f@a@d_@board
     544VIEW struct.bd
     545GRAPHIC 10586,0 306 0
     546DESIGN @f@a@d_@board
     547VIEW struct.bd
     548GRAPHIC 10578,0 307 0
     549DESIGN @f@a@d_@board
     550VIEW struct.bd
     551GRAPHIC 10538,0 308 0
     552DESIGN @f@a@d_@board
     553VIEW struct.bd
     554GRAPHIC 10546,0 309 0
     555DESIGN @f@a@d_@board
     556VIEW struct.bd
     557GRAPHIC 10522,0 310 0
     558DESIGN @f@a@d_@board
     559VIEW struct.bd
     560GRAPHIC 10530,0 311 0
     561DESIGN @f@a@d_@board
     562VIEW struct.bd
     563GRAPHIC 2409,0 312 0
     564DESIGN @f@a@d_@board
     565VIEW struct.bd
     566GRAPHIC 2423,0 313 0
     567DESIGN @f@a@d_@board
     568VIEW struct.bd
     569GRAPHIC 12320,0 314 0
     570DESIGN @f@a@d_@board
     571VIEW struct.bd
     572GRAPHIC 10052,0 315 0
     573DESIGN @f@a@d_@board
     574VIEW struct.bd
     575GRAPHIC 362,0 316 0
     576DESIGN @f@a@d_@board
     577VIEW struct.bd
     578GRAPHIC 7477,0 317 0
     579DESIGN @f@a@d_@board
     580VIEW struct.bd
     581GRAPHIC 6431,0 318 0
     582DESIGN @f@a@d_@board
     583VIEW struct.bd
     584GRAPHIC 8853,0 319 0
     585DESIGN @f@a@d_@board
     586VIEW struct.bd
     587GRAPHIC 1841,0 320 0
     588DESIGN @f@a@d_@board
     589VIEW struct.bd
     590GRAPHIC 4942,0 321 0
     591DESIGN @f@a@d_@board
     592VIEW struct.bd
     593GRAPHIC 3682,0 322 0
     594DESIGN @f@a@d_@board
     595VIEW struct.bd
     596GRAPHIC 10562,0 324 0
     597DESIGN @f@a@d_@board
     598VIEW struct.bd
     599GRAPHIC 3009,0 325 0
     600DESIGN @f@a@d_@board
     601VIEW struct.bd
     602GRAPHIC 3021,0 326 0
     603DESIGN @f@a@d_@board
     604VIEW struct.bd
     605GRAPHIC 10570,0 327 0
     606DESIGN @f@a@d_@board
     607VIEW struct.bd
     608GRAPHIC 426,0 328 0
     609DESIGN @f@a@d_@board
     610VIEW struct.bd
     611GRAPHIC 434,0 329 0
     612DESIGN @f@a@d_@board
     613VIEW struct.bd
     614GRAPHIC 458,0 330 0
     615DESIGN @f@a@d_@board
     616VIEW struct.bd
     617GRAPHIC 418,0 331 0
     618DESIGN @f@a@d_@board
     619VIEW struct.bd
     620GRAPHIC 466,0 332 0
     621DESIGN @f@a@d_@board
     622VIEW struct.bd
     623GRAPHIC 3015,0 333 0
     624DESIGN @f@a@d_@board
     625VIEW struct.bd
     626GRAPHIC 442,0 334 0
     627DESIGN @f@a@d_@board
     628VIEW struct.bd
     629NO_GRAPHIC 337
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf

    r9912 r10073  
    8888DESIGN @f@a@d_main
    8989VIEW symbol.sb
    90 GRAPHIC 4323,0 45 0
    91 DESIGN @f@a@d_main
    92 VIEW symbol.sb
    93 GRAPHIC 833,0 46 0
    94 DESIGN @f@a@d_main
    95 VIEW symbol.sb
    96 GRAPHIC 3641,0 47 0
    97 DESIGN @f@a@d_main
    98 VIEW symbol.sb
    99 GRAPHIC 4144,0 48 0
    100 DESIGN @f@a@d_main
    101 VIEW symbol.sb
    102 GRAPHIC 2448,0 49 0
    103 DESIGN @f@a@d_main
    104 VIEW symbol.sb
    105 GRAPHIC 2453,0 50 0
    106 DESIGN @f@a@d_main
    107 VIEW symbol.sb
    108 GRAPHIC 163,0 51 0
    109 DESIGN @f@a@d_main
    110 VIEW symbol.sb
    111 GRAPHIC 4067,0 52 0
    112 DESIGN @f@a@d_main
    113 VIEW symbol.sb
    114 GRAPHIC 4502,0 53 0
    115 DESIGN @f@a@d_main
    116 VIEW symbol.sb
    117 GRAPHIC 4512,0 54 0
    118 DESIGN @f@a@d_main
    119 VIEW symbol.sb
    120 GRAPHIC 3631,0 55 0
    121 DESIGN @f@a@d_main
    122 VIEW symbol.sb
    123 GRAPHIC 3646,0 56 0
    124 DESIGN @f@a@d_main
    125 VIEW symbol.sb
    126 GRAPHIC 4507,0 58 0
    127 DESIGN @f@a@d_main
    128 VIEW symbol.sb
    129 GRAPHIC 1037,0 59 0
    130 DESIGN @f@a@d_main
    131 VIEW symbol.sb
    132 GRAPHIC 1047,0 60 0
    133 DESIGN @f@a@d_main
    134 VIEW symbol.sb
    135 GRAPHIC 1057,0 61 0
    136 DESIGN @f@a@d_main
    137 VIEW symbol.sb
    138 GRAPHIC 135,0 62 0
    139 DESIGN @f@a@d_main
    140 VIEW symbol.sb
    141 GRAPHIC 1052,0 63 0
    142 DESIGN @f@a@d_main
    143 VIEW symbol.sb
    144 GRAPHIC 3636,0 64 0
    145 DESIGN @f@a@d_main
    146 VIEW symbol.sb
    147 GRAPHIC 1042,0 65 0
    148 DESIGN @f@a@d_main
    149 VIEW symbol.sb
    150 GRAPHIC 1,0 68 0
     90GRAPHIC 4780,0 45 0
     91DESIGN @f@a@d_main
     92VIEW symbol.sb
     93GRAPHIC 4323,0 46 0
     94DESIGN @f@a@d_main
     95VIEW symbol.sb
     96GRAPHIC 833,0 47 0
     97DESIGN @f@a@d_main
     98VIEW symbol.sb
     99GRAPHIC 3641,0 48 0
     100DESIGN @f@a@d_main
     101VIEW symbol.sb
     102GRAPHIC 4144,0 49 0
     103DESIGN @f@a@d_main
     104VIEW symbol.sb
     105GRAPHIC 2448,0 50 0
     106DESIGN @f@a@d_main
     107VIEW symbol.sb
     108GRAPHIC 2453,0 51 0
     109DESIGN @f@a@d_main
     110VIEW symbol.sb
     111GRAPHIC 163,0 52 0
     112DESIGN @f@a@d_main
     113VIEW symbol.sb
     114GRAPHIC 4067,0 53 0
     115DESIGN @f@a@d_main
     116VIEW symbol.sb
     117GRAPHIC 4502,0 54 0
     118DESIGN @f@a@d_main
     119VIEW symbol.sb
     120GRAPHIC 4512,0 55 0
     121DESIGN @f@a@d_main
     122VIEW symbol.sb
     123GRAPHIC 3631,0 56 0
     124DESIGN @f@a@d_main
     125VIEW symbol.sb
     126GRAPHIC 3646,0 57 0
     127DESIGN @f@a@d_main
     128VIEW symbol.sb
     129GRAPHIC 4507,0 59 0
     130DESIGN @f@a@d_main
     131VIEW symbol.sb
     132GRAPHIC 1037,0 60 0
     133DESIGN @f@a@d_main
     134VIEW symbol.sb
     135GRAPHIC 1047,0 61 0
     136DESIGN @f@a@d_main
     137VIEW symbol.sb
     138GRAPHIC 1057,0 62 0
     139DESIGN @f@a@d_main
     140VIEW symbol.sb
     141GRAPHIC 135,0 63 0
     142DESIGN @f@a@d_main
     143VIEW symbol.sb
     144GRAPHIC 1052,0 64 0
     145DESIGN @f@a@d_main
     146VIEW symbol.sb
     147GRAPHIC 3636,0 65 0
     148DESIGN @f@a@d_main
     149VIEW symbol.sb
     150GRAPHIC 1042,0 66 0
    151151DESIGN @f@a@d_main
    152152VIEW symbol.sb
    153153GRAPHIC 1,0 69 0
    154154DESIGN @f@a@d_main
    155 VIEW struct.bd
    156 NO_GRAPHIC 72
    157 DESIGN @f@a@d_main
    158 VIEW struct.bd
    159 GRAPHIC 41,0 81 0
    160 DESIGN @f@a@d_main
    161 VIEW struct.bd
    162 NO_GRAPHIC 93
    163 DESIGN @f@a@d_main
    164 VIEW struct.bd
    165 GRAPHIC 0,0 96 2
    166 DESIGN @f@a@d_main
    167 VIEW struct.bd
    168 GRAPHIC 4204,0 101 0
    169 DESIGN @f@a@d_main
    170 VIEW struct.bd
    171 GRAPHIC 10008,0 102 0
    172 DESIGN @f@a@d_main
    173 VIEW struct.bd
    174 GRAPHIC 5640,0 103 0
    175 DESIGN @f@a@d_main
    176 VIEW struct.bd
    177 GRAPHIC 5632,0 104 0
    178 DESIGN @f@a@d_main
    179 VIEW struct.bd
    180 GRAPHIC 326,0 105 0
    181 DESIGN @f@a@d_main
    182 VIEW struct.bd
    183 GRAPHIC 5088,0 106 0
    184 DESIGN @f@a@d_main
    185 VIEW struct.bd
    186 GRAPHIC 5104,0 107 0
    187 DESIGN @f@a@d_main
    188 VIEW struct.bd
    189 GRAPHIC 5112,0 108 0
    190 DESIGN @f@a@d_main
    191 VIEW struct.bd
    192 GRAPHIC 5096,0 109 0
    193 DESIGN @f@a@d_main
    194 VIEW struct.bd
    195 GRAPHIC 5128,0 110 0
    196 DESIGN @f@a@d_main
    197 VIEW struct.bd
    198 GRAPHIC 2592,0 111 0
    199 DESIGN @f@a@d_main
    200 VIEW struct.bd
    201 GRAPHIC 5196,0 112 0
    202 DESIGN @f@a@d_main
    203 VIEW struct.bd
    204 GRAPHIC 5588,0 113 0
    205 DESIGN @f@a@d_main
    206 VIEW struct.bd
    207 GRAPHIC 2586,0 114 0
    208 DESIGN @f@a@d_main
    209 VIEW struct.bd
    210 GRAPHIC 5194,0 115 0
    211 DESIGN @f@a@d_main
    212 VIEW struct.bd
    213 GRAPHIC 5743,0 116 0
    214 DESIGN @f@a@d_main
    215 VIEW struct.bd
    216 GRAPHIC 5960,0 117 0
    217 DESIGN @f@a@d_main
    218 VIEW struct.bd
    219 GRAPHIC 6014,0 118 0
    220 DESIGN @f@a@d_main
    221 VIEW struct.bd
    222 GRAPHIC 6016,0 119 0
    223 DESIGN @f@a@d_main
    224 VIEW struct.bd
    225 GRAPHIC 6012,0 120 0
    226 DESIGN @f@a@d_main
    227 VIEW struct.bd
    228 GRAPHIC 5120,0 121 0
    229 DESIGN @f@a@d_main
    230 VIEW struct.bd
    231 GRAPHIC 5144,0 122 0
    232 DESIGN @f@a@d_main
    233 VIEW struct.bd
    234 GRAPHIC 332,0 123 0
    235 DESIGN @f@a@d_main
    236 VIEW struct.bd
    237 GRAPHIC 8508,0 124 0
    238 DESIGN @f@a@d_main
    239 VIEW struct.bd
    240 GRAPHIC 8516,0 125 0
    241 DESIGN @f@a@d_main
    242 VIEW struct.bd
    243 GRAPHIC 8583,0 126 0
    244 DESIGN @f@a@d_main
    245 VIEW struct.bd
    246 GRAPHIC 4399,0 127 0
    247 DESIGN @f@a@d_main
    248 VIEW struct.bd
    249 GRAPHIC 4417,0 128 0
    250 DESIGN @f@a@d_main
    251 VIEW struct.bd
    252 GRAPHIC 4741,0 129 0
    253 DESIGN @f@a@d_main
    254 VIEW struct.bd
    255 GRAPHIC 4405,0 130 0
    256 DESIGN @f@a@d_main
    257 VIEW struct.bd
    258 GRAPHIC 6544,0 131 0
    259 DESIGN @f@a@d_main
    260 VIEW struct.bd
    261 GRAPHIC 6450,0 132 0
    262 DESIGN @f@a@d_main
    263 VIEW struct.bd
    264 GRAPHIC 5948,0 133 0
    265 DESIGN @f@a@d_main
    266 VIEW struct.bd
    267 GRAPHIC 2640,0 134 0
    268 DESIGN @f@a@d_main
    269 VIEW struct.bd
    270 GRAPHIC 9231,0 135 0
    271 DESIGN @f@a@d_main
    272 VIEW struct.bd
    273 GRAPHIC 9239,0 136 0
    274 DESIGN @f@a@d_main
    275 VIEW struct.bd
    276 GRAPHIC 9941,0 137 0
    277 DESIGN @f@a@d_main
    278 VIEW struct.bd
    279 GRAPHIC 362,0 138 0
    280 DESIGN @f@a@d_main
    281 VIEW struct.bd
    282 GRAPHIC 368,0 139 0
    283 DESIGN @f@a@d_main
    284 VIEW struct.bd
    285 GRAPHIC 2297,0 140 0
    286 DESIGN @f@a@d_main
    287 VIEW struct.bd
    288 GRAPHIC 2574,0 141 0
    289 DESIGN @f@a@d_main
    290 VIEW struct.bd
    291 GRAPHIC 2580,0 142 0
    292 DESIGN @f@a@d_main
    293 VIEW struct.bd
    294 GRAPHIC 2924,0 143 0
    295 DESIGN @f@a@d_main
    296 VIEW struct.bd
    297 GRAPHIC 2598,0 144 0
    298 DESIGN @f@a@d_main
    299 VIEW struct.bd
    300 GRAPHIC 5279,0 145 0
    301 DESIGN @f@a@d_main
    302 VIEW struct.bd
    303 GRAPHIC 8730,0 146 0
    304 DESIGN @f@a@d_main
    305 VIEW struct.bd
    306 GRAPHIC 8746,0 147 0
    307 DESIGN @f@a@d_main
    308 VIEW struct.bd
    309 GRAPHIC 5478,0 148 0
    310 DESIGN @f@a@d_main
    311 VIEW struct.bd
    312 GRAPHIC 5472,0 149 0
    313 DESIGN @f@a@d_main
    314 VIEW struct.bd
    315 GRAPHIC 9949,0 150 0
    316 DESIGN @f@a@d_main
    317 VIEW struct.bd
    318 GRAPHIC 1981,0 151 0
    319 DESIGN @f@a@d_main
    320 VIEW struct.bd
    321 GRAPHIC 8414,0 152 0
    322 DESIGN @f@a@d_main
    323 VIEW struct.bd
    324 GRAPHIC 2468,0 153 0
    325 DESIGN @f@a@d_main
    326 VIEW struct.bd
    327 GRAPHIC 2492,0 154 0
    328 DESIGN @f@a@d_main
    329 VIEW struct.bd
    330 GRAPHIC 2486,0 155 0
    331 DESIGN @f@a@d_main
    332 VIEW struct.bd
    333 GRAPHIC 2474,0 156 0
    334 DESIGN @f@a@d_main
    335 VIEW struct.bd
    336 GRAPHIC 2498,0 157 0
    337 DESIGN @f@a@d_main
    338 VIEW struct.bd
    339 GRAPHIC 2504,0 158 0
    340 DESIGN @f@a@d_main
    341 VIEW struct.bd
    342 GRAPHIC 2480,0 159 0
    343 DESIGN @f@a@d_main
    344 VIEW struct.bd
    345 GRAPHIC 320,0 160 0
    346 DESIGN @f@a@d_main
    347 VIEW struct.bd
    348 NO_GRAPHIC 161
    349 DESIGN @f@a@d_main
    350 VIEW struct.bd
    351 GRAPHIC 6276,0 163 0
    352 DESIGN @f@a@d_main
    353 VIEW struct.bd
    354 GRAPHIC 3888,0 164 0
    355 DESIGN @f@a@d_main
    356 VIEW struct.bd
    357 NO_GRAPHIC 166
     155VIEW symbol.sb
     156GRAPHIC 1,0 70 0
     157DESIGN @f@a@d_main
     158VIEW struct.bd
     159NO_GRAPHIC 73
     160DESIGN @f@a@d_main
     161VIEW struct.bd
     162GRAPHIC 41,0 82 0
     163DESIGN @f@a@d_main
     164VIEW struct.bd
     165NO_GRAPHIC 94
     166DESIGN @f@a@d_main
     167VIEW struct.bd
     168GRAPHIC 0,0 97 2
     169DESIGN @f@a@d_main
     170VIEW struct.bd
     171GRAPHIC 4204,0 102 0
     172DESIGN @f@a@d_main
     173VIEW struct.bd
     174GRAPHIC 10008,0 103 0
     175DESIGN @f@a@d_main
     176VIEW struct.bd
     177GRAPHIC 5640,0 104 0
     178DESIGN @f@a@d_main
     179VIEW struct.bd
     180GRAPHIC 5632,0 105 0
     181DESIGN @f@a@d_main
     182VIEW struct.bd
     183GRAPHIC 326,0 106 0
     184DESIGN @f@a@d_main
     185VIEW struct.bd
     186GRAPHIC 5088,0 107 0
     187DESIGN @f@a@d_main
     188VIEW struct.bd
     189GRAPHIC 5104,0 108 0
     190DESIGN @f@a@d_main
     191VIEW struct.bd
     192GRAPHIC 5112,0 109 0
     193DESIGN @f@a@d_main
     194VIEW struct.bd
     195GRAPHIC 5096,0 110 0
     196DESIGN @f@a@d_main
     197VIEW struct.bd
     198GRAPHIC 5128,0 111 0
     199DESIGN @f@a@d_main
     200VIEW struct.bd
     201GRAPHIC 2592,0 112 0
     202DESIGN @f@a@d_main
     203VIEW struct.bd
     204GRAPHIC 5196,0 113 0
     205DESIGN @f@a@d_main
     206VIEW struct.bd
     207GRAPHIC 5588,0 114 0
     208DESIGN @f@a@d_main
     209VIEW struct.bd
     210GRAPHIC 10192,0 116 0
     211DESIGN @f@a@d_main
     212VIEW struct.bd
     213GRAPHIC 10200,0 118 0
     214DESIGN @f@a@d_main
     215VIEW struct.bd
     216GRAPHIC 2586,0 119 0
     217DESIGN @f@a@d_main
     218VIEW struct.bd
     219GRAPHIC 5194,0 120 0
     220DESIGN @f@a@d_main
     221VIEW struct.bd
     222GRAPHIC 5743,0 121 0
     223DESIGN @f@a@d_main
     224VIEW struct.bd
     225GRAPHIC 5960,0 122 0
     226DESIGN @f@a@d_main
     227VIEW struct.bd
     228GRAPHIC 6014,0 123 0
     229DESIGN @f@a@d_main
     230VIEW struct.bd
     231GRAPHIC 6016,0 124 0
     232DESIGN @f@a@d_main
     233VIEW struct.bd
     234GRAPHIC 6012,0 125 0
     235DESIGN @f@a@d_main
     236VIEW struct.bd
     237GRAPHIC 5120,0 126 0
     238DESIGN @f@a@d_main
     239VIEW struct.bd
     240GRAPHIC 5144,0 127 0
     241DESIGN @f@a@d_main
     242VIEW struct.bd
     243GRAPHIC 332,0 128 0
     244DESIGN @f@a@d_main
     245VIEW struct.bd
     246GRAPHIC 8508,0 129 0
     247DESIGN @f@a@d_main
     248VIEW struct.bd
     249GRAPHIC 8516,0 130 0
     250DESIGN @f@a@d_main
     251VIEW struct.bd
     252GRAPHIC 8583,0 131 0
     253DESIGN @f@a@d_main
     254VIEW struct.bd
     255GRAPHIC 4399,0 132 0
     256DESIGN @f@a@d_main
     257VIEW struct.bd
     258GRAPHIC 4417,0 133 0
     259DESIGN @f@a@d_main
     260VIEW struct.bd
     261GRAPHIC 4741,0 134 0
     262DESIGN @f@a@d_main
     263VIEW struct.bd
     264GRAPHIC 4405,0 135 0
     265DESIGN @f@a@d_main
     266VIEW struct.bd
     267GRAPHIC 10314,0 136 0
     268DESIGN @f@a@d_main
     269VIEW struct.bd
     270GRAPHIC 6544,0 137 0
     271DESIGN @f@a@d_main
     272VIEW struct.bd
     273GRAPHIC 6450,0 138 0
     274DESIGN @f@a@d_main
     275VIEW struct.bd
     276GRAPHIC 5948,0 139 0
     277DESIGN @f@a@d_main
     278VIEW struct.bd
     279GRAPHIC 2640,0 140 0
     280DESIGN @f@a@d_main
     281VIEW struct.bd
     282GRAPHIC 9231,0 141 0
     283DESIGN @f@a@d_main
     284VIEW struct.bd
     285GRAPHIC 9239,0 142 0
     286DESIGN @f@a@d_main
     287VIEW struct.bd
     288GRAPHIC 9941,0 143 0
     289DESIGN @f@a@d_main
     290VIEW struct.bd
     291GRAPHIC 362,0 144 0
     292DESIGN @f@a@d_main
     293VIEW struct.bd
     294GRAPHIC 368,0 145 0
     295DESIGN @f@a@d_main
     296VIEW struct.bd
     297GRAPHIC 2297,0 146 0
     298DESIGN @f@a@d_main
     299VIEW struct.bd
     300GRAPHIC 2574,0 147 0
     301DESIGN @f@a@d_main
     302VIEW struct.bd
     303GRAPHIC 2580,0 148 0
     304DESIGN @f@a@d_main
     305VIEW struct.bd
     306GRAPHIC 10465,0 150 0
     307DESIGN @f@a@d_main
     308VIEW struct.bd
     309GRAPHIC 2924,0 151 0
     310DESIGN @f@a@d_main
     311VIEW struct.bd
     312GRAPHIC 2598,0 152 0
     313DESIGN @f@a@d_main
     314VIEW struct.bd
     315GRAPHIC 10264,0 153 0
     316DESIGN @f@a@d_main
     317VIEW struct.bd
     318GRAPHIC 8730,0 154 0
     319DESIGN @f@a@d_main
     320VIEW struct.bd
     321GRAPHIC 8746,0 155 0
     322DESIGN @f@a@d_main
     323VIEW struct.bd
     324GRAPHIC 5478,0 156 0
     325DESIGN @f@a@d_main
     326VIEW struct.bd
     327GRAPHIC 5472,0 157 0
     328DESIGN @f@a@d_main
     329VIEW struct.bd
     330GRAPHIC 9949,0 158 0
     331DESIGN @f@a@d_main
     332VIEW struct.bd
     333GRAPHIC 10302,0 159 0
     334DESIGN @f@a@d_main
     335VIEW struct.bd
     336GRAPHIC 10308,0 160 0
     337DESIGN @f@a@d_main
     338VIEW struct.bd
     339GRAPHIC 10296,0 161 0
     340DESIGN @f@a@d_main
     341VIEW struct.bd
     342GRAPHIC 1981,0 162 0
     343DESIGN @f@a@d_main
     344VIEW struct.bd
     345GRAPHIC 10449,0 163 0
     346DESIGN @f@a@d_main
     347VIEW struct.bd
     348GRAPHIC 8414,0 164 0
     349DESIGN @f@a@d_main
     350VIEW struct.bd
     351GRAPHIC 2468,0 165 0
     352DESIGN @f@a@d_main
     353VIEW struct.bd
     354GRAPHIC 2492,0 166 0
     355DESIGN @f@a@d_main
     356VIEW struct.bd
     357GRAPHIC 2486,0 167 0
     358DESIGN @f@a@d_main
     359VIEW struct.bd
     360GRAPHIC 2474,0 168 0
     361DESIGN @f@a@d_main
     362VIEW struct.bd
     363GRAPHIC 2498,0 169 0
     364DESIGN @f@a@d_main
     365VIEW struct.bd
     366GRAPHIC 2504,0 170 0
     367DESIGN @f@a@d_main
     368VIEW struct.bd
     369GRAPHIC 2480,0 171 0
     370DESIGN @f@a@d_main
     371VIEW struct.bd
     372GRAPHIC 320,0 172 0
     373DESIGN @f@a@d_main
     374VIEW struct.bd
     375NO_GRAPHIC 173
     376DESIGN @f@a@d_main
     377VIEW struct.bd
     378GRAPHIC 6276,0 175 0
     379DESIGN @f@a@d_main
     380VIEW struct.bd
     381GRAPHIC 3888,0 176 0
     382DESIGN @f@a@d_main
     383VIEW struct.bd
     384NO_GRAPHIC 178
    358385LIBRARY FACT_FAD_lib
    359386DESIGN adc_buffer
    360387VIEW beha
    361 GRAPHIC 5678,0 168 0
    362 DESIGN @f@a@d_main
    363 VIEW struct.bd
    364 NO_GRAPHIC 175
    365 DESIGN @f@a@d_main
    366 VIEW struct.bd
    367 GRAPHIC 9175,0 177 0
     388GRAPHIC 5678,0 180 0
     389DESIGN @f@a@d_main
     390VIEW struct.bd
     391NO_GRAPHIC 187
     392DESIGN @f@a@d_main
     393VIEW struct.bd
     394GRAPHIC 9175,0 189 0
    368395DESIGN clock_generator_var_ps
    369396VIEW symbol.sb
    370 GRAPHIC 168,0 179 0
     397GRAPHIC 168,0 191 0
    371398DESIGN clock_generator_var_ps
    372399VIEW symbol.sb
    373 GRAPHIC 848,0 180 0
     400GRAPHIC 848,0 192 0
    374401DESIGN clock_generator_var_ps
    375402VIEW symbol.sb
    376 GRAPHIC 703,0 181 0
     403GRAPHIC 703,0 193 0
    377404DESIGN clock_generator_var_ps
    378405VIEW symbol.sb
    379 GRAPHIC 698,0 182 0
     406GRAPHIC 698,0 194 0
    380407DESIGN clock_generator_var_ps
    381408VIEW symbol.sb
    382 GRAPHIC 126,0 183 0
     409GRAPHIC 126,0 195 0
    383410DESIGN clock_generator_var_ps
    384411VIEW symbol.sb
    385 GRAPHIC 643,0 184 0
     412GRAPHIC 643,0 196 0
    386413DESIGN clock_generator_var_ps
    387414VIEW symbol.sb
    388 GRAPHIC 121,0 185 0
     415GRAPHIC 121,0 197 0
    389416DESIGN clock_generator_var_ps
    390417VIEW symbol.sb
    391 GRAPHIC 481,0 186 0
     418GRAPHIC 481,0 198 0
    392419DESIGN clock_generator_var_ps
    393420VIEW symbol.sb
    394 GRAPHIC 544,0 187 0
     421GRAPHIC 544,0 199 0
    395422DESIGN clock_generator_var_ps
    396423VIEW symbol.sb
    397 GRAPHIC 524,0 188 0
     424GRAPHIC 524,0 200 0
    398425DESIGN clock_generator_var_ps
    399426VIEW symbol.sb
    400 GRAPHIC 539,0 189 0
     427GRAPHIC 539,0 201 0
    401428DESIGN clock_generator_var_ps
    402429VIEW symbol.sb
    403 GRAPHIC 529,0 190 0
     430GRAPHIC 529,0 202 0
    404431DESIGN clock_generator_var_ps
    405432VIEW symbol.sb
    406 GRAPHIC 534,0 191 0
     433GRAPHIC 534,0 203 0
    407434DESIGN clock_generator_var_ps
    408435VIEW symbol.sb
    409 GRAPHIC 475,0 192 0
     436GRAPHIC 475,0 204 0
    410437DESIGN clock_generator_var_ps
    411438VIEW symbol.sb
    412 GRAPHIC 463,0 193 0
     439GRAPHIC 463,0 205 0
    413440DESIGN clock_generator_var_ps
    414441VIEW symbol.sb
    415 GRAPHIC 469,0 194 0
    416 DESIGN @f@a@d_main
    417 VIEW struct.bd
    418 GRAPHIC 5072,0 198 0
     442GRAPHIC 469,0 206 0
     443DESIGN @f@a@d_main
     444VIEW struct.bd
     445GRAPHIC 5072,0 210 0
    419446DESIGN control_unit
    420447VIEW symbol.sb
    421 GRAPHIC 130,0 200 0
     448GRAPHIC 130,0 212 0
    422449DESIGN control_unit
    423450VIEW symbol.sb
    424 GRAPHIC 135,0 201 0
     451GRAPHIC 135,0 213 0
    425452DESIGN control_unit
    426453VIEW symbol.sb
    427 GRAPHIC 170,0 202 0
     454GRAPHIC 170,0 214 0
    428455DESIGN control_unit
    429456VIEW symbol.sb
    430 GRAPHIC 175,0 203 0
     457GRAPHIC 175,0 215 0
    431458DESIGN control_unit
    432459VIEW symbol.sb
    433 GRAPHIC 160,0 204 0
     460GRAPHIC 160,0 216 0
    434461DESIGN control_unit
    435462VIEW symbol.sb
    436 GRAPHIC 145,0 205 0
     463GRAPHIC 145,0 217 0
    437464DESIGN control_unit
    438465VIEW symbol.sb
    439 GRAPHIC 140,0 206 0
     466GRAPHIC 140,0 218 0
    440467DESIGN control_unit
    441468VIEW symbol.sb
    442 GRAPHIC 180,0 207 0
     469GRAPHIC 180,0 219 0
    443470DESIGN control_unit
    444471VIEW symbol.sb
    445 GRAPHIC 350,0 208 0
     472GRAPHIC 558,0 220 0
    446473DESIGN control_unit
    447474VIEW symbol.sb
    448 GRAPHIC 165,0 209 0
     475GRAPHIC 564,0 222 0
    449476DESIGN control_unit
    450477VIEW symbol.sb
    451 GRAPHIC 521,0 210 0
     478GRAPHIC 350,0 224 0
    452479DESIGN control_unit
    453480VIEW symbol.sb
    454 GRAPHIC 526,0 211 0
     481GRAPHIC 165,0 225 0
    455482DESIGN control_unit
    456483VIEW symbol.sb
    457 GRAPHIC 155,0 212 0
     484GRAPHIC 155,0 226 0
    458485DESIGN control_unit
    459486VIEW symbol.sb
    460 GRAPHIC 150,0 213 0
    461 DESIGN @f@a@d_main
    462 VIEW struct.bd
    463 GRAPHIC 8277,0 216 0
     487GRAPHIC 150,0 227 0
     488DESIGN @f@a@d_main
     489VIEW struct.bd
     490GRAPHIC 8277,0 230 0
    464491DESIGN data@r@a@m_64b_16b_width14_5
    465492VIEW data@r@a@m_64b_16b_width14_5_a
    466 GRAPHIC 48,0 218 0
     493GRAPHIC 48,0 232 0
    467494DESIGN data@r@a@m_64b_16b_width14_5
    468495VIEW data@r@a@m_64b_16b_width14_5_a
    469 GRAPHIC 53,0 219 0
     496GRAPHIC 53,0 233 0
    470497DESIGN data@r@a@m_64b_16b_width14_5
    471498VIEW data@r@a@m_64b_16b_width14_5_a
    472 GRAPHIC 58,0 220 0
     499GRAPHIC 58,0 234 0
    473500DESIGN data@r@a@m_64b_16b_width14_5
    474501VIEW data@r@a@m_64b_16b_width14_5_a
    475 GRAPHIC 63,0 221 0
     502GRAPHIC 63,0 235 0
    476503DESIGN data@r@a@m_64b_16b_width14_5
    477504VIEW data@r@a@m_64b_16b_width14_5_a
    478 GRAPHIC 68,0 222 0
     505GRAPHIC 68,0 236 0
    479506DESIGN data@r@a@m_64b_16b_width14_5
    480507VIEW data@r@a@m_64b_16b_width14_5_a
    481 GRAPHIC 73,0 223 0
     508GRAPHIC 73,0 237 0
    482509DESIGN data@r@a@m_64b_16b_width14_5
    483510VIEW data@r@a@m_64b_16b_width14_5_a
    484 GRAPHIC 78,0 224 0
    485 DESIGN @f@a@d_main
    486 VIEW struct.bd
    487 GRAPHIC 1399,0 227 0
    488 DESIGN data_generator
    489 VIEW symbol.sb
    490 GRAPHIC 14,0 228 1
    491 DESIGN data_generator
    492 VIEW @behavioral
    493 GRAPHIC 48,0 232 0
    494 DESIGN data_generator
    495 VIEW @behavioral
    496 GRAPHIC 53,0 233 0
    497 DESIGN data_generator
    498 VIEW @behavioral
    499 GRAPHIC 58,0 234 0
    500 DESIGN data_generator
    501 VIEW @behavioral
    502 GRAPHIC 73,0 235 0
    503 DESIGN data_generator
    504 VIEW @behavioral
    505 GRAPHIC 78,0 236 0
    506 DESIGN data_generator
    507 VIEW @behavioral
    508 GRAPHIC 402,0 237 0
    509 DESIGN data_generator
    510 VIEW @behavioral
    511 GRAPHIC 407,0 238 0
    512 DESIGN data_generator
    513 VIEW @behavioral
    514 GRAPHIC 1122,0 239 0
    515 DESIGN data_generator
    516 VIEW @behavioral
    517 GRAPHIC 963,0 240 0
    518 DESIGN data_generator
    519 VIEW @behavioral
    520 GRAPHIC 1127,0 241 0
    521 DESIGN data_generator
    522 VIEW @behavioral
    523 GRAPHIC 1048,0 242 0
    524 DESIGN data_generator
    525 VIEW @behavioral
    526 GRAPHIC 958,0 243 0
    527 DESIGN data_generator
    528 VIEW @behavioral
    529 GRAPHIC 1053,0 244 0
    530 DESIGN data_generator
    531 VIEW @behavioral
    532 GRAPHIC 1201,0 245 0
    533 DESIGN data_generator
    534 VIEW @behavioral
    535 GRAPHIC 1196,0 246 0
    536 DESIGN data_generator
    537 VIEW @behavioral
    538 GRAPHIC 1206,0 247 0
    539 DESIGN data_generator
    540 VIEW @behavioral
    541 GRAPHIC 473,0 248 0
    542 DESIGN data_generator
    543 VIEW @behavioral
    544 GRAPHIC 412,0 249 0
    545 DESIGN data_generator
    546 VIEW @behavioral
    547 GRAPHIC 1085,0 250 0
    548 DESIGN data_generator
    549 VIEW @behavioral
    550 GRAPHIC 1090,0 251 0
    551 DESIGN data_generator
    552 VIEW @behavioral
    553 GRAPHIC 1240,0 252 0
    554 DESIGN data_generator
    555 VIEW @behavioral
    556 GRAPHIC 526,0 253 0
    557 DESIGN data_generator
    558 VIEW @behavioral
    559 GRAPHIC 88,0 254 0
    560 DESIGN data_generator
    561 VIEW @behavioral
    562 GRAPHIC 285,0 255 0
    563 DESIGN data_generator
    564 VIEW @behavioral
    565 GRAPHIC 93,0 256 0
    566 DESIGN data_generator
    567 VIEW @behavioral
    568 GRAPHIC 98,0 257 0
    569 DESIGN data_generator
    570 VIEW @behavioral
    571 GRAPHIC 1018,0 258 0
    572 DESIGN data_generator
    573 VIEW @behavioral
    574 GRAPHIC 1164,0 259 0
    575 DESIGN data_generator
    576 VIEW @behavioral
    577 GRAPHIC 1159,0 260 0
    578 DESIGN data_generator
    579 VIEW @behavioral
    580 GRAPHIC 898,0 261 0
    581 DESIGN data_generator
    582 VIEW @behavioral
    583 GRAPHIC 637,0 262 0
    584 DESIGN data_generator
    585 VIEW @behavioral
    586 GRAPHIC 1395,0 263 0
    587 DESIGN data_generator
    588 VIEW @behavioral
    589 GRAPHIC 1427,0 264 0
    590 DESIGN data_generator
    591 VIEW @behavioral
    592 GRAPHIC 676,0 265 0
    593 DESIGN data_generator
    594 VIEW @behavioral
    595 GRAPHIC 845,0 266 0
    596 DESIGN data_generator
    597 VIEW @behavioral
    598 GRAPHIC 681,0 267 0
    599 DESIGN data_generator
    600 VIEW @behavioral
    601 GRAPHIC 801,0 268 0
    602 DESIGN data_generator
    603 VIEW @behavioral
    604 GRAPHIC 806,0 269 0
    605 DESIGN data_generator
    606 VIEW @behavioral
    607 GRAPHIC 811,0 270 0
    608 DESIGN @f@a@d_main
    609 VIEW struct.bd
    610 GRAPHIC 4903,0 273 0
    611 DESIGN @f@a@d_main
    612 VIEW struct.bd
    613 NO_GRAPHIC 286
    614 DESIGN @f@a@d_main
    615 VIEW struct.bd
    616 GRAPHIC 2311,0 288 0
    617 DESIGN memory_manager
    618 VIEW symbol.sb
    619 GRAPHIC 14,0 289 1
    620 DESIGN memory_manager
    621 VIEW beha
    622 GRAPHIC 138,0 294 0
    623 DESIGN memory_manager
    624 VIEW beha
    625 GRAPHIC 194,0 295 0
    626 DESIGN memory_manager
    627 VIEW beha
    628 GRAPHIC 349,0 296 0
    629 DESIGN memory_manager
    630 VIEW beha
    631 GRAPHIC 569,0 297 0
    632 DESIGN memory_manager
    633 VIEW beha
    634 GRAPHIC 224,0 298 0
    635 DESIGN memory_manager
    636 VIEW beha
    637 GRAPHIC 254,0 299 0
    638 DESIGN memory_manager
    639 VIEW beha
    640 GRAPHIC 804,0 300 0
    641 DESIGN memory_manager
    642 VIEW beha
    643 GRAPHIC 433,0 301 0
    644 DESIGN memory_manager
    645 VIEW beha
    646 GRAPHIC 622,0 302 0
    647 DESIGN memory_manager
    648 VIEW beha
    649 GRAPHIC 289,0 303 0
    650 DESIGN memory_manager
    651 VIEW beha
    652 GRAPHIC 309,0 304 0
    653 DESIGN memory_manager
    654 VIEW beha
    655 GRAPHIC 284,0 305 0
    656 DESIGN memory_manager
    657 VIEW beha
    658 GRAPHIC 294,0 306 0
    659 DESIGN memory_manager
    660 VIEW beha
    661 GRAPHIC 304,0 307 0
    662 DESIGN memory_manager
    663 VIEW beha
    664 GRAPHIC 299,0 308 0
    665 DESIGN memory_manager
    666 VIEW beha
    667 GRAPHIC 379,0 309 0
    668 DESIGN memory_manager
    669 VIEW beha
    670 GRAPHIC 915,0 310 0
    671 DESIGN memory_manager
    672 VIEW beha
    673 GRAPHIC 51,0 311 0
    674 DESIGN @f@a@d_main
    675 VIEW struct.bd
    676 GRAPHIC 5793,0 314 0
     511GRAPHIC 78,0 238 0
     512DESIGN @f@a@d_main
     513VIEW struct.bd
     514GRAPHIC 1399,0 241 0
     515DESIGN data_generator
     516VIEW symbol.sb
     517GRAPHIC 14,0 242 1
     518DESIGN data_generator
     519VIEW @behavioral
     520GRAPHIC 48,0 246 0
     521DESIGN data_generator
     522VIEW @behavioral
     523GRAPHIC 53,0 248 0
     524DESIGN data_generator
     525VIEW @behavioral
     526GRAPHIC 58,0 249 0
     527DESIGN data_generator
     528VIEW @behavioral
     529GRAPHIC 73,0 250 0
     530DESIGN data_generator
     531VIEW @behavioral
     532GRAPHIC 78,0 251 0
     533DESIGN data_generator
     534VIEW @behavioral
     535GRAPHIC 402,0 252 0
     536DESIGN data_generator
     537VIEW @behavioral
     538GRAPHIC 407,0 253 0
     539DESIGN data_generator
     540VIEW @behavioral
     541GRAPHIC 1479,0 254 0
     542DESIGN data_generator
     543VIEW @behavioral
     544GRAPHIC 1122,0 256 0
     545DESIGN data_generator
     546VIEW @behavioral
     547GRAPHIC 963,0 258 0
     548DESIGN data_generator
     549VIEW @behavioral
     550GRAPHIC 1127,0 260 0
     551DESIGN data_generator
     552VIEW @behavioral
     553GRAPHIC 1048,0 262 0
     554DESIGN data_generator
     555VIEW @behavioral
     556GRAPHIC 958,0 263 0
     557DESIGN data_generator
     558VIEW @behavioral
     559GRAPHIC 1053,0 264 0
     560DESIGN data_generator
     561VIEW @behavioral
     562GRAPHIC 1201,0 265 0
     563DESIGN data_generator
     564VIEW @behavioral
     565GRAPHIC 1196,0 266 0
     566DESIGN data_generator
     567VIEW @behavioral
     568GRAPHIC 1206,0 267 0
     569DESIGN data_generator
     570VIEW @behavioral
     571GRAPHIC 473,0 268 0
     572DESIGN data_generator
     573VIEW @behavioral
     574GRAPHIC 412,0 269 0
     575DESIGN data_generator
     576VIEW @behavioral
     577GRAPHIC 1085,0 270 0
     578DESIGN data_generator
     579VIEW @behavioral
     580GRAPHIC 1090,0 271 0
     581DESIGN data_generator
     582VIEW @behavioral
     583GRAPHIC 1240,0 272 0
     584DESIGN data_generator
     585VIEW @behavioral
     586GRAPHIC 526,0 273 0
     587DESIGN data_generator
     588VIEW @behavioral
     589GRAPHIC 88,0 274 0
     590DESIGN data_generator
     591VIEW @behavioral
     592GRAPHIC 285,0 275 0
     593DESIGN data_generator
     594VIEW @behavioral
     595GRAPHIC 93,0 276 0
     596DESIGN data_generator
     597VIEW @behavioral
     598GRAPHIC 98,0 277 0
     599DESIGN data_generator
     600VIEW @behavioral
     601GRAPHIC 1164,0 278 0
     602DESIGN data_generator
     603VIEW @behavioral
     604GRAPHIC 1159,0 280 0
     605DESIGN data_generator
     606VIEW @behavioral
     607GRAPHIC 898,0 281 0
     608DESIGN data_generator
     609VIEW @behavioral
     610GRAPHIC 637,0 282 0
     611DESIGN data_generator
     612VIEW @behavioral
     613GRAPHIC 1395,0 283 0
     614DESIGN data_generator
     615VIEW @behavioral
     616GRAPHIC 1427,0 284 0
     617DESIGN data_generator
     618VIEW @behavioral
     619GRAPHIC 676,0 285 0
     620DESIGN data_generator
     621VIEW @behavioral
     622GRAPHIC 845,0 286 0
     623DESIGN data_generator
     624VIEW @behavioral
     625GRAPHIC 681,0 287 0
     626DESIGN data_generator
     627VIEW @behavioral
     628GRAPHIC 801,0 288 0
     629DESIGN data_generator
     630VIEW @behavioral
     631GRAPHIC 1464,0 289 0
     632DESIGN data_generator
     633VIEW @behavioral
     634GRAPHIC 1469,0 290 0
     635DESIGN data_generator
     636VIEW @behavioral
     637GRAPHIC 1459,0 291 0
     638DESIGN data_generator
     639VIEW @behavioral
     640GRAPHIC 1474,0 292 0
     641DESIGN data_generator
     642VIEW @behavioral
     643GRAPHIC 806,0 293 0
     644DESIGN data_generator
     645VIEW @behavioral
     646GRAPHIC 811,0 294 0
     647DESIGN @f@a@d_main
     648VIEW struct.bd
     649GRAPHIC 4903,0 297 0
     650DESIGN @f@a@d_main
     651VIEW struct.bd
     652NO_GRAPHIC 315
     653DESIGN @f@a@d_main
     654VIEW struct.bd
     655GRAPHIC 2311,0 317 0
     656DESIGN memory_manager
     657VIEW symbol.sb
     658GRAPHIC 14,0 318 1
     659DESIGN memory_manager
     660VIEW beha
     661GRAPHIC 138,0 323 0
     662DESIGN memory_manager
     663VIEW beha
     664GRAPHIC 194,0 324 0
     665DESIGN memory_manager
     666VIEW beha
     667GRAPHIC 349,0 325 0
     668DESIGN memory_manager
     669VIEW beha
     670GRAPHIC 949,0 326 0
     671DESIGN memory_manager
     672VIEW beha
     673GRAPHIC 569,0 328 0
     674DESIGN memory_manager
     675VIEW beha
     676GRAPHIC 224,0 330 0
     677DESIGN memory_manager
     678VIEW beha
     679GRAPHIC 254,0 331 0
     680DESIGN memory_manager
     681VIEW beha
     682GRAPHIC 804,0 332 0
     683DESIGN memory_manager
     684VIEW beha
     685GRAPHIC 433,0 333 0
     686DESIGN memory_manager
     687VIEW beha
     688GRAPHIC 622,0 334 0
     689DESIGN memory_manager
     690VIEW beha
     691GRAPHIC 289,0 335 0
     692DESIGN memory_manager
     693VIEW beha
     694GRAPHIC 309,0 336 0
     695DESIGN memory_manager
     696VIEW beha
     697GRAPHIC 284,0 337 0
     698DESIGN memory_manager
     699VIEW beha
     700GRAPHIC 294,0 338 0
     701DESIGN memory_manager
     702VIEW beha
     703GRAPHIC 304,0 339 0
     704DESIGN memory_manager
     705VIEW beha
     706GRAPHIC 299,0 340 0
     707DESIGN memory_manager
     708VIEW beha
     709GRAPHIC 379,0 341 0
     710DESIGN memory_manager
     711VIEW beha
     712GRAPHIC 915,0 342 0
     713DESIGN memory_manager
     714VIEW beha
     715GRAPHIC 51,0 343 0
     716DESIGN @f@a@d_main
     717VIEW struct.bd
     718GRAPHIC 5793,0 346 0
    677719DESIGN spi_interface
    678720VIEW symbol.sb
    679 GRAPHIC 1121,0 316 0
     721GRAPHIC 1121,0 348 0
    680722DESIGN spi_interface
    681723VIEW symbol.sb
    682 GRAPHIC 326,0 317 0
     724GRAPHIC 326,0 349 0
    683725DESIGN spi_interface
    684726VIEW symbol.sb
    685 GRAPHIC 197,0 318 0
     727GRAPHIC 197,0 350 0
    686728DESIGN spi_interface
    687729VIEW symbol.sb
    688 GRAPHIC 321,0 319 0
     730GRAPHIC 321,0 351 0
    689731DESIGN spi_interface
    690732VIEW symbol.sb
    691 GRAPHIC 1198,0 320 0
     733GRAPHIC 1198,0 352 0
    692734DESIGN spi_interface
    693735VIEW symbol.sb
    694 GRAPHIC 1017,0 321 0
     736GRAPHIC 1017,0 353 0
    695737DESIGN spi_interface
    696738VIEW symbol.sb
    697 GRAPHIC 1229,0 322 0
     739GRAPHIC 1229,0 354 0
    698740DESIGN spi_interface
    699741VIEW symbol.sb
    700 GRAPHIC 126,0 323 0
     742GRAPHIC 126,0 355 0
    701743DESIGN spi_interface
    702744VIEW symbol.sb
    703 GRAPHIC 819,0 324 0
     745GRAPHIC 819,0 356 0
    704746DESIGN spi_interface
    705747VIEW symbol.sb
    706 GRAPHIC 1022,0 325 0
     748GRAPHIC 1022,0 357 0
    707749DESIGN spi_interface
    708750VIEW symbol.sb
    709 GRAPHIC 824,0 326 0
     751GRAPHIC 824,0 358 0
    710752DESIGN spi_interface
    711753VIEW symbol.sb
    712 GRAPHIC 1283,0 327 0
    713 DESIGN @f@a@d_main
    714 VIEW struct.bd
    715 GRAPHIC 1768,0 330 0
     754GRAPHIC 1283,0 359 0
     755DESIGN @f@a@d_main
     756VIEW struct.bd
     757GRAPHIC 1768,0 362 0
    716758DESIGN trigger_counter
    717759VIEW beha
    718 GRAPHIC 48,0 332 0
     760GRAPHIC 48,0 364 0
    719761DESIGN trigger_counter
    720762VIEW beha
    721 GRAPHIC 53,0 333 0
     763GRAPHIC 53,0 365 0
    722764DESIGN trigger_counter
    723765VIEW beha
    724 GRAPHIC 148,0 334 0
    725 DESIGN @f@a@d_main
    726 VIEW struct.bd
    727 GRAPHIC 1606,0 337 0
    728 DESIGN w5300_modul
    729 VIEW symbol.sb
    730 GRAPHIC 14,0 338 1
    731 DESIGN w5300_modul
    732 VIEW @behavioral
    733 GRAPHIC 48,0 342 0
    734 DESIGN w5300_modul
    735 VIEW @behavioral
    736 GRAPHIC 53,0 343 0
    737 DESIGN w5300_modul
    738 VIEW @behavioral
    739 GRAPHIC 58,0 344 0
    740 DESIGN w5300_modul
    741 VIEW @behavioral
    742 GRAPHIC 63,0 345 0
    743 DESIGN w5300_modul
    744 VIEW @behavioral
    745 GRAPHIC 68,0 346 0
    746 DESIGN w5300_modul
    747 VIEW @behavioral
    748 GRAPHIC 73,0 347 0
    749 DESIGN w5300_modul
    750 VIEW @behavioral
    751 GRAPHIC 491,0 348 0
    752 DESIGN w5300_modul
    753 VIEW @behavioral
    754 GRAPHIC 83,0 349 0
    755 DESIGN w5300_modul
    756 VIEW @behavioral
    757 GRAPHIC 88,0 350 0
    758 DESIGN w5300_modul
    759 VIEW @behavioral
    760 GRAPHIC 93,0 351 0
    761 DESIGN w5300_modul
    762 VIEW @behavioral
    763 GRAPHIC 98,0 352 0
    764 DESIGN w5300_modul
    765 VIEW @behavioral
    766 GRAPHIC 103,0 353 0
    767 DESIGN w5300_modul
    768 VIEW @behavioral
    769 GRAPHIC 108,0 354 0
    770 DESIGN w5300_modul
    771 VIEW @behavioral
    772 GRAPHIC 113,0 355 0
    773 DESIGN w5300_modul
    774 VIEW @behavioral
    775 GRAPHIC 885,0 356 0
    776 DESIGN w5300_modul
    777 VIEW @behavioral
    778 GRAPHIC 118,0 357 0
    779 DESIGN w5300_modul
    780 VIEW @behavioral
    781 GRAPHIC 353,0 358 0
    782 DESIGN w5300_modul
    783 VIEW @behavioral
    784 GRAPHIC 348,0 359 0
    785 DESIGN w5300_modul
    786 VIEW @behavioral
    787 GRAPHIC 385,0 360 0
    788 DESIGN w5300_modul
    789 VIEW @behavioral
    790 GRAPHIC 521,0 361 0
    791 DESIGN w5300_modul
    792 VIEW @behavioral
    793 GRAPHIC 576,0 362 0
    794 DESIGN w5300_modul
    795 VIEW @behavioral
    796 GRAPHIC 566,0 363 0
    797 DESIGN w5300_modul
    798 VIEW @behavioral
    799 GRAPHIC 551,0 364 0
    800 DESIGN w5300_modul
    801 VIEW @behavioral
    802 GRAPHIC 561,0 365 0
    803 DESIGN w5300_modul
    804 VIEW @behavioral
    805 GRAPHIC 571,0 366 0
    806 DESIGN w5300_modul
    807 VIEW @behavioral
    808 GRAPHIC 640,0 367 0
    809 DESIGN w5300_modul
    810 VIEW @behavioral
    811 GRAPHIC 556,0 368 0
    812 DESIGN w5300_modul
    813 VIEW @behavioral
    814 GRAPHIC 670,0 369 0
    815 DESIGN w5300_modul
    816 VIEW @behavioral
    817 GRAPHIC 723,0 370 0
    818 DESIGN w5300_modul
    819 VIEW @behavioral
    820 GRAPHIC 917,0 371 0
    821 DESIGN w5300_modul
    822 VIEW @behavioral
    823 GRAPHIC 949,0 372 0
    824 DESIGN w5300_modul
    825 VIEW @behavioral
    826 GRAPHIC 954,0 373 0
    827 DESIGN w5300_modul
    828 VIEW @behavioral
    829 GRAPHIC 988,0 374 0
    830 DESIGN w5300_modul
    831 VIEW @behavioral
    832 GRAPHIC 1020,0 375 0
     766GRAPHIC 148,0 366 0
     767DESIGN @f@a@d_main
     768VIEW struct.bd
     769GRAPHIC 1606,0 369 0
     770DESIGN w5300_modul
     771VIEW symbol.sb
     772GRAPHIC 14,0 370 1
     773DESIGN w5300_modul
     774VIEW @behavioral
     775GRAPHIC 48,0 374 0
     776DESIGN w5300_modul
     777VIEW @behavioral
     778GRAPHIC 53,0 375 0
     779DESIGN w5300_modul
     780VIEW @behavioral
     781GRAPHIC 58,0 376 0
     782DESIGN w5300_modul
     783VIEW @behavioral
     784GRAPHIC 63,0 377 0
     785DESIGN w5300_modul
     786VIEW @behavioral
     787GRAPHIC 68,0 378 0
     788DESIGN w5300_modul
     789VIEW @behavioral
     790GRAPHIC 73,0 379 0
     791DESIGN w5300_modul
     792VIEW @behavioral
     793GRAPHIC 491,0 380 0
     794DESIGN w5300_modul
     795VIEW @behavioral
     796GRAPHIC 83,0 381 0
     797DESIGN w5300_modul
     798VIEW @behavioral
     799GRAPHIC 88,0 382 0
     800DESIGN w5300_modul
     801VIEW @behavioral
     802GRAPHIC 93,0 383 0
     803DESIGN w5300_modul
     804VIEW @behavioral
     805GRAPHIC 98,0 384 0
     806DESIGN w5300_modul
     807VIEW @behavioral
     808GRAPHIC 103,0 385 0
     809DESIGN w5300_modul
     810VIEW @behavioral
     811GRAPHIC 108,0 386 0
     812DESIGN w5300_modul
     813VIEW @behavioral
     814GRAPHIC 113,0 387 0
     815DESIGN w5300_modul
     816VIEW @behavioral
     817GRAPHIC 885,0 388 0
     818DESIGN w5300_modul
     819VIEW @behavioral
     820GRAPHIC 118,0 389 0
     821DESIGN w5300_modul
     822VIEW @behavioral
     823GRAPHIC 353,0 390 0
     824DESIGN w5300_modul
     825VIEW @behavioral
     826GRAPHIC 348,0 391 0
     827DESIGN w5300_modul
     828VIEW @behavioral
     829GRAPHIC 385,0 392 0
     830DESIGN w5300_modul
     831VIEW @behavioral
     832GRAPHIC 521,0 393 0
     833DESIGN w5300_modul
     834VIEW @behavioral
     835GRAPHIC 576,0 394 0
     836DESIGN w5300_modul
     837VIEW @behavioral
     838GRAPHIC 566,0 395 0
     839DESIGN w5300_modul
     840VIEW @behavioral
     841GRAPHIC 551,0 396 0
     842DESIGN w5300_modul
     843VIEW @behavioral
     844GRAPHIC 561,0 397 0
     845DESIGN w5300_modul
     846VIEW @behavioral
     847GRAPHIC 571,0 398 0
     848DESIGN w5300_modul
     849VIEW @behavioral
     850GRAPHIC 640,0 399 0
     851DESIGN w5300_modul
     852VIEW @behavioral
     853GRAPHIC 1052,0 400 0
     854DESIGN w5300_modul
     855VIEW @behavioral
     856GRAPHIC 1057,0 402 0
     857DESIGN w5300_modul
     858VIEW @behavioral
     859GRAPHIC 556,0 404 0
     860DESIGN w5300_modul
     861VIEW @behavioral
     862GRAPHIC 670,0 406 0
     863DESIGN w5300_modul
     864VIEW @behavioral
     865GRAPHIC 723,0 407 0
     866DESIGN w5300_modul
     867VIEW @behavioral
     868GRAPHIC 917,0 408 0
     869DESIGN w5300_modul
     870VIEW @behavioral
     871GRAPHIC 949,0 409 0
     872DESIGN w5300_modul
     873VIEW @behavioral
     874GRAPHIC 954,0 410 0
     875DESIGN w5300_modul
     876VIEW @behavioral
     877GRAPHIC 988,0 411 0
     878DESIGN w5300_modul
     879VIEW @behavioral
     880GRAPHIC 1020,0 412 0
    833881LIBRARY FACT_FAD_lib
    834882DESIGN @f@a@d_main
    835883VIEW struct.bd
    836 NO_GRAPHIC 378
    837 DESIGN @f@a@d_main
    838 VIEW struct.bd
    839 GRAPHIC 5678,0 381 0
    840 DESIGN @f@a@d_main
    841 VIEW struct.bd
    842 GRAPHIC 9175,0 382 0
    843 DESIGN @f@a@d_main
    844 VIEW struct.bd
    845 GRAPHIC 5072,0 383 0
    846 DESIGN @f@a@d_main
    847 VIEW struct.bd
    848 GRAPHIC 8277,0 384 0
    849 DESIGN @f@a@d_main
    850 VIEW struct.bd
    851 GRAPHIC 1399,0 385 0
    852 DESIGN @f@a@d_main
    853 VIEW struct.bd
    854 GRAPHIC 4903,0 386 0
    855 DESIGN @f@a@d_main
    856 VIEW struct.bd
    857 GRAPHIC 2311,0 387 0
    858 DESIGN @f@a@d_main
    859 VIEW struct.bd
    860 GRAPHIC 5793,0 388 0
    861 DESIGN @f@a@d_main
    862 VIEW struct.bd
    863 GRAPHIC 1768,0 389 0
    864 DESIGN @f@a@d_main
    865 VIEW struct.bd
    866 GRAPHIC 1606,0 390 0
    867 DESIGN @f@a@d_main
    868 VIEW struct.bd
    869 NO_GRAPHIC 393
    870 DESIGN @f@a@d_main
    871 VIEW struct.bd
    872 GRAPHIC 6529,0 395 0
    873 DESIGN @f@a@d_main
    874 VIEW struct.bd
    875 GRAPHIC 9957,0 398 0
    876 DESIGN @f@a@d_main
    877 VIEW struct.bd
    878 GRAPHIC 8721,0 401 0
    879 DESIGN @f@a@d_main
    880 VIEW struct.bd
    881 GRAPHIC 9430,0 404 0
    882 DESIGN @f@a@d_main
    883 VIEW struct.bd
    884 GRAPHIC 9472,0 407 0
    885 DESIGN @f@a@d_main
    886 VIEW struct.bd
    887 GRAPHIC 9662,0 410 0
    888 DESIGN @f@a@d_main
    889 VIEW struct.bd
    890 GRAPHIC 9679,0 413 0
    891 DESIGN @f@a@d_main
    892 VIEW struct.bd
    893 GRAPHIC 9710,0 416 0
    894 DESIGN @f@a@d_main
    895 VIEW struct.bd
    896 GRAPHIC 8562,0 419 0
     884NO_GRAPHIC 415
     885DESIGN @f@a@d_main
     886VIEW struct.bd
     887GRAPHIC 5678,0 418 0
     888DESIGN @f@a@d_main
     889VIEW struct.bd
     890GRAPHIC 9175,0 419 0
     891DESIGN @f@a@d_main
     892VIEW struct.bd
     893GRAPHIC 5072,0 420 0
     894DESIGN @f@a@d_main
     895VIEW struct.bd
     896GRAPHIC 8277,0 421 0
     897DESIGN @f@a@d_main
     898VIEW struct.bd
     899GRAPHIC 1399,0 422 0
     900DESIGN @f@a@d_main
     901VIEW struct.bd
     902GRAPHIC 4903,0 423 0
     903DESIGN @f@a@d_main
     904VIEW struct.bd
     905GRAPHIC 2311,0 424 0
     906DESIGN @f@a@d_main
     907VIEW struct.bd
     908GRAPHIC 5793,0 425 0
     909DESIGN @f@a@d_main
     910VIEW struct.bd
     911GRAPHIC 1768,0 426 0
     912DESIGN @f@a@d_main
     913VIEW struct.bd
     914GRAPHIC 1606,0 427 0
    897915DESIGN @f@a@d_main
    898916VIEW struct.bd
     
    900918DESIGN @f@a@d_main
    901919VIEW struct.bd
    902 GRAPHIC 5678,0 432 0
    903 DESIGN @f@a@d_main
    904 VIEW struct.bd
    905 GRAPHIC 5646,0 434 0
    906 DESIGN @f@a@d_main
    907 VIEW struct.bd
    908 GRAPHIC 4272,0 435 0
    909 DESIGN @f@a@d_main
    910 VIEW struct.bd
    911 GRAPHIC 2786,0 436 0
    912 DESIGN @f@a@d_main
    913 VIEW struct.bd
    914 GRAPHIC 5626,0 437 0
    915 DESIGN @f@a@d_main
    916 VIEW struct.bd
    917 GRAPHIC 5634,0 438 0
    918 DESIGN @f@a@d_main
    919 VIEW struct.bd
    920 GRAPHIC 9175,0 440 0
    921 DESIGN @f@a@d_main
    922 VIEW struct.bd
    923 GRAPHIC 4042,0 442 0
    924 DESIGN @f@a@d_main
    925 VIEW struct.bd
    926 GRAPHIC 10036,0 443 0
    927 DESIGN @f@a@d_main
    928 VIEW struct.bd
    929 GRAPHIC 9253,0 444 0
    930 DESIGN @f@a@d_main
    931 VIEW struct.bd
    932 GRAPHIC 9261,0 445 0
    933 DESIGN @f@a@d_main
    934 VIEW struct.bd
    935 GRAPHIC 6072,0 446 0
    936 DESIGN @f@a@d_main
    937 VIEW struct.bd
    938 GRAPHIC 3984,0 447 0
    939 DESIGN @f@a@d_main
    940 VIEW struct.bd
    941 GRAPHIC 3888,0 448 0
    942 DESIGN @f@a@d_main
    943 VIEW struct.bd
    944 GRAPHIC 9353,0 449 0
    945 DESIGN @f@a@d_main
    946 VIEW struct.bd
    947 GRAPHIC 9269,0 450 0
    948 DESIGN @f@a@d_main
    949 VIEW struct.bd
    950 GRAPHIC 9325,0 451 0
    951 DESIGN @f@a@d_main
    952 VIEW struct.bd
    953 GRAPHIC 9283,0 452 0
    954 DESIGN @f@a@d_main
    955 VIEW struct.bd
    956 GRAPHIC 9311,0 453 0
    957 DESIGN @f@a@d_main
    958 VIEW struct.bd
    959 GRAPHIC 9297,0 454 0
    960 DESIGN @f@a@d_main
    961 VIEW struct.bd
    962 GRAPHIC 9367,0 455 0
    963 DESIGN @f@a@d_main
    964 VIEW struct.bd
    965 GRAPHIC 9397,0 456 0
    966 DESIGN @f@a@d_main
    967 VIEW struct.bd
    968 GRAPHIC 9382,0 457 0
    969 DESIGN @f@a@d_main
    970 VIEW struct.bd
    971 GRAPHIC 5072,0 459 0
    972 DESIGN @f@a@d_main
    973 VIEW struct.bd
    974 GRAPHIC 5582,0 461 0
    975 DESIGN @f@a@d_main
    976 VIEW struct.bd
    977 GRAPHIC 5090,0 462 0
    978 DESIGN @f@a@d_main
    979 VIEW struct.bd
    980 GRAPHIC 5130,0 463 0
    981 DESIGN @f@a@d_main
    982 VIEW struct.bd
    983 GRAPHIC 5184,0 464 0
    984 DESIGN @f@a@d_main
    985 VIEW struct.bd
    986 GRAPHIC 5122,0 465 0
    987 DESIGN @f@a@d_main
    988 VIEW struct.bd
    989 GRAPHIC 5106,0 466 0
    990 DESIGN @f@a@d_main
    991 VIEW struct.bd
    992 GRAPHIC 5098,0 467 0
    993 DESIGN @f@a@d_main
    994 VIEW struct.bd
    995 GRAPHIC 5190,0 468 0
    996 DESIGN @f@a@d_main
    997 VIEW struct.bd
    998 GRAPHIC 6002,0 469 0
    999 DESIGN @f@a@d_main
    1000 VIEW struct.bd
    1001 GRAPHIC 5146,0 470 0
    1002 DESIGN @f@a@d_main
    1003 VIEW struct.bd
    1004 GRAPHIC 8510,0 471 0
    1005 DESIGN @f@a@d_main
    1006 VIEW struct.bd
    1007 GRAPHIC 8518,0 472 0
    1008 DESIGN @f@a@d_main
    1009 VIEW struct.bd
    1010 GRAPHIC 5138,0 473 0
    1011 DESIGN @f@a@d_main
    1012 VIEW struct.bd
    1013 GRAPHIC 5114,0 474 0
    1014 DESIGN @f@a@d_main
    1015 VIEW struct.bd
    1016 GRAPHIC 8277,0 476 0
    1017 DESIGN @f@a@d_main
    1018 VIEW struct.bd
    1019 GRAPHIC 5602,0 478 0
    1020 DESIGN @f@a@d_main
    1021 VIEW struct.bd
    1022 GRAPHIC 334,0 479 0
    1023 DESIGN @f@a@d_main
    1024 VIEW struct.bd
    1025 GRAPHIC 328,0 480 0
    1026 DESIGN @f@a@d_main
    1027 VIEW struct.bd
    1028 GRAPHIC 322,0 481 0
    1029 DESIGN @f@a@d_main
    1030 VIEW struct.bd
    1031 GRAPHIC 4240,0 482 0
    1032 DESIGN @f@a@d_main
    1033 VIEW struct.bd
    1034 GRAPHIC 364,0 483 0
    1035 DESIGN @f@a@d_main
    1036 VIEW struct.bd
    1037 GRAPHIC 370,0 484 0
    1038 DESIGN @f@a@d_main
    1039 VIEW struct.bd
    1040 GRAPHIC 1399,0 486 0
    1041 DESIGN @f@a@d_main
    1042 VIEW struct.bd
    1043 GRAPHIC 1406,0 487 1
    1044 DESIGN @f@a@d_main
    1045 VIEW struct.bd
    1046 GRAPHIC 5602,0 491 0
    1047 DESIGN @f@a@d_main
    1048 VIEW struct.bd
    1049 GRAPHIC 334,0 492 0
    1050 DESIGN @f@a@d_main
    1051 VIEW struct.bd
    1052 GRAPHIC 328,0 493 0
    1053 DESIGN @f@a@d_main
    1054 VIEW struct.bd
    1055 GRAPHIC 322,0 494 0
    1056 DESIGN @f@a@d_main
    1057 VIEW struct.bd
    1058 GRAPHIC 2299,0 495 0
    1059 DESIGN @f@a@d_main
    1060 VIEW struct.bd
    1061 GRAPHIC 2576,0 496 0
    1062 DESIGN @f@a@d_main
    1063 VIEW struct.bd
    1064 GRAPHIC 2582,0 497 0
    1065 DESIGN @f@a@d_main
    1066 VIEW struct.bd
    1067 GRAPHIC 2588,0 498 0
    1068 DESIGN @f@a@d_main
    1069 VIEW struct.bd
    1070 GRAPHIC 5184,0 499 0
    1071 DESIGN @f@a@d_main
    1072 VIEW struct.bd
    1073 GRAPHIC 5745,0 500 0
    1074 DESIGN @f@a@d_main
    1075 VIEW struct.bd
    1076 GRAPHIC 2594,0 501 0
    1077 DESIGN @f@a@d_main
    1078 VIEW struct.bd
    1079 GRAPHIC 5190,0 502 0
    1080 DESIGN @f@a@d_main
    1081 VIEW struct.bd
    1082 GRAPHIC 5404,0 503 0
    1083 DESIGN @f@a@d_main
    1084 VIEW struct.bd
    1085 GRAPHIC 6018,0 504 0
    1086 DESIGN @f@a@d_main
    1087 VIEW struct.bd
    1088 GRAPHIC 6002,0 505 0
    1089 DESIGN @f@a@d_main
    1090 VIEW struct.bd
    1091 GRAPHIC 6008,0 506 0
    1092 DESIGN @f@a@d_main
    1093 VIEW struct.bd
    1094 GRAPHIC 5138,0 507 0
    1095 DESIGN @f@a@d_main
    1096 VIEW struct.bd
    1097 GRAPHIC 2600,0 508 0
    1098 DESIGN @f@a@d_main
    1099 VIEW struct.bd
    1100 GRAPHIC 5480,0 509 0
    1101 DESIGN @f@a@d_main
    1102 VIEW struct.bd
    1103 GRAPHIC 5474,0 510 0
    1104 DESIGN @f@a@d_main
    1105 VIEW struct.bd
    1106 GRAPHIC 6064,0 511 0
    1107 DESIGN @f@a@d_main
    1108 VIEW struct.bd
    1109 GRAPHIC 2642,0 512 0
    1110 DESIGN @f@a@d_main
    1111 VIEW struct.bd
    1112 GRAPHIC 1411,0 513 0
    1113 DESIGN @f@a@d_main
    1114 VIEW struct.bd
    1115 GRAPHIC 1682,0 514 0
    1116 DESIGN @f@a@d_main
    1117 VIEW struct.bd
    1118 GRAPHIC 1983,0 515 0
    1119 DESIGN @f@a@d_main
    1120 VIEW struct.bd
    1121 GRAPHIC 1425,0 516 0
    1122 DESIGN @f@a@d_main
    1123 VIEW struct.bd
    1124 GRAPHIC 5281,0 517 0
    1125 DESIGN @f@a@d_main
    1126 VIEW struct.bd
    1127 GRAPHIC 5950,0 518 0
    1128 DESIGN @f@a@d_main
    1129 VIEW struct.bd
    1130 GRAPHIC 5962,0 519 0
    1131 DESIGN @f@a@d_main
    1132 VIEW struct.bd
    1133 GRAPHIC 5626,0 520 0
    1134 DESIGN @f@a@d_main
    1135 VIEW struct.bd
    1136 GRAPHIC 2778,0 521 0
    1137 DESIGN @f@a@d_main
    1138 VIEW struct.bd
    1139 GRAPHIC 9006,0 522 0
    1140 DESIGN @f@a@d_main
    1141 VIEW struct.bd
    1142 GRAPHIC 5634,0 523 0
    1143 DESIGN @f@a@d_main
    1144 VIEW struct.bd
    1145 GRAPHIC 8577,0 524 0
    1146 DESIGN @f@a@d_main
    1147 VIEW struct.bd
    1148 GRAPHIC 6540,0 525 0
    1149 DESIGN @f@a@d_main
    1150 VIEW struct.bd
    1151 GRAPHIC 4401,0 526 0
    1152 DESIGN @f@a@d_main
    1153 VIEW struct.bd
    1154 GRAPHIC 4419,0 527 0
    1155 DESIGN @f@a@d_main
    1156 VIEW struct.bd
    1157 GRAPHIC 4743,0 528 0
    1158 DESIGN @f@a@d_main
    1159 VIEW struct.bd
    1160 GRAPHIC 4407,0 529 0
    1161 DESIGN @f@a@d_main
    1162 VIEW struct.bd
    1163 GRAPHIC 4903,0 531 0
    1164 DESIGN @f@a@d_main
    1165 VIEW struct.bd
    1166 GRAPHIC 4757,0 533 0
    1167 DESIGN @f@a@d_main
    1168 VIEW struct.bd
    1169 GRAPHIC 4401,0 534 0
    1170 DESIGN @f@a@d_main
    1171 VIEW struct.bd
    1172 GRAPHIC 4419,0 535 0
    1173 DESIGN @f@a@d_main
    1174 VIEW struct.bd
    1175 GRAPHIC 4671,0 536 0
    1176 DESIGN @f@a@d_main
    1177 VIEW struct.bd
    1178 GRAPHIC 4679,0 537 0
    1179 DESIGN @f@a@d_main
    1180 VIEW struct.bd
    1181 GRAPHIC 4687,0 538 0
    1182 DESIGN @f@a@d_main
    1183 VIEW struct.bd
    1184 GRAPHIC 4695,0 539 0
    1185 DESIGN @f@a@d_main
    1186 VIEW struct.bd
    1187 GRAPHIC 4407,0 540 0
    1188 DESIGN @f@a@d_main
    1189 VIEW struct.bd
    1190 GRAPHIC 4743,0 541 0
    1191 DESIGN @f@a@d_main
    1192 VIEW struct.bd
    1193 GRAPHIC 4948,0 542 0
    1194 DESIGN @f@a@d_main
    1195 VIEW struct.bd
    1196 GRAPHIC 10010,0 543 0
    1197 DESIGN @f@a@d_main
    1198 VIEW struct.bd
    1199 GRAPHIC 2311,0 545 0
    1200 DESIGN @f@a@d_main
    1201 VIEW struct.bd
    1202 GRAPHIC 2318,0 546 1
    1203 DESIGN @f@a@d_main
    1204 VIEW struct.bd
    1205 GRAPHIC 6082,0 551 0
    1206 DESIGN @f@a@d_main
    1207 VIEW struct.bd
    1208 GRAPHIC 2588,0 552 0
    1209 DESIGN @f@a@d_main
    1210 VIEW struct.bd
    1211 GRAPHIC 2582,0 553 0
    1212 DESIGN @f@a@d_main
    1213 VIEW struct.bd
    1214 GRAPHIC 5168,0 554 0
    1215 DESIGN @f@a@d_main
    1216 VIEW struct.bd
    1217 GRAPHIC 2576,0 555 0
    1218 DESIGN @f@a@d_main
    1219 VIEW struct.bd
    1220 GRAPHIC 2594,0 556 0
    1221 DESIGN @f@a@d_main
    1222 VIEW struct.bd
    1223 GRAPHIC 6018,0 557 0
    1224 DESIGN @f@a@d_main
    1225 VIEW struct.bd
    1226 GRAPHIC 2600,0 558 0
    1227 DESIGN @f@a@d_main
    1228 VIEW struct.bd
    1229 GRAPHIC 2642,0 559 0
    1230 DESIGN @f@a@d_main
    1231 VIEW struct.bd
    1232 GRAPHIC 2488,0 560 0
    1233 DESIGN @f@a@d_main
    1234 VIEW struct.bd
    1235 GRAPHIC 2482,0 561 0
    1236 DESIGN @f@a@d_main
    1237 VIEW struct.bd
    1238 GRAPHIC 2494,0 562 0
    1239 DESIGN @f@a@d_main
    1240 VIEW struct.bd
    1241 GRAPHIC 2476,0 563 0
    1242 DESIGN @f@a@d_main
    1243 VIEW struct.bd
    1244 GRAPHIC 2506,0 564 0
    1245 DESIGN @f@a@d_main
    1246 VIEW struct.bd
    1247 GRAPHIC 2500,0 565 0
    1248 DESIGN @f@a@d_main
    1249 VIEW struct.bd
    1250 GRAPHIC 2470,0 566 0
    1251 DESIGN @f@a@d_main
    1252 VIEW struct.bd
    1253 GRAPHIC 8416,0 567 0
    1254 DESIGN @f@a@d_main
    1255 VIEW struct.bd
    1256 GRAPHIC 2299,0 568 0
    1257 DESIGN @f@a@d_main
    1258 VIEW struct.bd
    1259 GRAPHIC 5793,0 570 0
    1260 DESIGN @f@a@d_main
    1261 VIEW struct.bd
    1262 GRAPHIC 5805,0 572 0
    1263 DESIGN @f@a@d_main
    1264 VIEW struct.bd
    1265 GRAPHIC 5745,0 573 0
    1266 DESIGN @f@a@d_main
    1267 VIEW struct.bd
    1268 GRAPHIC 5146,0 574 0
    1269 DESIGN @f@a@d_main
    1270 VIEW struct.bd
    1271 GRAPHIC 5404,0 575 0
    1272 DESIGN @f@a@d_main
    1273 VIEW struct.bd
    1274 GRAPHIC 6008,0 576 0
    1275 DESIGN @f@a@d_main
    1276 VIEW struct.bd
    1277 GRAPHIC 5829,0 577 0
    1278 DESIGN @f@a@d_main
    1279 VIEW struct.bd
    1280 GRAPHIC 6160,0 578 0
    1281 DESIGN @f@a@d_main
    1282 VIEW struct.bd
    1283 GRAPHIC 8732,0 579 0
    1284 DESIGN @f@a@d_main
    1285 VIEW struct.bd
    1286 GRAPHIC 5480,0 580 0
    1287 DESIGN @f@a@d_main
    1288 VIEW struct.bd
    1289 GRAPHIC 5837,0 581 0
    1290 DESIGN @f@a@d_main
    1291 VIEW struct.bd
    1292 GRAPHIC 5474,0 582 0
    1293 DESIGN @f@a@d_main
    1294 VIEW struct.bd
    1295 GRAPHIC 5821,0 583 0
    1296 DESIGN @f@a@d_main
    1297 VIEW struct.bd
    1298 GRAPHIC 1768,0 585 0
    1299 DESIGN @f@a@d_main
    1300 VIEW struct.bd
    1301 GRAPHIC 1983,0 587 0
    1302 DESIGN @f@a@d_main
    1303 VIEW struct.bd
    1304 GRAPHIC 2876,0 588 0
    1305 DESIGN @f@a@d_main
    1306 VIEW struct.bd
    1307 GRAPHIC 6276,0 589 0
    1308 DESIGN @f@a@d_main
    1309 VIEW struct.bd
    1310 GRAPHIC 1606,0 591 0
    1311 DESIGN @f@a@d_main
    1312 VIEW struct.bd
    1313 GRAPHIC 1613,0 592 1
    1314 DESIGN @f@a@d_main
    1315 VIEW struct.bd
    1316 GRAPHIC 3888,0 596 0
    1317 DESIGN @f@a@d_main
    1318 VIEW struct.bd
    1319 GRAPHIC 376,0 597 0
    1320 DESIGN @f@a@d_main
    1321 VIEW struct.bd
    1322 GRAPHIC 384,0 598 0
    1323 DESIGN @f@a@d_main
    1324 VIEW struct.bd
    1325 GRAPHIC 392,0 599 0
    1326 DESIGN @f@a@d_main
    1327 VIEW struct.bd
    1328 GRAPHIC 400,0 600 0
    1329 DESIGN @f@a@d_main
    1330 VIEW struct.bd
    1331 GRAPHIC 408,0 601 0
    1332 DESIGN @f@a@d_main
    1333 VIEW struct.bd
    1334 GRAPHIC 5222,0 602 0
    1335 DESIGN @f@a@d_main
    1336 VIEW struct.bd
    1337 GRAPHIC 424,0 603 0
    1338 DESIGN @f@a@d_main
    1339 VIEW struct.bd
    1340 GRAPHIC 432,0 604 0
    1341 DESIGN @f@a@d_main
    1342 VIEW struct.bd
    1343 GRAPHIC 2482,0 605 0
    1344 DESIGN @f@a@d_main
    1345 VIEW struct.bd
    1346 GRAPHIC 2488,0 606 0
    1347 DESIGN @f@a@d_main
    1348 VIEW struct.bd
    1349 GRAPHIC 370,0 607 0
    1350 DESIGN @f@a@d_main
    1351 VIEW struct.bd
    1352 GRAPHIC 364,0 608 0
    1353 DESIGN @f@a@d_main
    1354 VIEW struct.bd
    1355 GRAPHIC 2476,0 609 0
    1356 DESIGN @f@a@d_main
    1357 VIEW struct.bd
    1358 GRAPHIC 8416,0 610 0
    1359 DESIGN @f@a@d_main
    1360 VIEW struct.bd
    1361 GRAPHIC 2470,0 611 0
    1362 DESIGN @f@a@d_main
    1363 VIEW struct.bd
    1364 GRAPHIC 2506,0 612 0
    1365 DESIGN @f@a@d_main
    1366 VIEW struct.bd
    1367 GRAPHIC 2500,0 613 0
    1368 DESIGN @f@a@d_main
    1369 VIEW struct.bd
    1370 GRAPHIC 2494,0 614 0
    1371 DESIGN @f@a@d_main
    1372 VIEW struct.bd
    1373 GRAPHIC 5281,0 615 0
    1374 DESIGN @f@a@d_main
    1375 VIEW struct.bd
    1376 GRAPHIC 5950,0 616 0
    1377 DESIGN @f@a@d_main
    1378 VIEW struct.bd
    1379 GRAPHIC 5962,0 617 0
    1380 DESIGN @f@a@d_main
    1381 VIEW struct.bd
    1382 GRAPHIC 5090,0 618 0
    1383 DESIGN @f@a@d_main
    1384 VIEW struct.bd
    1385 GRAPHIC 5114,0 619 0
    1386 DESIGN @f@a@d_main
    1387 VIEW struct.bd
    1388 GRAPHIC 5122,0 620 0
    1389 DESIGN @f@a@d_main
    1390 VIEW struct.bd
    1391 GRAPHIC 5130,0 621 0
    1392 DESIGN @f@a@d_main
    1393 VIEW struct.bd
    1394 GRAPHIC 5106,0 622 0
    1395 DESIGN @f@a@d_main
    1396 VIEW struct.bd
    1397 GRAPHIC 6362,0 623 0
    1398 DESIGN @f@a@d_main
    1399 VIEW struct.bd
    1400 GRAPHIC 6452,0 624 0
    1401 DESIGN @f@a@d_main
    1402 VIEW struct.bd
    1403 GRAPHIC 8752,0 625 0
    1404 DESIGN @f@a@d_main
    1405 VIEW struct.bd
    1406 GRAPHIC 9233,0 626 0
    1407 DESIGN @f@a@d_main
    1408 VIEW struct.bd
    1409 GRAPHIC 9241,0 627 0
    1410 DESIGN @f@a@d_main
    1411 VIEW struct.bd
    1412 GRAPHIC 9943,0 628 0
    1413 DESIGN @f@a@d_main
    1414 VIEW struct.bd
    1415 GRAPHIC 9951,0 629 0
    1416 DESIGN @f@a@d_main
    1417 VIEW struct.bd
    1418 GRAPHIC 6276,0 633 0
    1419 DESIGN @f@a@d_main
    1420 VIEW struct.bd
    1421 GRAPHIC 3888,0 634 0
    1422 DESIGN @f@a@d_main
    1423 VIEW struct.bd
    1424 NO_GRAPHIC 636
     920GRAPHIC 6529,0 432 0
     921DESIGN @f@a@d_main
     922VIEW struct.bd
     923GRAPHIC 9957,0 435 0
     924DESIGN @f@a@d_main
     925VIEW struct.bd
     926GRAPHIC 8721,0 438 0
     927DESIGN @f@a@d_main
     928VIEW struct.bd
     929GRAPHIC 9430,0 441 0
     930DESIGN @f@a@d_main
     931VIEW struct.bd
     932GRAPHIC 9472,0 444 0
     933DESIGN @f@a@d_main
     934VIEW struct.bd
     935GRAPHIC 9662,0 447 0
     936DESIGN @f@a@d_main
     937VIEW struct.bd
     938GRAPHIC 9679,0 450 0
     939DESIGN @f@a@d_main
     940VIEW struct.bd
     941GRAPHIC 9710,0 453 0
     942DESIGN @f@a@d_main
     943VIEW struct.bd
     944GRAPHIC 8562,0 456 0
     945DESIGN @f@a@d_main
     946VIEW struct.bd
     947GRAPHIC 10380,0 467 0
     948DESIGN @f@a@d_main
     949VIEW struct.bd
     950NO_GRAPHIC 470
     951DESIGN @f@a@d_main
     952VIEW struct.bd
     953GRAPHIC 5678,0 472 0
     954DESIGN @f@a@d_main
     955VIEW struct.bd
     956GRAPHIC 5646,0 474 0
     957DESIGN @f@a@d_main
     958VIEW struct.bd
     959GRAPHIC 4272,0 475 0
     960DESIGN @f@a@d_main
     961VIEW struct.bd
     962GRAPHIC 2786,0 476 0
     963DESIGN @f@a@d_main
     964VIEW struct.bd
     965GRAPHIC 5626,0 477 0
     966DESIGN @f@a@d_main
     967VIEW struct.bd
     968GRAPHIC 5634,0 478 0
     969DESIGN @f@a@d_main
     970VIEW struct.bd
     971GRAPHIC 9175,0 480 0
     972DESIGN @f@a@d_main
     973VIEW struct.bd
     974GRAPHIC 4042,0 482 0
     975DESIGN @f@a@d_main
     976VIEW struct.bd
     977GRAPHIC 10036,0 483 0
     978DESIGN @f@a@d_main
     979VIEW struct.bd
     980GRAPHIC 9253,0 484 0
     981DESIGN @f@a@d_main
     982VIEW struct.bd
     983GRAPHIC 9261,0 485 0
     984DESIGN @f@a@d_main
     985VIEW struct.bd
     986GRAPHIC 6072,0 486 0
     987DESIGN @f@a@d_main
     988VIEW struct.bd
     989GRAPHIC 3984,0 487 0
     990DESIGN @f@a@d_main
     991VIEW struct.bd
     992GRAPHIC 3888,0 488 0
     993DESIGN @f@a@d_main
     994VIEW struct.bd
     995GRAPHIC 9353,0 489 0
     996DESIGN @f@a@d_main
     997VIEW struct.bd
     998GRAPHIC 9269,0 490 0
     999DESIGN @f@a@d_main
     1000VIEW struct.bd
     1001GRAPHIC 9325,0 491 0
     1002DESIGN @f@a@d_main
     1003VIEW struct.bd
     1004GRAPHIC 9283,0 492 0
     1005DESIGN @f@a@d_main
     1006VIEW struct.bd
     1007GRAPHIC 9311,0 493 0
     1008DESIGN @f@a@d_main
     1009VIEW struct.bd
     1010GRAPHIC 9297,0 494 0
     1011DESIGN @f@a@d_main
     1012VIEW struct.bd
     1013GRAPHIC 9367,0 495 0
     1014DESIGN @f@a@d_main
     1015VIEW struct.bd
     1016GRAPHIC 9397,0 496 0
     1017DESIGN @f@a@d_main
     1018VIEW struct.bd
     1019GRAPHIC 9382,0 497 0
     1020DESIGN @f@a@d_main
     1021VIEW struct.bd
     1022GRAPHIC 5072,0 499 0
     1023DESIGN @f@a@d_main
     1024VIEW struct.bd
     1025GRAPHIC 5582,0 501 0
     1026DESIGN @f@a@d_main
     1027VIEW struct.bd
     1028GRAPHIC 5090,0 502 0
     1029DESIGN @f@a@d_main
     1030VIEW struct.bd
     1031GRAPHIC 5130,0 503 0
     1032DESIGN @f@a@d_main
     1033VIEW struct.bd
     1034GRAPHIC 5184,0 504 0
     1035DESIGN @f@a@d_main
     1036VIEW struct.bd
     1037GRAPHIC 5122,0 505 0
     1038DESIGN @f@a@d_main
     1039VIEW struct.bd
     1040GRAPHIC 5106,0 506 0
     1041DESIGN @f@a@d_main
     1042VIEW struct.bd
     1043GRAPHIC 5098,0 507 0
     1044DESIGN @f@a@d_main
     1045VIEW struct.bd
     1046GRAPHIC 5190,0 508 0
     1047DESIGN @f@a@d_main
     1048VIEW struct.bd
     1049GRAPHIC 10194,0 509 0
     1050DESIGN @f@a@d_main
     1051VIEW struct.bd
     1052GRAPHIC 10202,0 510 0
     1053DESIGN @f@a@d_main
     1054VIEW struct.bd
     1055GRAPHIC 6002,0 511 0
     1056DESIGN @f@a@d_main
     1057VIEW struct.bd
     1058GRAPHIC 5146,0 512 0
     1059DESIGN @f@a@d_main
     1060VIEW struct.bd
     1061GRAPHIC 5138,0 513 0
     1062DESIGN @f@a@d_main
     1063VIEW struct.bd
     1064GRAPHIC 5114,0 514 0
     1065DESIGN @f@a@d_main
     1066VIEW struct.bd
     1067GRAPHIC 8277,0 516 0
     1068DESIGN @f@a@d_main
     1069VIEW struct.bd
     1070GRAPHIC 5602,0 518 0
     1071DESIGN @f@a@d_main
     1072VIEW struct.bd
     1073GRAPHIC 334,0 519 0
     1074DESIGN @f@a@d_main
     1075VIEW struct.bd
     1076GRAPHIC 328,0 520 0
     1077DESIGN @f@a@d_main
     1078VIEW struct.bd
     1079GRAPHIC 322,0 521 0
     1080DESIGN @f@a@d_main
     1081VIEW struct.bd
     1082GRAPHIC 4240,0 522 0
     1083DESIGN @f@a@d_main
     1084VIEW struct.bd
     1085GRAPHIC 364,0 523 0
     1086DESIGN @f@a@d_main
     1087VIEW struct.bd
     1088GRAPHIC 370,0 524 0
     1089DESIGN @f@a@d_main
     1090VIEW struct.bd
     1091GRAPHIC 1399,0 526 0
     1092DESIGN @f@a@d_main
     1093VIEW struct.bd
     1094GRAPHIC 1406,0 527 1
     1095DESIGN @f@a@d_main
     1096VIEW struct.bd
     1097GRAPHIC 5602,0 531 0
     1098DESIGN @f@a@d_main
     1099VIEW struct.bd
     1100GRAPHIC 334,0 532 0
     1101DESIGN @f@a@d_main
     1102VIEW struct.bd
     1103GRAPHIC 328,0 533 0
     1104DESIGN @f@a@d_main
     1105VIEW struct.bd
     1106GRAPHIC 322,0 534 0
     1107DESIGN @f@a@d_main
     1108VIEW struct.bd
     1109GRAPHIC 2299,0 535 0
     1110DESIGN @f@a@d_main
     1111VIEW struct.bd
     1112GRAPHIC 2576,0 536 0
     1113DESIGN @f@a@d_main
     1114VIEW struct.bd
     1115GRAPHIC 2582,0 537 0
     1116DESIGN @f@a@d_main
     1117VIEW struct.bd
     1118GRAPHIC 10467,0 538 0
     1119DESIGN @f@a@d_main
     1120VIEW struct.bd
     1121GRAPHIC 2588,0 539 0
     1122DESIGN @f@a@d_main
     1123VIEW struct.bd
     1124GRAPHIC 5184,0 540 0
     1125DESIGN @f@a@d_main
     1126VIEW struct.bd
     1127GRAPHIC 5745,0 541 0
     1128DESIGN @f@a@d_main
     1129VIEW struct.bd
     1130GRAPHIC 2594,0 542 0
     1131DESIGN @f@a@d_main
     1132VIEW struct.bd
     1133GRAPHIC 5190,0 543 0
     1134DESIGN @f@a@d_main
     1135VIEW struct.bd
     1136GRAPHIC 5404,0 544 0
     1137DESIGN @f@a@d_main
     1138VIEW struct.bd
     1139GRAPHIC 6018,0 545 0
     1140DESIGN @f@a@d_main
     1141VIEW struct.bd
     1142GRAPHIC 6002,0 546 0
     1143DESIGN @f@a@d_main
     1144VIEW struct.bd
     1145GRAPHIC 6008,0 547 0
     1146DESIGN @f@a@d_main
     1147VIEW struct.bd
     1148GRAPHIC 5138,0 548 0
     1149DESIGN @f@a@d_main
     1150VIEW struct.bd
     1151GRAPHIC 2600,0 549 0
     1152DESIGN @f@a@d_main
     1153VIEW struct.bd
     1154GRAPHIC 5480,0 550 0
     1155DESIGN @f@a@d_main
     1156VIEW struct.bd
     1157GRAPHIC 5474,0 551 0
     1158DESIGN @f@a@d_main
     1159VIEW struct.bd
     1160GRAPHIC 6064,0 552 0
     1161DESIGN @f@a@d_main
     1162VIEW struct.bd
     1163GRAPHIC 2642,0 553 0
     1164DESIGN @f@a@d_main
     1165VIEW struct.bd
     1166GRAPHIC 1411,0 554 0
     1167DESIGN @f@a@d_main
     1168VIEW struct.bd
     1169GRAPHIC 1682,0 555 0
     1170DESIGN @f@a@d_main
     1171VIEW struct.bd
     1172GRAPHIC 1983,0 556 0
     1173DESIGN @f@a@d_main
     1174VIEW struct.bd
     1175GRAPHIC 10439,0 557 0
     1176DESIGN @f@a@d_main
     1177VIEW struct.bd
     1178GRAPHIC 5950,0 558 0
     1179DESIGN @f@a@d_main
     1180VIEW struct.bd
     1181GRAPHIC 5962,0 559 0
     1182DESIGN @f@a@d_main
     1183VIEW struct.bd
     1184GRAPHIC 5626,0 560 0
     1185DESIGN @f@a@d_main
     1186VIEW struct.bd
     1187GRAPHIC 2778,0 561 0
     1188DESIGN @f@a@d_main
     1189VIEW struct.bd
     1190GRAPHIC 9006,0 562 0
     1191DESIGN @f@a@d_main
     1192VIEW struct.bd
     1193GRAPHIC 5634,0 563 0
     1194DESIGN @f@a@d_main
     1195VIEW struct.bd
     1196GRAPHIC 8577,0 564 0
     1197DESIGN @f@a@d_main
     1198VIEW struct.bd
     1199GRAPHIC 6540,0 565 0
     1200DESIGN @f@a@d_main
     1201VIEW struct.bd
     1202GRAPHIC 4401,0 566 0
     1203DESIGN @f@a@d_main
     1204VIEW struct.bd
     1205GRAPHIC 4419,0 567 0
     1206DESIGN @f@a@d_main
     1207VIEW struct.bd
     1208GRAPHIC 10298,0 568 0
     1209DESIGN @f@a@d_main
     1210VIEW struct.bd
     1211GRAPHIC 10304,0 569 0
     1212DESIGN @f@a@d_main
     1213VIEW struct.bd
     1214GRAPHIC 10316,0 570 0
     1215DESIGN @f@a@d_main
     1216VIEW struct.bd
     1217GRAPHIC 10310,0 571 0
     1218DESIGN @f@a@d_main
     1219VIEW struct.bd
     1220GRAPHIC 4743,0 572 0
     1221DESIGN @f@a@d_main
     1222VIEW struct.bd
     1223GRAPHIC 4407,0 573 0
     1224DESIGN @f@a@d_main
     1225VIEW struct.bd
     1226GRAPHIC 4903,0 575 0
     1227DESIGN @f@a@d_main
     1228VIEW struct.bd
     1229GRAPHIC 4757,0 577 0
     1230DESIGN @f@a@d_main
     1231VIEW struct.bd
     1232GRAPHIC 4401,0 578 0
     1233DESIGN @f@a@d_main
     1234VIEW struct.bd
     1235GRAPHIC 4419,0 579 0
     1236DESIGN @f@a@d_main
     1237VIEW struct.bd
     1238GRAPHIC 4671,0 580 0
     1239DESIGN @f@a@d_main
     1240VIEW struct.bd
     1241GRAPHIC 4679,0 581 0
     1242DESIGN @f@a@d_main
     1243VIEW struct.bd
     1244GRAPHIC 4687,0 582 0
     1245DESIGN @f@a@d_main
     1246VIEW struct.bd
     1247GRAPHIC 4695,0 583 0
     1248DESIGN @f@a@d_main
     1249VIEW struct.bd
     1250GRAPHIC 4407,0 584 0
     1251DESIGN @f@a@d_main
     1252VIEW struct.bd
     1253GRAPHIC 4743,0 585 0
     1254DESIGN @f@a@d_main
     1255VIEW struct.bd
     1256GRAPHIC 10298,0 586 0
     1257DESIGN @f@a@d_main
     1258VIEW struct.bd
     1259GRAPHIC 10310,0 587 0
     1260DESIGN @f@a@d_main
     1261VIEW struct.bd
     1262GRAPHIC 10304,0 588 0
     1263DESIGN @f@a@d_main
     1264VIEW struct.bd
     1265GRAPHIC 10316,0 589 0
     1266DESIGN @f@a@d_main
     1267VIEW struct.bd
     1268GRAPHIC 10322,0 590 0
     1269DESIGN @f@a@d_main
     1270VIEW struct.bd
     1271GRAPHIC 4948,0 591 0
     1272DESIGN @f@a@d_main
     1273VIEW struct.bd
     1274GRAPHIC 10010,0 592 0
     1275DESIGN @f@a@d_main
     1276VIEW struct.bd
     1277GRAPHIC 2311,0 594 0
     1278DESIGN @f@a@d_main
     1279VIEW struct.bd
     1280GRAPHIC 2318,0 595 1
     1281DESIGN @f@a@d_main
     1282VIEW struct.bd
     1283GRAPHIC 6082,0 600 0
     1284DESIGN @f@a@d_main
     1285VIEW struct.bd
     1286GRAPHIC 2588,0 601 0
     1287DESIGN @f@a@d_main
     1288VIEW struct.bd
     1289GRAPHIC 2582,0 602 0
     1290DESIGN @f@a@d_main
     1291VIEW struct.bd
     1292GRAPHIC 10467,0 603 0
     1293DESIGN @f@a@d_main
     1294VIEW struct.bd
     1295GRAPHIC 5168,0 604 0
     1296DESIGN @f@a@d_main
     1297VIEW struct.bd
     1298GRAPHIC 2576,0 605 0
     1299DESIGN @f@a@d_main
     1300VIEW struct.bd
     1301GRAPHIC 2594,0 606 0
     1302DESIGN @f@a@d_main
     1303VIEW struct.bd
     1304GRAPHIC 6018,0 607 0
     1305DESIGN @f@a@d_main
     1306VIEW struct.bd
     1307GRAPHIC 2600,0 608 0
     1308DESIGN @f@a@d_main
     1309VIEW struct.bd
     1310GRAPHIC 2642,0 609 0
     1311DESIGN @f@a@d_main
     1312VIEW struct.bd
     1313GRAPHIC 2488,0 610 0
     1314DESIGN @f@a@d_main
     1315VIEW struct.bd
     1316GRAPHIC 2482,0 611 0
     1317DESIGN @f@a@d_main
     1318VIEW struct.bd
     1319GRAPHIC 2494,0 612 0
     1320DESIGN @f@a@d_main
     1321VIEW struct.bd
     1322GRAPHIC 2476,0 613 0
     1323DESIGN @f@a@d_main
     1324VIEW struct.bd
     1325GRAPHIC 2506,0 614 0
     1326DESIGN @f@a@d_main
     1327VIEW struct.bd
     1328GRAPHIC 2500,0 615 0
     1329DESIGN @f@a@d_main
     1330VIEW struct.bd
     1331GRAPHIC 2470,0 616 0
     1332DESIGN @f@a@d_main
     1333VIEW struct.bd
     1334GRAPHIC 8416,0 617 0
     1335DESIGN @f@a@d_main
     1336VIEW struct.bd
     1337GRAPHIC 2299,0 618 0
     1338DESIGN @f@a@d_main
     1339VIEW struct.bd
     1340GRAPHIC 5793,0 620 0
     1341DESIGN @f@a@d_main
     1342VIEW struct.bd
     1343GRAPHIC 5805,0 622 0
     1344DESIGN @f@a@d_main
     1345VIEW struct.bd
     1346GRAPHIC 5745,0 623 0
     1347DESIGN @f@a@d_main
     1348VIEW struct.bd
     1349GRAPHIC 5146,0 624 0
     1350DESIGN @f@a@d_main
     1351VIEW struct.bd
     1352GRAPHIC 5404,0 625 0
     1353DESIGN @f@a@d_main
     1354VIEW struct.bd
     1355GRAPHIC 6008,0 626 0
     1356DESIGN @f@a@d_main
     1357VIEW struct.bd
     1358GRAPHIC 5829,0 627 0
     1359DESIGN @f@a@d_main
     1360VIEW struct.bd
     1361GRAPHIC 6160,0 628 0
     1362DESIGN @f@a@d_main
     1363VIEW struct.bd
     1364GRAPHIC 8732,0 629 0
     1365DESIGN @f@a@d_main
     1366VIEW struct.bd
     1367GRAPHIC 5480,0 630 0
     1368DESIGN @f@a@d_main
     1369VIEW struct.bd
     1370GRAPHIC 5837,0 631 0
     1371DESIGN @f@a@d_main
     1372VIEW struct.bd
     1373GRAPHIC 5474,0 632 0
     1374DESIGN @f@a@d_main
     1375VIEW struct.bd
     1376GRAPHIC 5821,0 633 0
     1377DESIGN @f@a@d_main
     1378VIEW struct.bd
     1379GRAPHIC 1768,0 635 0
     1380DESIGN @f@a@d_main
     1381VIEW struct.bd
     1382GRAPHIC 1983,0 637 0
     1383DESIGN @f@a@d_main
     1384VIEW struct.bd
     1385GRAPHIC 10443,0 638 0
     1386DESIGN @f@a@d_main
     1387VIEW struct.bd
     1388GRAPHIC 6276,0 639 0
     1389DESIGN @f@a@d_main
     1390VIEW struct.bd
     1391GRAPHIC 1606,0 641 0
     1392DESIGN @f@a@d_main
     1393VIEW struct.bd
     1394GRAPHIC 1613,0 642 1
     1395DESIGN @f@a@d_main
     1396VIEW struct.bd
     1397GRAPHIC 3888,0 646 0
     1398DESIGN @f@a@d_main
     1399VIEW struct.bd
     1400GRAPHIC 376,0 647 0
     1401DESIGN @f@a@d_main
     1402VIEW struct.bd
     1403GRAPHIC 384,0 648 0
     1404DESIGN @f@a@d_main
     1405VIEW struct.bd
     1406GRAPHIC 392,0 649 0
     1407DESIGN @f@a@d_main
     1408VIEW struct.bd
     1409GRAPHIC 400,0 650 0
     1410DESIGN @f@a@d_main
     1411VIEW struct.bd
     1412GRAPHIC 408,0 651 0
     1413DESIGN @f@a@d_main
     1414VIEW struct.bd
     1415GRAPHIC 5222,0 652 0
     1416DESIGN @f@a@d_main
     1417VIEW struct.bd
     1418GRAPHIC 424,0 653 0
     1419DESIGN @f@a@d_main
     1420VIEW struct.bd
     1421GRAPHIC 432,0 654 0
     1422DESIGN @f@a@d_main
     1423VIEW struct.bd
     1424GRAPHIC 2482,0 655 0
     1425DESIGN @f@a@d_main
     1426VIEW struct.bd
     1427GRAPHIC 2488,0 656 0
     1428DESIGN @f@a@d_main
     1429VIEW struct.bd
     1430GRAPHIC 370,0 657 0
     1431DESIGN @f@a@d_main
     1432VIEW struct.bd
     1433GRAPHIC 364,0 658 0
     1434DESIGN @f@a@d_main
     1435VIEW struct.bd
     1436GRAPHIC 2476,0 659 0
     1437DESIGN @f@a@d_main
     1438VIEW struct.bd
     1439GRAPHIC 8416,0 660 0
     1440DESIGN @f@a@d_main
     1441VIEW struct.bd
     1442GRAPHIC 2470,0 661 0
     1443DESIGN @f@a@d_main
     1444VIEW struct.bd
     1445GRAPHIC 2506,0 662 0
     1446DESIGN @f@a@d_main
     1447VIEW struct.bd
     1448GRAPHIC 2500,0 663 0
     1449DESIGN @f@a@d_main
     1450VIEW struct.bd
     1451GRAPHIC 2494,0 664 0
     1452DESIGN @f@a@d_main
     1453VIEW struct.bd
     1454GRAPHIC 10266,0 665 0
     1455DESIGN @f@a@d_main
     1456VIEW struct.bd
     1457GRAPHIC 5950,0 666 0
     1458DESIGN @f@a@d_main
     1459VIEW struct.bd
     1460GRAPHIC 5962,0 667 0
     1461DESIGN @f@a@d_main
     1462VIEW struct.bd
     1463GRAPHIC 5090,0 668 0
     1464DESIGN @f@a@d_main
     1465VIEW struct.bd
     1466GRAPHIC 5114,0 669 0
     1467DESIGN @f@a@d_main
     1468VIEW struct.bd
     1469GRAPHIC 5122,0 670 0
     1470DESIGN @f@a@d_main
     1471VIEW struct.bd
     1472GRAPHIC 5130,0 671 0
     1473DESIGN @f@a@d_main
     1474VIEW struct.bd
     1475GRAPHIC 10194,0 672 0
     1476DESIGN @f@a@d_main
     1477VIEW struct.bd
     1478GRAPHIC 10202,0 673 0
     1479DESIGN @f@a@d_main
     1480VIEW struct.bd
     1481GRAPHIC 5106,0 674 0
     1482DESIGN @f@a@d_main
     1483VIEW struct.bd
     1484GRAPHIC 6362,0 675 0
     1485DESIGN @f@a@d_main
     1486VIEW struct.bd
     1487GRAPHIC 6452,0 676 0
     1488DESIGN @f@a@d_main
     1489VIEW struct.bd
     1490GRAPHIC 8752,0 677 0
     1491DESIGN @f@a@d_main
     1492VIEW struct.bd
     1493GRAPHIC 9233,0 678 0
     1494DESIGN @f@a@d_main
     1495VIEW struct.bd
     1496GRAPHIC 9241,0 679 0
     1497DESIGN @f@a@d_main
     1498VIEW struct.bd
     1499GRAPHIC 9943,0 680 0
     1500DESIGN @f@a@d_main
     1501VIEW struct.bd
     1502GRAPHIC 9951,0 681 0
     1503DESIGN @f@a@d_main
     1504VIEW struct.bd
     1505GRAPHIC 6276,0 685 0
     1506DESIGN @f@a@d_main
     1507VIEW struct.bd
     1508GRAPHIC 3888,0 686 0
     1509DESIGN @f@a@d_main
     1510VIEW struct.bd
     1511NO_GRAPHIC 688
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd

    r9912 r10073  
    109109(vvPair
    110110variable "HDLDir"
    111 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hdl"
     111value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    112112)
    113113(vvPair
    114114variable "HDSDir"
    115 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     115value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    116116)
    117117(vvPair
    118118variable "SideDataDesignDir"
    119 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
     119value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
    120120)
    121121(vvPair
    122122variable "SideDataUserDir"
    123 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
     123value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
    124124)
    125125(vvPair
    126126variable "SourceDir"
    127 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     127value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    128128)
    129129(vvPair
     
    141141(vvPair
    142142variable "d"
    143 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     143value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    144144)
    145145(vvPair
    146146variable "d_logical"
    147 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_Board"
     147value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
    148148)
    149149(vvPair
    150150variable "date"
    151 value "27.08.2010"
     151value "03.01.2011"
    152152)
    153153(vvPair
    154154variable "day"
    155 value "Fr"
     155value "Mo"
    156156)
    157157(vvPair
    158158variable "day_long"
    159 value "Freitag"
     159value "Montag"
    160160)
    161161(vvPair
    162162variable "dd"
    163 value "27"
     163value "03"
    164164)
    165165(vvPair
     
    221221(vvPair
    222222variable "mm"
    223 value "08"
     223value "01"
    224224)
    225225(vvPair
     
    229229(vvPair
    230230variable "month"
    231 value "Aug"
     231value "Jan"
    232232)
    233233(vvPair
    234234variable "month_long"
    235 value "August"
     235value "Januar"
    236236)
    237237(vvPair
    238238variable "p"
    239 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
     239value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
    240240)
    241241(vvPair
    242242variable "p_logical"
    243 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
     243value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
    244244)
    245245(vvPair
     
    297297(vvPair
    298298variable "time"
    299 value "17:10:56"
     299value "16:58:24"
    300300)
    301301(vvPair
     
    317317(vvPair
    318318variable "year"
    319 value "2010"
     319value "2011"
    320320)
    321321(vvPair
    322322variable "yy"
    323 value "10"
     323value "11"
    324324)
    325325]
     
    349349bg "0,0,32768"
    350350)
    351 xt "99200,4000,108900,5000"
     351xt "99200,4000,108700,5000"
    352352st "
    353353by %user on %dd %month %year
     
    688688n "wiz_reset"
    689689t "std_logic"
    690 o 43
     690o 44
    691691suid 2,0
    692692i "'1'"
     
    727727b "(7 DOWNTO 0)"
    728728posAdd 0
    729 o 33
     729o 34
    730730suid 7,0
    731731i "(OTHERS => '0')"
     
    799799n "adc_oeb"
    800800t "std_logic"
    801 o 28
     801o 29
    802802suid 21,0
    803803i "'1'"
     
    909909t "std_logic_vector"
    910910b "(9 DOWNTO 0)"
    911 o 40
     911o 41
    912912suid 26,0
    913913)
     
    945945n "wiz_cs"
    946946t "std_logic"
    947 o 41
     947o 42
    948948suid 28,0
    949949i "'1'"
     
    983983t "std_logic_vector"
    984984b "(15 DOWNTO 0)"
    985 o 46
     985o 47
    986986suid 27,0
    987987)
     
    10541054n "wiz_rd"
    10551055t "std_logic"
    1056 o 42
     1056o 43
    10571057suid 30,0
    10581058i "'1'"
     
    10911091n "wiz_wr"
    10921092t "std_logic"
    1093 o 44
     1093o 45
    10941094suid 29,0
    10951095i "'1'"
     
    13011301t "std_logic_vector"
    13021302b "(3 downto 0)"
    1303 o 31
     1303o 32
    13041304suid 48,0
    13051305i "(others => '0')"
     
    13371337n "drs_dwrite"
    13381338t "std_logic"
    1339 o 32
     1339o 33
    13401340suid 49,0
    13411341i "'1'"
     
    15821582n "dac_cs"
    15831583t "std_logic"
    1584 o 29
     1584o 30
    15851585suid 64,0
    15861586)
     
    16181618n "sclk"
    16191619t "std_logic"
    1620 o 37
     1620o 38
    16211621suid 62,0
    16221622)
     
    16551655t "std_logic_vector"
    16561656b "(3 DOWNTO 0)"
    1657 o 38
     1657o 39
    16581658suid 65,0
    16591659)
     
    16931693preAdd 0
    16941694posAdd 0
    1695 o 45
     1695o 46
    16961696suid 63,0
    16971697)
     
    17291729n "mosi"
    17301730t "std_logic"
    1731 o 34
     1731o 35
    17321732suid 66,0
    17331733i "'0'"
     
    17681768eolc "-- default domino wave off"
    17691769posAdd 0
    1770 o 30
     1770o 31
    17711771suid 67,0
    17721772i "'0'"
     
    18041804n "adc_clk_en"
    18051805t "std_logic"
    1806 o 27
     1806o 28
    18071807suid 69,0
    18081808i "'0'"
     
    19181918preAdd 0
    19191919posAdd 0
    1920 o 35
     1920o 36
    19211921suid 77,0
    19221922i "(OTHERS => '0')"
     
    21732173preAdd 0
    21742174posAdd 0
    2175 o 36
     2175o 37
    21762176suid 79,0
    21772177i "'0'"
     
    22132213preAdd 0
    22142214posAdd 0
    2215 o 39
     2215o 40
    22162216suid 78,0
    22172217i "'0'"
     
    23272327)
    23282328)
     2329*59 (CptPort
     2330uid 12314,0
     2331ps "OnEdgeStrategy"
     2332shape (Triangle
     2333uid 12315,0
     2334ro 90
     2335va (VaSet
     2336vasetType 1
     2337fg "0,65535,0"
     2338)
     2339xt "80000,139625,80750,140375"
     2340)
     2341tg (CPTG
     2342uid 12316,0
     2343ps "CptPortTextPlaceStrategy"
     2344stg "RightVerticalLayoutStrategy"
     2345f (Text
     2346uid 12317,0
     2347va (VaSet
     2348)
     2349xt "75300,139500,79000,140500"
     2350st "SRIN_out"
     2351ju 2
     2352blo "79000,140300"
     2353)
     2354)
     2355thePort (LogicalPort
     2356m 1
     2357decl (Decl
     2358n "SRIN_out"
     2359t "std_logic"
     2360o 27
     2361suid 85,0
     2362i "'0'"
     2363)
     2364)
     2365)
    23292366]
    23302367shape (Rectangle
     
    23362373lineWidth 2
    23372374)
    2338 xt "52000,66000,80000,140000"
     2375xt "52000,66000,80000,141000"
    23392376)
    23402377oxt "15000,-1000,43000,27000"
     
    23442381stg "VerticalLayoutStrategy"
    23452382textVec [
    2346 *59 (Text
     2383*60 (Text
    23472384uid 172,0
    23482385va (VaSet
     
    23542391tm "BdLibraryNameMgr"
    23552392)
    2356 *60 (Text
     2393*61 (Text
    23572394uid 173,0
    23582395va (VaSet
     
    23642401tm "CptNameMgr"
    23652402)
    2366 *61 (Text
     2403*62 (Text
    23672404uid 174,0
    23682405va (VaSet
     
    24062443fg "49152,49152,49152"
    24072444)
    2408 xt "52250,138250,53750,139750"
     2445xt "52250,139250,53750,140750"
    24092446iconName "BlockDiagram.png"
    24102447iconMaskName "BlockDiagram.msk"
     
    24162453archFileType "UNKNOWN"
    24172454)
    2418 *62 (PortIoIn
     2455*63 (PortIoIn
    24192456uid 231,0
    24202457shape (CompositeShape
     
    24612498)
    24622499)
    2463 *63 (PortIoIn
     2500*64 (PortIoIn
    24642501uid 251,0
    24652502shape (CompositeShape
     
    25062543)
    25072544)
    2508 *64 (HdlText
     2545*65 (HdlText
    25092546uid 265,0
    25102547optionalChildren [
    2511 *65 (EmbeddedText
     2548*66 (EmbeddedText
    25122549uid 271,0
    25132550commentText (CommentText
     
    25592596stg "VerticalLayoutStrategy"
    25602597textVec [
    2561 *66 (Text
     2598*67 (Text
    25622599uid 268,0
    25632600va (VaSet
     
    25692606tm "HdlTextNameMgr"
    25702607)
    2571 *67 (Text
     2608*68 (Text
    25722609uid 269,0
    25732610va (VaSet
     
    25952632viewiconposition 0
    25962633)
    2597 *68 (Net
     2634*69 (Net
    25982635uid 275,0
    25992636decl (Decl
     
    26032640preAdd 0
    26042641posAdd 0
    2605 o 55
     2642o 69
    26062643suid 5,0
    26072644)
     
    26112648font "Courier New,8,0"
    26122649)
    2613 xt "39000,57600,67500,58400"
     2650xt "39000,60800,67500,61600"
    26142651st "SIGNAL board_id        : std_logic_vector(3 downto 0)
    26152652"
    26162653)
    26172654)
    2618 *69 (Net
     2655*70 (Net
    26192656uid 283,0
    26202657decl (Decl
     
    26222659t "std_logic_vector"
    26232660b "(1 downto 0)"
    2624 o 56
     2661o 70
    26252662suid 6,0
    26262663)
     
    26302667font "Courier New,8,0"
    26312668)
    2632 xt "39000,58400,67500,59200"
     2669xt "39000,61600,67500,62400"
    26332670st "SIGNAL crate_id        : std_logic_vector(1 downto 0)
    26342671"
    26352672)
    26362673)
    2637 *70 (PortIoOut
     2674*71 (PortIoOut
    26382675uid 472,0
    26392676shape (CompositeShape
     
    26792716)
    26802717)
    2681 *71 (PortIoOut
     2718*72 (PortIoOut
    26822719uid 478,0
    26832720shape (CompositeShape
     
    27232760)
    27242761)
    2725 *72 (PortIoOut
     2762*73 (PortIoOut
    27262763uid 484,0
    27272764shape (CompositeShape
     
    27672804)
    27682805)
    2769 *73 (PortIoInOut
     2806*74 (PortIoInOut
    27702807uid 490,0
    27712808shape (CompositeShape
     
    28092846)
    28102847)
    2811 *74 (PortIoIn
     2848*75 (PortIoIn
    28122849uid 496,0
    28132850shape (CompositeShape
     
    28532890)
    28542891)
    2855 *75 (PortIoOut
     2892*76 (PortIoOut
    28562893uid 502,0
    28572894shape (CompositeShape
     
    28972934)
    28982935)
    2899 *76 (PortIoOut
     2936*77 (PortIoOut
    29002937uid 508,0
    29012938shape (CompositeShape
     
    29412978)
    29422979)
    2943 *77 (Net
     2980*78 (Net
    29442981uid 1465,0
    29452982decl (Decl
    29462983n "adc_data_array"
    29472984t "adc_data_array_type"
    2948 o 54
     2985o 68
    29492986suid 29,0
    29502987)
     
    29542991font "Courier New,8,0"
    29552992)
    2956 xt "39000,56800,63000,57600"
     2993xt "39000,60000,63000,60800"
    29572994st "SIGNAL adc_data_array  : adc_data_array_type
    29582995"
    29592996)
    29602997)
    2961 *78 (Net
     2998*79 (Net
    29622999uid 2407,0
    29633000decl (Decl
    29643001n "RSRLOAD"
    29653002t "std_logic"
    2966 o 35
     3003o 37
    29673004suid 57,0
    29683005i "'0'"
     
    29733010font "Courier New,8,0"
    29743011)
    2975 xt "39000,31000,68500,31800"
     3012xt "39000,34200,68500,35000"
    29763013st "RSRLOAD         : std_logic                      := '0'
    29773014"
    29783015)
    29793016)
    2980 *79 (PortIoOut
     3017*80 (PortIoOut
    29813018uid 2415,0
    29823019shape (CompositeShape
     
    30233060)
    30243061)
    3025 *80 (Net
     3062*81 (Net
    30263063uid 2421,0
    30273064decl (Decl
    30283065n "SRCLK"
    30293066t "std_logic"
    3030 o 52
     3067o 66
    30313068suid 58,0
    30323069i "'0'"
     
    30373074font "Courier New,8,0"
    30383075)
    3039 xt "39000,55200,72000,56000"
     3076xt "39000,58400,72000,59200"
    30403077st "SIGNAL SRCLK           : std_logic                      := '0'
    30413078"
    30423079)
    30433080)
    3044 *81 (Net
     3081*82 (Net
    30453082uid 3019,0
    30463083decl (Decl
     
    30483085t "std_logic_vector"
    30493086b "(3 DOWNTO 0)"
    3050 o 59
     3087o 74
    30513088suid 65,0
    30523089)
     
    30563093font "Courier New,8,0"
    30573094)
    3058 xt "39000,61600,67500,62400"
     3095xt "39000,64800,67500,65600"
    30593096st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)
    30603097"
    30613098)
    30623099)
    3063 *82 (Net
     3100*83 (Net
    30643101uid 3025,0
    30653102decl (Decl
    30663103n "DAC_CS"
    30673104t "std_logic"
    3068 o 21
     3105o 22
    30693106suid 66,0
    30703107)
     
    30743111font "Courier New,8,0"
    30753112)
    3076 xt "39000,19800,54000,20600"
     3113xt "39000,22200,54000,23000"
    30773114st "DAC_CS          : std_logic
    30783115"
    30793116)
    30803117)
    3081 *83 (PortIoOut
     3118*84 (PortIoOut
    30823119uid 3153,0
    30833120shape (CompositeShape
     
    31243161)
    31253162)
    3126 *84 (Net
     3163*85 (Net
    31273164uid 3216,0
    31283165decl (Decl
     
    31393176font "Courier New,8,0"
    31403177)
    3141 xt "39000,13400,54000,14200"
     3178xt "39000,15800,54000,16600"
    31423179st "X_50M           : STD_LOGIC
    31433180"
    31443181)
    31453182)
    3146 *85 (Net
     3183*86 (Net
    31473184uid 3226,0
    31483185decl (Decl
     
    31573194font "Courier New,8,0"
    31583195)
    3159 xt "39000,11800,54000,12600"
     3196xt "39000,14200,54000,15000"
    31603197st "TRG             : STD_LOGIC
    31613198"
    31623199)
    31633200)
    3164 *86 (HdlText
     3201*87 (HdlText
    31653202uid 3248,0
    31663203optionalChildren [
    3167 *87 (EmbeddedText
     3204*88 (EmbeddedText
    31683205uid 3254,0
    31693206commentText (CommentText
     
    32173254stg "VerticalLayoutStrategy"
    32183255textVec [
    3219 *88 (Text
     3256*89 (Text
    32203257uid 3251,0
    32213258va (VaSet
     
    32273264tm "HdlTextNameMgr"
    32283265)
    3229 *89 (Text
     3266*90 (Text
    32303267uid 3252,0
    32313268va (VaSet
     
    32533290viewiconposition 0
    32543291)
    3255 *90 (Net
     3292*91 (Net
    32563293uid 3266,0
    32573294decl (Decl
     
    32593296t "std_logic_vector"
    32603297b "(3 downto 0)"
    3261 o 16
     3298o 17
    32623299suid 71,0
    32633300)
     
    32673304font "Courier New,8,0"
    32683305)
    3269 xt "39000,15800,64000,16600"
     3306xt "39000,18200,64000,19000"
    32703307st "A_CLK           : std_logic_vector(3 downto 0)
    32713308"
    32723309)
    32733310)
    3274 *91 (Net
     3311*92 (Net
    32753312uid 3268,0
    32763313decl (Decl
    32773314n "CLK_25_PS"
    32783315t "std_logic"
    3279 o 50
     3316o 55
    32803317suid 72,0
    32813318)
     
    32853322font "Courier New,8,0"
    32863323)
    3287 xt "39000,46400,57500,47200"
     3324xt "39000,49600,57500,50400"
    32883325st "SIGNAL CLK_25_PS       : std_logic
    32893326"
    32903327)
    32913328)
    3292 *92 (PortIoOut
     3329*93 (PortIoOut
    32933330uid 3284,0
    32943331shape (CompositeShape
     
    33353372)
    33363373)
    3337 *93 (Net
     3374*94 (Net
    33383375uid 3290,0
    33393376decl (Decl
     
    33423379preAdd 0
    33433380posAdd 0
    3344 o 30
     3381o 31
    33453382suid 73,0
    33463383)
     
    33503387font "Courier New,8,0"
    33513388)
    3352 xt "39000,27000,54000,27800"
     3389xt "39000,29400,54000,30200"
    33533390st "OE_ADC          : STD_LOGIC
    33543391"
    33553392)
    33563393)
    3357 *94 (PortIoIn
     3394*95 (PortIoIn
    33583395uid 3292,0
    33593396shape (CompositeShape
     
    34003437)
    34013438)
    3402 *95 (Net
     3439*96 (Net
    34033440uid 3298,0
    34043441decl (Decl
     
    34193456)
    34203457)
    3421 *96 (HdlText
     3458*97 (HdlText
    34223459uid 3300,0
    34233460optionalChildren [
    3424 *97 (EmbeddedText
     3461*98 (EmbeddedText
    34253462uid 3306,0
    34263463commentText (CommentText
     
    34743511stg "VerticalLayoutStrategy"
    34753512textVec [
    3476 *98 (Text
     3513*99 (Text
    34773514uid 3303,0
    34783515va (VaSet
     
    34843521tm "HdlTextNameMgr"
    34853522)
    3486 *99 (Text
     3523*100 (Text
    34873524uid 3304,0
    34883525va (VaSet
     
    35103547viewiconposition 0
    35113548)
    3512 *100 (PortIoIn
     3549*101 (PortIoIn
    35133550uid 3310,0
    35143551shape (CompositeShape
     
    35553592)
    35563593)
    3557 *101 (PortIoIn
     3594*102 (PortIoIn
    35583595uid 3332,0
    35593596shape (CompositeShape
     
    36003637)
    36013638)
    3602 *102 (PortIoIn
     3639*103 (PortIoIn
    36033640uid 3338,0
    36043641shape (CompositeShape
     
    36453682)
    36463683)
    3647 *103 (PortIoIn
     3684*104 (PortIoIn
    36483685uid 3344,0
    36493686shape (CompositeShape
     
    36903727)
    36913728)
    3692 *104 (Net
     3729*105 (Net
    36933730uid 3374,0
    36943731decl (Decl
     
    37093746)
    37103747)
    3711 *105 (Net
     3748*106 (Net
    37123749uid 3376,0
    37133750decl (Decl
     
    37283765)
    37293766)
    3730 *106 (Net
     3767*107 (Net
    37313768uid 3378,0
    37323769decl (Decl
     
    37473784)
    37483785)
    3749 *107 (Net
     3786*108 (Net
    37503787uid 3380,0
    37513788decl (Decl
     
    37663803)
    37673804)
    3768 *108 (HdlText
     3805*109 (HdlText
    37693806uid 3394,0
    37703807optionalChildren [
    3771 *109 (EmbeddedText
     3808*110 (EmbeddedText
    37723809uid 3400,0
    37733810commentText (CommentText
     
    38213858stg "VerticalLayoutStrategy"
    38223859textVec [
    3823 *110 (Text
     3860*111 (Text
    38243861uid 3397,0
    38253862va (VaSet
     
    38313868tm "HdlTextNameMgr"
    38323869)
    3833 *111 (Text
     3870*112 (Text
    38343871uid 3398,0
    38353872va (VaSet
     
    38573894viewiconposition 0
    38583895)
    3859 *112 (Net
     3896*113 (Net
    38603897uid 3460,0
    38613898decl (Decl
    38623899n "D0_SRCLK"
    38633900t "STD_LOGIC"
    3864 o 17
     3901o 18
    38653902suid 87,0
    38663903)
     
    38703907font "Courier New,8,0"
    38713908)
    3872 xt "39000,16600,54000,17400"
     3909xt "39000,19000,54000,19800"
    38733910st "D0_SRCLK        : STD_LOGIC
    38743911"
    38753912)
    38763913)
    3877 *113 (Net
     3914*114 (Net
    38783915uid 3462,0
    38793916decl (Decl
    38803917n "D1_SRCLK"
    38813918t "STD_LOGIC"
    3882 o 18
     3919o 19
    38833920suid 88,0
    38843921)
     
    38883925font "Courier New,8,0"
    38893926)
    3890 xt "39000,17400,54000,18200"
     3927xt "39000,19800,54000,20600"
    38913928st "D1_SRCLK        : STD_LOGIC
    38923929"
    38933930)
    38943931)
    3895 *114 (Net
     3932*115 (Net
    38963933uid 3464,0
    38973934decl (Decl
    38983935n "D2_SRCLK"
    38993936t "STD_LOGIC"
    3900 o 19
     3937o 20
    39013938suid 89,0
    39023939)
     
    39063943font "Courier New,8,0"
    39073944)
    3908 xt "39000,18200,54000,19000"
     3945xt "39000,20600,54000,21400"
    39093946st "D2_SRCLK        : STD_LOGIC
    39103947"
    39113948)
    39123949)
    3913 *115 (Net
     3950*116 (Net
    39143951uid 3466,0
    39153952decl (Decl
    39163953n "D3_SRCLK"
    39173954t "STD_LOGIC"
    3918 o 20
     3955o 21
    39193956suid 90,0
    39203957)
     
    39243961font "Courier New,8,0"
    39253962)
    3926 xt "39000,19000,54000,19800"
     3963xt "39000,21400,54000,22200"
    39273964st "D3_SRCLK        : STD_LOGIC
    39283965"
    39293966)
    39303967)
    3931 *116 (PortIoIn
     3968*117 (PortIoIn
    39323969uid 3476,0
    39333970shape (CompositeShape
     
    39744011)
    39754012)
    3976 *117 (PortIoIn
     4013*118 (PortIoIn
    39774014uid 3482,0
    39784015shape (CompositeShape
     
    40194056)
    40204057)
    4021 *118 (PortIoIn
     4058*119 (PortIoIn
    40224059uid 3488,0
    40234060shape (CompositeShape
     
    40644101)
    40654102)
    4066 *119 (PortIoIn
     4103*120 (PortIoIn
    40674104uid 3494,0
    40684105shape (CompositeShape
     
    41094146)
    41104147)
    4111 *120 (Net
     4148*121 (Net
    41124149uid 3500,0
    41134150decl (Decl
     
    41274164)
    41284165)
    4129 *121 (Net
     4166*122 (Net
    41304167uid 3502,0
    41314168decl (Decl
     
    41454182)
    41464183)
    4147 *122 (Net
     4184*123 (Net
    41484185uid 3504,0
    41494186decl (Decl
     
    41634200)
    41644201)
    4165 *123 (Net
     4202*124 (Net
    41664203uid 3506,0
    41674204decl (Decl
     
    41814218)
    41824219)
    4183 *124 (PortIoOut
     4220*125 (PortIoOut
    41844221uid 3508,0
    41854222shape (CompositeShape
     
    42264263)
    42274264)
    4228 *125 (Net
     4265*126 (Net
    42294266uid 3514,0
    42304267decl (Decl
     
    42324269t "std_logic_vector"
    42334270b "(3 DOWNTO 0)"
    4234 o 24
     4271o 25
    42354272suid 95,0
    42364273i "(others => '0')"
     
    42414278font "Courier New,8,0"
    42424279)
    4243 xt "39000,22200,74500,23000"
     4280xt "39000,24600,74500,25400"
    42444281st "D_A             : std_logic_vector(3 DOWNTO 0)   := (others => '0')
    42454282"
    42464283)
    42474284)
    4248 *126 (PortIoOut
     4285*127 (PortIoOut
    42494286uid 3516,0
    42504287shape (CompositeShape
     
    42914328)
    42924329)
    4293 *127 (Net
     4330*128 (Net
    42944331uid 3522,0
    42954332decl (Decl
    42964333n "DWRITE"
    42974334t "std_logic"
    4298 o 23
     4335o 24
    42994336suid 96,0
    43004337i "'0'"
     
    43054342font "Courier New,8,0"
    43064343)
    4307 xt "39000,21400,68500,22200"
     4344xt "39000,23800,68500,24600"
    43084345st "DWRITE          : std_logic                      := '0'
    43094346"
    43104347)
    43114348)
    4312 *128 (PortIoOut
     4349*129 (PortIoOut
    43134350uid 3536,0
    43144351shape (CompositeShape
     
    43544391)
    43554392)
    4356 *129 (HdlText
     4393*130 (HdlText
    43574394uid 3542,0
    43584395optionalChildren [
    4359 *130 (EmbeddedText
     4396*131 (EmbeddedText
    43604397uid 3612,0
    43614398commentText (CommentText
     
    44094446stg "VerticalLayoutStrategy"
    44104447textVec [
    4411 *131 (Text
     4448*132 (Text
    44124449uid 3545,0
    44134450va (VaSet
     
    44194456tm "HdlTextNameMgr"
    44204457)
    4421 *132 (Text
     4458*133 (Text
    44224459uid 3546,0
    44234460va (VaSet
     
    44454482viewiconposition 0
    44464483)
    4447 *133 (PortIoOut
     4484*134 (PortIoOut
    44484485uid 3548,0
    44494486shape (CompositeShape
     
    44894526)
    44904527)
    4491 *134 (PortIoOut
     4528*135 (PortIoOut
    44924529uid 3554,0
    44934530shape (CompositeShape
     
    45334570)
    45344571)
    4535 *135 (PortIoOut
     4572*136 (PortIoOut
    45364573uid 3560,0
    45374574shape (CompositeShape
     
    45774614)
    45784615)
    4579 *136 (PortIoOut
     4616*137 (PortIoOut
    45804617uid 3566,0
    45814618shape (CompositeShape
     
    46214658)
    46224659)
    4623 *137 (Net
     4660*138 (Net
    46244661uid 3604,0
    46254662decl (Decl
    46264663n "T0_CS"
    46274664t "std_logic"
    4628 o 38
     4665o 40
    46294666suid 101,0
    46304667)
     
    46344671font "Courier New,8,0"
    46354672)
    4636 xt "39000,33400,54000,34200"
     4673xt "39000,36600,54000,37400"
    46374674st "T0_CS           : std_logic
    46384675"
    46394676)
    46404677)
    4641 *138 (Net
     4678*139 (Net
    46424679uid 3606,0
    46434680decl (Decl
    46444681n "T1_CS"
    46454682t "std_logic"
    4646 o 39
     4683o 41
    46474684suid 102,0
    46484685)
     
    46524689font "Courier New,8,0"
    46534690)
    4654 xt "39000,34200,54000,35000"
     4691xt "39000,37400,54000,38200"
    46554692st "T1_CS           : std_logic
    46564693"
    46574694)
    46584695)
    4659 *139 (Net
     4696*140 (Net
    46604697uid 3608,0
    46614698decl (Decl
    46624699n "T2_CS"
    46634700t "std_logic"
    4664 o 40
     4701o 42
    46654702suid 103,0
    46664703)
     
    46704707font "Courier New,8,0"
    46714708)
    4672 xt "39000,35000,54000,35800"
     4709xt "39000,38200,54000,39000"
    46734710st "T2_CS           : std_logic
    46744711"
    46754712)
    46764713)
    4677 *140 (Net
     4714*141 (Net
    46784715uid 3610,0
    46794716decl (Decl
    46804717n "T3_CS"
    46814718t "std_logic"
    4682 o 41
     4719o 43
    46834720suid 104,0
    46844721)
     
    46884725font "Courier New,8,0"
    46894726)
    4690 xt "39000,35800,54000,36600"
     4727xt "39000,39000,54000,39800"
    46914728st "T3_CS           : std_logic
    46924729"
    46934730)
    46944731)
    4695 *141 (PortIoOut
     4732*142 (PortIoOut
    46964733uid 3624,0
    46974734shape (CompositeShape
     
    47374774)
    47384775)
    4739 *142 (Net
     4776*143 (Net
    47404777uid 3630,0
    47414778decl (Decl
    47424779n "S_CLK"
    47434780t "std_logic"
    4744 o 37
     4781o 39
    47454782suid 105,0
    47464783)
     
    47504787font "Courier New,8,0"
    47514788)
    4752 xt "39000,32600,54000,33400"
     4789xt "39000,35800,54000,36600"
    47534790st "S_CLK           : std_logic
    47544791"
    47554792)
    47564793)
    4757 *143 (Net
     4794*144 (Net
    47584795uid 3632,0
    47594796decl (Decl
     
    47614798t "std_logic_vector"
    47624799b "(9 DOWNTO 0)"
    4763 o 43
     4800o 45
    47644801suid 106,0
    47654802)
     
    47694806font "Courier New,8,0"
    47704807)
    4771 xt "39000,37400,64000,38200"
     4808xt "39000,40600,64000,41400"
    47724809st "W_A             : std_logic_vector(9 DOWNTO 0)
    47734810"
    47744811)
    47754812)
    4776 *144 (Net
     4813*145 (Net
    47774814uid 3634,0
    47784815decl (Decl
     
    47804817t "std_logic_vector"
    47814818b "(15 DOWNTO 0)"
    4782 o 49
     4819o 51
    47834820suid 107,0
    47844821)
     
    47884825font "Courier New,8,0"
    47894826)
    4790 xt "39000,42200,64500,43000"
     4827xt "39000,45400,64500,46200"
    47914828st "W_D             : std_logic_vector(15 DOWNTO 0)
    47924829"
    47934830)
    47944831)
    4795 *145 (Net
     4832*146 (Net
    47964833uid 3636,0
    47974834decl (Decl
    47984835n "W_RES"
    47994836t "std_logic"
    4800 o 46
     4837o 48
    48014838suid 108,0
    48024839i "'1'"
     
    48074844font "Courier New,8,0"
    48084845)
    4809 xt "39000,39800,68500,40600"
     4846xt "39000,43000,68500,43800"
    48104847st "W_RES           : std_logic                      := '1'
    48114848"
    48124849)
    48134850)
    4814 *146 (Net
     4851*147 (Net
    48154852uid 3638,0
    48164853decl (Decl
    48174854n "W_RD"
    48184855t "std_logic"
    4819 o 45
     4856o 47
    48204857suid 109,0
    48214858i "'1'"
     
    48264863font "Courier New,8,0"
    48274864)
    4828 xt "39000,39000,68500,39800"
     4865xt "39000,42200,68500,43000"
    48294866st "W_RD            : std_logic                      := '1'
    48304867"
    48314868)
    48324869)
    4833 *147 (Net
     4870*148 (Net
    48344871uid 3640,0
    48354872decl (Decl
    48364873n "W_WR"
    48374874t "std_logic"
    4838 o 47
     4875o 49
    48394876suid 110,0
    48404877i "'1'"
     
    48454882font "Courier New,8,0"
    48464883)
    4847 xt "39000,40600,68500,41400"
     4884xt "39000,43800,68500,44600"
    48484885st "W_WR            : std_logic                      := '1'
    48494886"
    48504887)
    48514888)
    4852 *148 (Net
     4889*149 (Net
    48534890uid 3642,0
    48544891decl (Decl
     
    48634900font "Courier New,8,0"
    48644901)
    4865 xt "39000,12600,54000,13400"
     4902xt "39000,15000,54000,15800"
    48664903st "W_INT           : std_logic
    48674904"
    48684905)
    48694906)
    4870 *149 (Net
     4907*150 (Net
    48714908uid 3644,0
    48724909decl (Decl
    48734910n "W_CS"
    48744911t "std_logic"
    4875 o 44
     4912o 46
    48764913suid 112,0
    48774914i "'1'"
     
    48824919font "Courier New,8,0"
    48834920)
    4884 xt "39000,38200,68500,39000"
     4921xt "39000,41400,68500,42200"
    48854922st "W_CS            : std_logic                      := '1'
    48864923"
    48874924)
    48884925)
    4889 *150 (PortIoInOut
     4926*151 (PortIoInOut
    48904927uid 3674,0
    48914928shape (CompositeShape
     
    49294966)
    49304967)
    4931 *151 (Net
     4968*152 (Net
    49324969uid 3680,0
    49334970decl (Decl
    49344971n "MOSI"
    49354972t "std_logic"
    4936 o 29
     4973o 30
    49374974suid 113,0
    49384975i "'0'"
     
    49434980font "Courier New,8,0"
    49444981)
    4945 xt "39000,26200,68500,27000"
     4982xt "39000,28600,68500,29400"
    49464983st "MOSI            : std_logic                      := '0'
    49474984"
    49484985)
    49494986)
    4950 *152 (PortIoOut
     4987*153 (PortIoOut
    49514988uid 3688,0
    49524989shape (CompositeShape
     
    49925029)
    49935030)
    4994 *153 (Net
     5031*154 (Net
    49955032uid 3694,0
    49965033decl (Decl
     
    49995036preAdd 0
    50005037posAdd 0
    5001 o 48
     5038o 50
    50025039suid 114,0
    50035040)
     
    50075044font "Courier New,8,0"
    50085045)
    5009 xt "39000,41400,54000,42200"
     5046xt "39000,44600,54000,45400"
    50105047st "MISO            : std_logic
    50115048"
    50125049)
    50135050)
    5014 *154 (HdlText
     5051*155 (HdlText
    50155052uid 3700,0
    50165053optionalChildren [
    5017 *155 (EmbeddedText
     5054*156 (EmbeddedText
    50185055uid 3706,0
    50195056commentText (CommentText
     
    50285065lineWidth 2
    50295066)
    5030 xt "82000,102000,100000,118000"
     5067xt "82000,106000,99000,118000"
    50315068)
    50325069oxt "0,0,18000,5000"
     
    50355072va (VaSet
    50365073)
    5037 xt "82200,102200,98600,118200"
     5074xt "82200,106200,98600,118200"
    50385075st "
    50395076-- MISC 6     
    50405077TRG_V <= '0';
    5041 RS485_C_RE <= '1';
     5078RS485_C_RE <= '0';
    50425079RS485_C_DE <= '0';   
    5043 RS485_E_RE <= '1';     
     5080RS485_C_DO <= RS485_C_DI;
     5081
     5082RS485_E_RE <= '0';     
    50445083RS485_E_DE <= '0';
     5084--RS485_E_DO <= RS485_E_DI;
    50455085
    50465086-- DENABLE <= '0'; -- domino wave stopped
    50475087-- DENABLE <= '1'; -- domino wave running
    50485088
    5049 SRIN <= '1';
     5089
    50505090EE_CS <= '1';
    50515091-- LEDs are low active
     
    50605100tm "HdlTextMgr"
    50615101wrapOption 3
    5062 visibleHeight 16000
    5063 visibleWidth 18000
     5102visibleHeight 12000
     5103visibleWidth 17000
    50645104)
    50655105)
     
    50825122stg "VerticalLayoutStrategy"
    50835123textVec [
    5084 *156 (Text
     5124*157 (Text
    50855125uid 3703,0
    50865126va (VaSet
     
    50925132tm "HdlTextNameMgr"
    50935133)
    5094 *157 (Text
     5134*158 (Text
    50955135uid 3704,0
    50965136va (VaSet
     
    51185158viewiconposition 0
    51195159)
    5120 *158 (PortIoOut
     5160*159 (PortIoOut
    51215161uid 3710,0
    51225162shape (CompositeShape
     
    51625202)
    51635203)
    5164 *159 (PortIoOut
     5204*160 (PortIoOut
    51655205uid 3716,0
    51665206shape (CompositeShape
     
    52065246)
    52075247)
    5208 *160 (PortIoOut
     5248*161 (PortIoOut
    52095249uid 3722,0
    52105250shape (CompositeShape
     
    52505290)
    52515291)
    5252 *161 (PortIoOut
     5292*162 (PortIoOut
    52535293uid 3728,0
    52545294shape (CompositeShape
     
    52945334)
    52955335)
    5296 *162 (PortIoOut
     5336*163 (PortIoOut
    52975337uid 3734,0
    52985338shape (CompositeShape
     
    53385378)
    53395379)
    5340 *163 (PortIoOut
     5380*164 (PortIoOut
    53415381uid 3740,0
    53425382shape (CompositeShape
     
    53785418st "DENABLE"
    53795419blo "114000,121300"
    5380 tm "WireNameMgr"
    5381 )
    5382 )
    5383 )
    5384 *164 (PortIoOut
    5385 uid 3746,0
    5386 shape (CompositeShape
    5387 uid 3747,0
    5388 va (VaSet
    5389 vasetType 1
    5390 fg "0,0,32768"
    5391 )
    5392 optionalChildren [
    5393 (Pentagon
    5394 uid 3748,0
    5395 sl 0
    5396 ro 270
    5397 xt "111500,108625,113000,109375"
    5398 )
    5399 (Line
    5400 uid 3749,0
    5401 sl 0
    5402 ro 270
    5403 xt "111000,109000,111500,109000"
    5404 pts [
    5405 "111000,109000"
    5406 "111500,109000"
    5407 ]
    5408 )
    5409 ]
    5410 )
    5411 stc 0
    5412 sf 1
    5413 tg (WTG
    5414 uid 3750,0
    5415 ps "PortIoTextPlaceStrategy"
    5416 stg "STSignalDisplayStrategy"
    5417 f (Text
    5418 uid 3751,0
    5419 va (VaSet
    5420 )
    5421 xt "114000,108500,116300,109500"
    5422 st "SRIN"
    5423 blo "114000,109300"
    54245420tm "WireNameMgr"
    54255421)
     
    55195515n "TRG_V"
    55205516t "std_logic"
    5521 o 42
     5517o 44
    55225518suid 126,0
    55235519)
     
    55275523font "Courier New,8,0"
    55285524)
    5529 xt "39000,36600,54000,37400"
     5525xt "39000,39800,54000,40600"
    55305526st "TRG_V           : std_logic
    55315527"
     
    55375533n "RS485_C_RE"
    55385534t "std_logic"
    5539 o 32
     5535o 34
    55405536suid 127,0
    55415537)
     
    55455541font "Courier New,8,0"
    55465542)
    5547 xt "39000,28600,54000,29400"
     5543xt "39000,31800,54000,32600"
    55485544st "RS485_C_RE      : std_logic
    55495545"
     
    55555551n "RS485_C_DE"
    55565552t "std_logic"
    5557 o 31
     5553o 32
    55585554suid 128,0
    55595555)
     
    55635559font "Courier New,8,0"
    55645560)
    5565 xt "39000,27800,54000,28600"
     5561xt "39000,30200,54000,31000"
    55665562st "RS485_C_DE      : std_logic
    55675563"
     
    55735569n "RS485_E_RE"
    55745570t "std_logic"
    5575 o 34
     5571o 36
    55765572suid 129,0
    55775573)
     
    55815577font "Courier New,8,0"
    55825578)
    5583 xt "39000,30200,54000,31000"
     5579xt "39000,33400,54000,34200"
    55845580st "RS485_E_RE      : std_logic
    55855581"
     
    55915587n "RS485_E_DE"
    55925588t "std_logic"
    5593 o 33
     5589o 35
    55945590suid 130,0
    55955591)
     
    55995595font "Courier New,8,0"
    56005596)
    5601 xt "39000,29400,54000,30200"
     5597xt "39000,32600,54000,33400"
    56025598st "RS485_E_DE      : std_logic
    56035599"
     
    56095605n "DENABLE"
    56105606t "std_logic"
    5611 o 22
     5607o 23
    56125608suid 131,0
    56135609i "'0'"
     
    56185614font "Courier New,8,0"
    56195615)
    5620 xt "39000,20600,68500,21400"
     5616xt "39000,23000,68500,23800"
    56215617st "DENABLE         : std_logic                      := '0'
    56225618"
     
    56245620)
    56255621*173 (Net
    5626 uid 3876,0
    5627 decl (Decl
    5628 n "SRIN"
    5629 t "std_logic"
    5630 o 36
    5631 suid 132,0
    5632 )
    5633 declText (MLText
    5634 uid 3877,0
    5635 va (VaSet
    5636 font "Courier New,8,0"
    5637 )
    5638 xt "39000,31800,54000,32600"
    5639 st "SRIN            : std_logic
    5640 "
    5641 )
    5642 )
    5643 *174 (Net
    56445622uid 3878,0
    56455623decl (Decl
    56465624n "EE_CS"
    56475625t "std_logic"
    5648 o 27
     5626o 28
    56495627suid 133,0
    56505628)
     
    56545632font "Courier New,8,0"
    56555633)
    5656 xt "39000,24600,54000,25400"
     5634xt "39000,27000,54000,27800"
    56575635st "EE_CS           : std_logic
    56585636"
    56595637)
    56605638)
    5661 *175 (Net
     5639*174 (Net
    56625640uid 3880,0
    56635641decl (Decl
     
    56655643t "std_logic_vector"
    56665644b "( 2 DOWNTO 0 )"
    5667 o 28
     5645o 29
    56685646suid 134,0
    56695647i "(others => '1')"
     
    56745652font "Courier New,8,0"
    56755653)
    5676 xt "39000,25400,74500,26200"
     5654xt "39000,27800,74500,28600"
    56775655st "LED             : std_logic_vector( 2 DOWNTO 0 ) := (others => '1')
    56785656"
    56795657)
    56805658)
    5681 *176 (PortIoOut
     5659*175 (PortIoOut
    56825660uid 3995,0
    56835661shape (CompositeShape
     
    57245702)
    57255703)
    5726 *177 (PortIoOut
     5704*176 (PortIoOut
    57275705uid 4001,0
    57285706shape (CompositeShape
     
    57695747)
    57705748)
    5771 *178 (PortIoOut
     5749*177 (PortIoOut
    57725750uid 4007,0
    57735751shape (CompositeShape
     
    58145792)
    58155793)
    5816 *179 (PortIoOut
     5794*178 (PortIoOut
    58175795uid 4013,0
    58185796shape (CompositeShape
     
    58595837)
    58605838)
    5861 *180 (PortIoOut
     5839*179 (PortIoOut
    58625840uid 4916,0
    58635841shape (CompositeShape
     
    59035881)
    59045882)
    5905 *181 (Net
     5883*180 (Net
    59065884uid 5320,0
    59075885decl (Decl
     
    59095887t "std_logic_vector"
    59105888b "(7 DOWNTO 0)"
    5911 o 25
     5889o 26
    59125890suid 141,0
    59135891i "(OTHERS => '0')"
     
    59185896font "Courier New,8,0"
    59195897)
    5920 xt "39000,23000,74500,23800"
     5898xt "39000,25400,74500,26200"
    59215899st "D_T             : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
    59225900"
    59235901)
    59245902)
    5925 *182 (PortIoIn
     5903*181 (PortIoIn
    59265904uid 6781,0
    59275905shape (CompositeShape
     
    59685946)
    59695947)
    5970 *183 (Net
     5948*182 (Net
    59715949uid 6793,0
    59725950decl (Decl
     
    59875965)
    59885966)
    5989 *184 (PortIoOut
     5967*183 (PortIoOut
    59905968uid 6874,0
    59915969shape (CompositeShape
     
    60316009)
    60326010)
    6033 *185 (Net
     6011*184 (Net
    60346012uid 6886,0
    60356013decl (Decl
     
    60376015t "std_logic_vector"
    60386016b "(3 DOWNTO 0)"
    6039 o 26
     6017o 27
    60406018suid 154,0
    60416019i "(others => '0')"
     
    60466024font "Courier New,8,0"
    60476025)
    6048 xt "39000,23800,74500,24600"
     6026xt "39000,26200,74500,27000"
    60496027st "D_T2            : std_logic_vector(3 DOWNTO 0)   := (others => '0')
    60506028"
    60516029)
    60526030)
    6053 *186 (HdlText
     6031*185 (HdlText
    60546032uid 6888,0
    60556033optionalChildren [
    6056 *187 (EmbeddedText
     6034*186 (EmbeddedText
    60576035uid 6894,0
    60586036commentText (CommentText
     
    61026080stg "VerticalLayoutStrategy"
    61036081textVec [
    6104 *188 (Text
     6082*187 (Text
    61056083uid 6891,0
    61066084va (VaSet
     
    61126090tm "HdlTextNameMgr"
    61136091)
    6114 *189 (Text
     6092*188 (Text
    61156093uid 6892,0
    61166094va (VaSet
     
    61386116viewiconposition 0
    61396117)
    6140 *190 (HdlText
     6118*189 (HdlText
    61416119uid 7092,0
    61426120optionalChildren [
    6143 *191 (EmbeddedText
     6121*190 (EmbeddedText
    61446122uid 7098,0
    61456123commentText (CommentText
     
    61916169stg "VerticalLayoutStrategy"
    61926170textVec [
    6193 *192 (Text
     6171*191 (Text
    61946172uid 7095,0
    61956173va (VaSet
     
    62016179tm "HdlTextNameMgr"
    62026180)
    6203 *193 (Text
     6181*192 (Text
    62046182uid 7096,0
    62056183va (VaSet
     
    62276205viewiconposition 0
    62286206)
    6229 *194 (PortIoOut
     6207*193 (PortIoOut
    62306208uid 7138,0
    62316209shape (CompositeShape
     
    62716249)
    62726250)
    6273 *195 (Net
     6251*194 (Net
    62746252uid 7150,0
    62756253decl (Decl
     
    62776255t "std_logic_vector"
    62786256b "(7 DOWNTO 0)"
    6279 o 15
     6257o 16
    62806258suid 155,0
    62816259i "(OTHERS => '0')"
     
    62866264font "Courier New,8,0"
    62876265)
    6288 xt "39000,15000,74500,15800"
     6266xt "39000,17400,74500,18200"
    62896267st "A1_T            : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
    62906268"
    62916269)
    62926270)
    6293 *196 (Net
     6271*195 (Net
    62946272uid 7485,0
    62956273decl (Decl
    62966274n "dummy"
    62976275t "std_logic"
    6298 o 58
     6276o 72
    62996277suid 157,0
    63006278)
     
    63046282font "Courier New,8,0"
    63056283)
    6306 xt "39000,60000,57500,60800"
     6284xt "39000,63200,57500,64000"
    63076285st "SIGNAL dummy           : std_logic
    63086286"
    63096287)
    63106288)
    6311 *197 (MWC
     6289*196 (MWC
    63126290uid 7652,0
    63136291optionalChildren [
    6314 *198 (CptPort
     6292*197 (CptPort
    63156293uid 7632,0
    63166294optionalChildren [
    6317 *199 (Line
     6295*198 (Line
    63186296uid 7636,0
    63196297layer 5
     
    63696347n "s"
    63706348t "std_logic"
    6371 o 58
     6349o 72
    63726350suid 1,0
    63736351)
    63746352)
    63756353)
    6376 *200 (CptPort
     6354*199 (CptPort
    63776355uid 7637,0
    63786356optionalChildren [
    6379 *201 (Line
     6357*200 (Line
    63806358uid 7641,0
    63816359layer 5
     
    64346412n "t"
    64356413t "std_logic"
    6436 o 21
     6414o 22
    64376415suid 2,0
    64386416)
    64396417)
    64406418)
    6441 *202 (CommentGraphic
     6419*201 (CommentGraphic
    64426420uid 7642,0
    64436421shape (PolyLine2D
     
    64606438oxt "6000,6000,7000,7000"
    64616439)
    6462 *203 (CommentGraphic
     6440*202 (CommentGraphic
    64636441uid 7644,0
    64646442shape (PolyLine2D
     
    64816459oxt "6000,7000,7000,8000"
    64826460)
    6483 *204 (CommentGraphic
     6461*203 (CommentGraphic
    64846462uid 7646,0
    64856463shape (PolyLine2D
     
    65026480oxt "6988,7329,7988,7329"
    65036481)
    6504 *205 (CommentGraphic
     6482*204 (CommentGraphic
    65056483uid 7648,0
    65066484shape (PolyLine2D
     
    65216499oxt "8000,7000,9000,7000"
    65226500)
    6523 *206 (CommentGraphic
     6501*205 (CommentGraphic
    65246502uid 7650,0
    65256503shape (PolyLine2D
     
    65626540stg "VerticalLayoutStrategy"
    65636541textVec [
    6564 *207 (Text
     6542*206 (Text
    65656543uid 7655,0
    65666544va (VaSet
     
    65726550blo "90350,83900"
    65736551)
    6574 *208 (Text
     6552*207 (Text
    65756553uid 7656,0
    65766554va (VaSet
     
    65816559blo "90350,84900"
    65826560)
    6583 *209 (Text
     6561*208 (Text
    65846562uid 7657,0
    65856563va (VaSet
     
    66266604)
    66276605)
    6628 *210 (Net
     6606*209 (Net
    66296607uid 8851,0
    66306608decl (Decl
     
    66326610t "std_logic_vector"
    66336611b "(3 downto 0)"
    6634 o 57
     6612o 71
    66356613suid 159,0
    66366614i "(others => '0')"
     
    66416619font "Courier New,8,0"
    66426620)
    6643 xt "39000,59200,78000,60000"
     6621xt "39000,62400,78000,63200"
    66446622st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0)   := (others => '0')
    66456623"
    66466624)
    66476625)
    6648 *211 (Net
     6626*210 (Net
    66496627uid 9500,0
    66506628decl (Decl
    66516629n "CLK_50"
    66526630t "std_logic"
    6653 o 51
     6631o 57
    66546632suid 163,0
    66556633)
     
    66596637font "Courier New,8,0"
    66606638)
    6661 xt "39000,48000,57500,48800"
     6639xt "39000,51200,57500,52000"
    66626640st "SIGNAL CLK_50          : std_logic
    66636641"
    66646642)
    66656643)
    6666 *212 (MWC
     6644*211 (MWC
    66676645uid 10023,0
    66686646optionalChildren [
    6669 *213 (CptPort
     6647*212 (CptPort
    66706648uid 9995,0
    66716649optionalChildren [
    6672 *214 (Line
     6650*213 (Line
    66736651uid 9999,0
    66746652layer 5
     
    66836661]
    66846662)
    6685 *215 (Property
     6663*214 (Property
    66866664uid 10000,0
    66876665pclass "_MW_GEOM_"
     
    67226700n "dout"
    67236701t "std_logic"
    6724 o 50
     6702o 55
    67256703suid 1,0
    67266704)
    67276705)
    67286706)
    6729 *216 (CptPort
     6707*215 (CptPort
    67306708uid 10001,0
    67316709optionalChildren [
    6732 *217 (Line
     6710*216 (Line
    67336711uid 10005,0
    67346712layer 5
     
    67766754n "din0"
    67776755t "std_logic"
    6778 o 59
     6756o 67
    67796757suid 2,0
    67806758i "'0'"
     
    67826760)
    67836761)
    6784 *218 (CptPort
     6762*217 (CptPort
    67856763uid 10006,0
    67866764optionalChildren [
    6787 *219 (Line
     6765*218 (Line
    67886766uid 10010,0
    67896767layer 5
     
    68316809n "din1"
    68326810t "std_logic"
    6833 o 58
     6811o 56
    68346812suid 3,0
    68356813)
    68366814)
    68376815)
    6838 *220 (CommentGraphic
     6816*219 (CommentGraphic
    68396817uid 10011,0
    68406818optionalChildren [
    6841 *221 (Property
     6819*220 (Property
    68426820uid 10013,0
    68436821pclass "_MW_GEOM_"
     
    68636841oxt "11000,6000,11000,6000"
    68646842)
    6865 *222 (CommentGraphic
     6843*221 (CommentGraphic
    68666844uid 10014,0
    68676845optionalChildren [
    6868 *223 (Property
     6846*222 (Property
    68696847uid 10016,0
    68706848pclass "_MW_GEOM_"
     
    68906868oxt "11000,10000,11000,10000"
    68916869)
    6892 *224 (Grouping
     6870*223 (Grouping
    68936871uid 10017,0
    68946872optionalChildren [
    6895 *225 (CommentGraphic
     6873*224 (CommentGraphic
    68966874uid 10019,0
    68976875shape (PolyLine2D
     
    69146892oxt "9000,6000,11000,10000"
    69156893)
    6916 *226 (CommentGraphic
     6894*225 (CommentGraphic
    69176895uid 10021,0
    69186896shape (Arc2D
     
    69676945stg "VerticalLayoutStrategy"
    69686946textVec [
    6969 *227 (Text
     6947*226 (Text
    69706948uid 10026,0
    69716949va (VaSet
     
    69776955blo "44500,73300"
    69786956)
    6979 *228 (Text
     6957*227 (Text
    69806958uid 10027,0
    69816959va (VaSet
     
    69866964blo "44500,74300"
    69876965)
    6988 *229 (Text
     6966*228 (Text
    69896967uid 10028,0
    69906968va (VaSet
     
    70317009)
    70327010)
    7033 *230 (Net
     7011*229 (Net
    70347012uid 10032,0
    70357013decl (Decl
    70367014n "CLK_25_PS1"
    70377015t "std_logic"
    7038 o 58
     7016o 56
    70397017suid 164,0
    70407018)
     
    70447022font "Courier New,8,0"
    70457023)
    7046 xt "39000,47200,57500,48000"
     7024xt "39000,50400,57500,51200"
    70477025st "SIGNAL CLK_25_PS1      : std_logic
    70487026"
    70497027)
    70507028)
    7051 *231 (Net
     7029*230 (Net
    70527030uid 10050,0
    70537031decl (Decl
    70547032n "adc_clk_en"
    70557033t "std_logic"
    7056 o 59
     7034o 67
    70577035suid 165,0
    70587036i "'0'"
     
    70637041font "Courier New,8,0"
    70647042)
    7065 xt "39000,56000,72000,56800"
     7043xt "39000,59200,72000,60000"
    70667044st "SIGNAL adc_clk_en      : std_logic                      := '0'
    70677045"
    70687046)
    70697047)
    7070 *232 (PortIoOut
     7048*231 (PortIoOut
    70717049uid 10296,0
    70727050shape (CompositeShape
     
    71127090)
    71137091)
    7114 *233 (Net
     7092*232 (Net
    71157093uid 10308,0
    71167094decl (Decl
     
    71187096t "std_logic_vector"
    71197097b "(7 DOWNTO 0)"
    7120 o 60
     7098o 15
    71217099suid 166,0
    71227100i "(others => '0')"
     
    71277105font "Courier New,8,0"
    71287106)
    7129 xt "39000,14200,74500,15000"
     7107xt "39000,16600,74500,17400"
    71307108st "A0_T            : std_logic_vector(7 DOWNTO 0)   := (others => '0')
    71317109"
    71327110)
    71337111)
    7134 *234 (HdlText
     7112*233 (HdlText
    71357113uid 10310,0
    71367114optionalChildren [
    7137 *235 (EmbeddedText
     7115*234 (EmbeddedText
    71387116uid 10316,0
    71397117commentText (CommentText
     
    72017179stg "VerticalLayoutStrategy"
    72027180textVec [
    7203 *236 (Text
     7181*235 (Text
    72047182uid 10313,0
    72057183va (VaSet
     
    72117189tm "HdlTextNameMgr"
    72127190)
    7213 *237 (Text
     7191*236 (Text
    72147192uid 10314,0
    72157193va (VaSet
     
    72377215viewiconposition 0
    72387216)
    7239 *238 (Net
     7217*237 (Net
    72407218uid 10496,0
    72417219decl (Decl
    72427220n "CLK50_OUT"
    72437221t "std_logic"
    7244 o 61
     7222o 54
    72457223suid 184,0
    72467224)
     
    72507228font "Courier New,8,0"
    72517229)
    7252 xt "39000,45600,57500,46400"
     7230xt "39000,48800,57500,49600"
    72537231st "SIGNAL CLK50_OUT       : std_logic
    72547232"
    72557233)
    72567234)
    7257 *239 (Net
     7235*238 (Net
    72587236uid 10504,0
    72597237decl (Decl
    72607238n "CLK25_OUT"
    72617239t "std_logic"
    7262 o 62
     7240o 52
    72637241suid 185,0
    72647242)
     
    72687246font "Courier New,8,0"
    72697247)
    7270 xt "39000,44000,57500,44800"
     7248xt "39000,47200,57500,48000"
    72717249st "SIGNAL CLK25_OUT       : std_logic
    72727250"
    72737251)
    72747252)
    7275 *240 (Net
     7253*239 (Net
    72767254uid 10512,0
    72777255decl (Decl
    72787256n "CLK25_PSOUT"
    72797257t "std_logic"
    7280 o 63
     7258o 53
    72817259suid 186,0
    72827260)
     
    72867264font "Courier New,8,0"
    72877265)
    7288 xt "39000,44800,57500,45600"
     7266xt "39000,48000,57500,48800"
    72897267st "SIGNAL CLK25_PSOUT     : std_logic
    72907268"
    72917269)
    72927270)
    7293 *241 (Net
     7271*240 (Net
    72947272uid 10520,0
    72957273decl (Decl
     
    73047282font "Courier New,8,0"
    73057283)
    7306 xt "39000,53600,57500,54400"
     7284xt "39000,56800,57500,57600"
    73077285st "SIGNAL PS_DIR_IN       : std_logic
    73087286"
    73097287)
    73107288)
    7311 *242 (Net
     7289*241 (Net
    73127290uid 10528,0
    73137291decl (Decl
     
    73227300font "Courier New,8,0"
    73237301)
    7324 xt "39000,54400,57500,55200"
     7302xt "39000,57600,57500,58400"
    73257303st "SIGNAL PS_DO_IN        : std_logic
    73267304"
    73277305)
    73287306)
    7329 *243 (Net
     7307*242 (Net
    73307308uid 10536,0
    73317309decl (Decl
    73327310n "PSEN_OUT"
    73337311t "std_logic"
    7334 o 66
     7312o 62
    73357313suid 189,0
    73367314)
     
    73407318font "Courier New,8,0"
    73417319)
    7342 xt "39000,52000,57500,52800"
     7320xt "39000,55200,57500,56000"
    73437321st "SIGNAL PSEN_OUT        : std_logic
    73447322"
    73457323)
    73467324)
    7347 *244 (Net
     7325*243 (Net
    73487326uid 10544,0
    73497327decl (Decl
    73507328n "PSINCDEC_OUT"
    73517329t "std_logic"
    7352 o 67
     7330o 63
    73537331suid 190,0
    73547332)
     
    73587336font "Courier New,8,0"
    73597337)
    7360 xt "39000,52800,57500,53600"
     7338xt "39000,56000,57500,56800"
    73617339st "SIGNAL PSINCDEC_OUT    : std_logic
    73627340"
    73637341)
    73647342)
    7365 *245 (Net
     7343*244 (Net
    73667344uid 10552,0
    73677345decl (Decl
     
    73707348preAdd 0
    73717349posAdd 0
    7372 o 68
     7350o 58
    73737351suid 191,0
    73747352)
     
    73787356font "Courier New,8,0"
    73797357)
    7380 xt "39000,48800,57500,49600"
     7358xt "39000,52000,57500,52800"
    73817359st "SIGNAL DCM_locked      : std_logic
    73827360"
    73837361)
    73847362)
    7385 *246 (Net
     7363*245 (Net
    73867364uid 10560,0
    73877365decl (Decl
     
    73907368preAdd 0
    73917369posAdd 0
    7392 o 69
     7370o 73
    73937371suid 192,0
    73947372i "'0'"
     
    73997377font "Courier New,8,0"
    74007378)
    7401 xt "39000,60800,72000,61600"
     7379xt "39000,64000,72000,64800"
    74027380st "SIGNAL ready           : std_logic                      := '0'
    74037381"
    74047382)
    74057383)
    7406 *247 (Net
     7384*246 (Net
    74077385uid 10568,0
    74087386decl (Decl
     
    74127390preAdd 0
    74137391posAdd 0
    7414 o 70
     7392o 75
    74157393suid 193,0
    74167394i "'0'"
     
    74217399font "Courier New,8,0"
    74227400)
    7423 xt "39000,62400,72000,64000"
     7401xt "39000,65600,72000,67200"
    74247402st "-- status:
    74257403SIGNAL shifting        : std_logic                      := '0'
     
    74277405)
    74287406)
    7429 *248 (Net
     7407*247 (Net
    74307408uid 10576,0
    74317409decl (Decl
    74327410n "PSDONE_extraOUT"
    74337411t "std_logic"
    7434 o 71
     7412o 61
    74357413suid 194,0
    74367414)
     
    74407418font "Courier New,8,0"
    74417419)
    7442 xt "39000,51200,57500,52000"
     7420xt "39000,54400,57500,55200"
    74437421st "SIGNAL PSDONE_extraOUT : std_logic
    74447422"
    74457423)
    74467424)
    7447 *249 (Net
     7425*248 (Net
    74487426uid 10584,0
    74497427decl (Decl
    74507428n "PSCLK_OUT"
    74517429t "std_logic"
    7452 o 72
     7430o 60
    74537431suid 195,0
    74547432)
     
    74587436font "Courier New,8,0"
    74597437)
    7460 xt "39000,50400,57500,51200"
     7438xt "39000,53600,57500,54400"
    74617439st "SIGNAL PSCLK_OUT       : std_logic
    74627440"
    74637441)
    74647442)
    7465 *250 (Net
     7443*249 (Net
    74667444uid 10592,0
    74677445decl (Decl
    74687446n "LOCKED_extraOUT"
    74697447t "std_logic"
    7470 o 73
     7448o 59
    74717449suid 196,0
    74727450)
     
    74767454font "Courier New,8,0"
    74777455)
    7478 xt "39000,49600,57500,50400"
     7456xt "39000,52800,57500,53600"
    74797457st "SIGNAL LOCKED_extraOUT : std_logic
    74807458"
    74817459)
    74827460)
    7483 *251 (Wire
     7461*250 (PortIoIn
     7462uid 11090,0
     7463shape (CompositeShape
     7464uid 11091,0
     7465va (VaSet
     7466vasetType 1
     7467fg "0,0,32768"
     7468)
     7469optionalChildren [
     7470(Pentagon
     7471uid 11092,0
     7472sl 0
     7473ro 270
     7474xt "94000,102625,95500,103375"
     7475)
     7476(Line
     7477uid 11093,0
     7478sl 0
     7479ro 270
     7480xt "95500,103000,96000,103000"
     7481pts [
     7482"95500,103000"
     7483"96000,103000"
     7484]
     7485)
     7486]
     7487)
     7488stc 0
     7489sf 1
     7490tg (WTG
     7491uid 11094,0
     7492ps "PortIoTextPlaceStrategy"
     7493stg "STSignalDisplayStrategy"
     7494f (Text
     7495uid 11095,0
     7496va (VaSet
     7497)
     7498xt "87700,102500,93000,103500"
     7499st "RS485_C_DI"
     7500ju 2
     7501blo "93000,103300"
     7502tm "WireNameMgr"
     7503)
     7504)
     7505)
     7506*251 (Net
     7507uid 11102,0
     7508decl (Decl
     7509n "RS485_C_DI"
     7510t "std_logic"
     7511o 74
     7512suid 197,0
     7513)
     7514declText (MLText
     7515uid 11103,0
     7516va (VaSet
     7517font "Courier New,8,0"
     7518)
     7519xt "39000,11800,54000,12600"
     7520st "RS485_C_DI      : std_logic
     7521"
     7522)
     7523)
     7524*252 (PortIoOut
     7525uid 11104,0
     7526shape (CompositeShape
     7527uid 11105,0
     7528va (VaSet
     7529vasetType 1
     7530fg "0,0,32768"
     7531)
     7532optionalChildren [
     7533(Pentagon
     7534uid 11106,0
     7535sl 0
     7536ro 270
     7537xt "111500,111625,113000,112375"
     7538)
     7539(Line
     7540uid 11107,0
     7541sl 0
     7542ro 270
     7543xt "111000,112000,111500,112000"
     7544pts [
     7545"111000,112000"
     7546"111500,112000"
     7547]
     7548)
     7549]
     7550)
     7551stc 0
     7552sf 1
     7553tg (WTG
     7554uid 11108,0
     7555ps "PortIoTextPlaceStrategy"
     7556stg "STSignalDisplayStrategy"
     7557f (Text
     7558uid 11109,0
     7559va (VaSet
     7560)
     7561xt "114000,111500,119700,112500"
     7562st "RS485_C_DO"
     7563blo "114000,112300"
     7564tm "WireNameMgr"
     7565)
     7566)
     7567)
     7568*253 (Net
     7569uid 11116,0
     7570decl (Decl
     7571n "RS485_C_DO"
     7572t "std_logic"
     7573o 75
     7574suid 198,0
     7575)
     7576declText (MLText
     7577uid 11117,0
     7578va (VaSet
     7579font "Courier New,8,0"
     7580)
     7581xt "39000,31000,54000,31800"
     7582st "RS485_C_DO      : std_logic
     7583"
     7584)
     7585)
     7586*254 (PortIoIn
     7587uid 11508,0
     7588shape (CompositeShape
     7589uid 11509,0
     7590va (VaSet
     7591vasetType 1
     7592fg "0,0,32768"
     7593)
     7594optionalChildren [
     7595(Pentagon
     7596uid 11510,0
     7597sl 0
     7598ro 270
     7599xt "121000,115625,122500,116375"
     7600)
     7601(Line
     7602uid 11511,0
     7603sl 0
     7604ro 270
     7605xt "122500,116000,123000,116000"
     7606pts [
     7607"122500,116000"
     7608"123000,116000"
     7609]
     7610)
     7611]
     7612)
     7613stc 0
     7614sf 1
     7615tg (WTG
     7616uid 11512,0
     7617ps "PortIoTextPlaceStrategy"
     7618stg "STSignalDisplayStrategy"
     7619f (Text
     7620uid 11513,0
     7621va (VaSet
     7622)
     7623xt "114800,115500,120000,116500"
     7624st "RS485_E_DI"
     7625ju 2
     7626blo "120000,116300"
     7627tm "WireNameMgr"
     7628)
     7629)
     7630)
     7631*255 (Net
     7632uid 11520,0
     7633decl (Decl
     7634n "RS485_E_DI"
     7635t "std_logic"
     7636o 76
     7637suid 200,0
     7638)
     7639declText (MLText
     7640uid 11521,0
     7641va (VaSet
     7642font "Courier New,8,0"
     7643)
     7644xt "39000,12600,54000,13400"
     7645st "RS485_E_DI      : std_logic
     7646"
     7647)
     7648)
     7649*256 (Net
     7650uid 11534,0
     7651decl (Decl
     7652n "RS485_E_DO"
     7653t "std_logic"
     7654o 77
     7655suid 201,0
     7656)
     7657declText (MLText
     7658uid 11535,0
     7659va (VaSet
     7660font "Courier New,8,0"
     7661)
     7662xt "39000,13400,54000,14200"
     7663st "RS485_E_DO      : std_logic
     7664"
     7665)
     7666)
     7667*257 (PortIoIn
     7668uid 11922,0
     7669shape (CompositeShape
     7670uid 11923,0
     7671va (VaSet
     7672vasetType 1
     7673fg "0,0,32768"
     7674)
     7675optionalChildren [
     7676(Pentagon
     7677uid 11924,0
     7678sl 0
     7679ro 90
     7680xt "126500,113625,128000,114375"
     7681)
     7682(Line
     7683uid 11925,0
     7684sl 0
     7685ro 90
     7686xt "126000,114000,126500,114000"
     7687pts [
     7688"126500,114000"
     7689"126000,114000"
     7690]
     7691)
     7692]
     7693)
     7694stc 0
     7695sf 1
     7696tg (WTG
     7697uid 11926,0
     7698ps "PortIoTextPlaceStrategy"
     7699stg "STSignalDisplayStrategy"
     7700f (Text
     7701uid 11927,0
     7702va (VaSet
     7703)
     7704xt "129000,113500,134600,114500"
     7705st "RS485_E_DO"
     7706blo "129000,114300"
     7707tm "WireNameMgr"
     7708)
     7709)
     7710)
     7711*258 (PortIoOut
     7712uid 12326,0
     7713shape (CompositeShape
     7714uid 12327,0
     7715va (VaSet
     7716vasetType 1
     7717fg "0,0,32768"
     7718)
     7719optionalChildren [
     7720(Pentagon
     7721uid 12328,0
     7722sl 0
     7723ro 270
     7724xt "87500,139625,89000,140375"
     7725)
     7726(Line
     7727uid 12329,0
     7728sl 0
     7729ro 270
     7730xt "87000,140000,87500,140000"
     7731pts [
     7732"87000,140000"
     7733"87500,140000"
     7734]
     7735)
     7736]
     7737)
     7738stc 0
     7739sf 1
     7740tg (WTG
     7741uid 12330,0
     7742ps "PortIoTextPlaceStrategy"
     7743stg "STSignalDisplayStrategy"
     7744f (Text
     7745uid 12331,0
     7746va (VaSet
     7747)
     7748xt "90000,139500,92300,140500"
     7749st "SRIN"
     7750blo "90000,140300"
     7751tm "WireNameMgr"
     7752)
     7753)
     7754)
     7755*259 (Net
     7756uid 12334,0
     7757decl (Decl
     7758n "SRIN"
     7759t "std_logic"
     7760o 78
     7761suid 203,0
     7762i "'0'"
     7763)
     7764declText (MLText
     7765uid 12335,0
     7766va (VaSet
     7767font "Courier New,8,0"
     7768)
     7769xt "39000,35000,68500,35800"
     7770st "SRIN            : std_logic                      := '0'
     7771"
     7772)
     7773)
     7774*260 (Wire
    74847775uid 245,0
    74857776shape (OrthoPolyLine
     
    74957786)
    74967787start &27
    7497 end &63
     7788end &64
    74987789ss 0
    74997790sat 32
     
    75187809)
    75197810)
    7520 on &84
    7521 )
    7522 *252 (Wire
     7811on &85
     7812)
     7813*261 (Wire
    75237814uid 277,0
    75247815shape (OrthoPolyLine
     
    75357826)
    75367827start &17
    7537 end &64
     7828end &65
    75387829sat 32
    75397830eat 2
     
    75567847)
    75577848)
    7558 on &68
    7559 )
    7560 *253 (Wire
     7849on &69
     7850)
     7851*262 (Wire
    75617852uid 285,0
    75627853shape (OrthoPolyLine
     
    75737864)
    75747865start &18
    7575 end &64
     7866end &65
    75767867sat 32
    75777868eat 2
     
    75947885)
    75957886)
    7596 on &69
    7597 )
    7598 *254 (Wire
     7887on &70
     7888)
     7889*263 (Wire
    75997890uid 362,0
    76007891shape (OrthoPolyLine
     
    76097900]
    76107901)
    7611 start &92
     7902start &93
    76127903end &16
    76137904sat 32
     
    76327923)
    76337924)
    7634 on &93
    7635 )
    7636 *255 (Wire
     7925on &94
     7926)
     7927*264 (Wire
    76377928uid 418,0
    76387929shape (OrthoPolyLine
     
    76487939)
    76497940start &13
    7650 end &70
     7941end &71
    76517942sat 32
    76527943eat 32
     
    76707961)
    76717962)
    7672 on &145
    7673 )
    7674 *256 (Wire
     7963on &146
     7964)
     7965*265 (Wire
    76757966uid 426,0
    76767967shape (OrthoPolyLine
     
    76877978)
    76887979start &19
    7689 end &71
     7980end &72
    76907981sat 32
    76917982eat 32
     
    77108001)
    77118002)
    7712 on &143
    7713 )
    7714 *257 (Wire
     8003on &144
     8004)
     8005*266 (Wire
    77158006uid 434,0
    77168007shape (OrthoPolyLine
     
    77268017)
    77278018start &20
    7728 end &72
     8019end &73
    77298020sat 32
    77308021eat 32
     
    77488039)
    77498040)
    7750 on &149
    7751 )
    7752 *258 (Wire
     8041on &150
     8042)
     8043*267 (Wire
    77538044uid 442,0
    77548045shape (OrthoPolyLine
     
    77658056)
    77668057start &21
    7767 end &73
     8058end &74
    77688059sat 32
    77698060eat 32
     
    77888079)
    77898080)
    7790 on &144
    7791 )
    7792 *259 (Wire
     8081on &145
     8082)
     8083*268 (Wire
    77938084uid 450,0
    77948085shape (OrthoPolyLine
     
    78038094]
    78048095)
    7805 start &74
     8096start &75
    78068097end &22
    78078098sat 32
     
    78268117)
    78278118)
    7828 on &148
    7829 )
    7830 *260 (Wire
     8119on &149
     8120)
     8121*269 (Wire
    78318122uid 458,0
    78328123shape (OrthoPolyLine
     
    78428133)
    78438134start &23
    7844 end &75
    7845 sat 32
    7846 eat 32
    7847 stc 0
    7848 st 0
    7849 sf 1
    7850 si 0
    7851 tg (WTG
    7852 uid 462,0
    7853 ps "ConnStartEndStrategy"
    7854 stg "STSignalDisplayStrategy"
    7855 f (Text
    7856 uid 463,0
    7857 va (VaSet
    7858 isHidden 1
    7859 )
    7860 xt "82000,71000,84700,72000"
    7861 st "W_RD"
    7862 blo "82000,71800"
    7863 tm "WireNameMgr"
    7864 )
    7865 )
    7866 on &146
    7867 )
    7868 *261 (Wire
    7869 uid 466,0
    7870 shape (OrthoPolyLine
    7871 uid 467,0
    7872 va (VaSet
    7873 vasetType 3
    7874 )
    7875 xt "80750,73000,111000,73000"
    7876 pts [
    7877 "80750,73000"
    7878 "111000,73000"
    7879 ]
    7880 )
    7881 start &24
    78828135end &76
    78838136sat 32
     
    78888141si 0
    78898142tg (WTG
     8143uid 462,0
     8144ps "ConnStartEndStrategy"
     8145stg "STSignalDisplayStrategy"
     8146f (Text
     8147uid 463,0
     8148va (VaSet
     8149isHidden 1
     8150)
     8151xt "82000,71000,84700,72000"
     8152st "W_RD"
     8153blo "82000,71800"
     8154tm "WireNameMgr"
     8155)
     8156)
     8157on &147
     8158)
     8159*270 (Wire
     8160uid 466,0
     8161shape (OrthoPolyLine
     8162uid 467,0
     8163va (VaSet
     8164vasetType 3
     8165)
     8166xt "80750,73000,111000,73000"
     8167pts [
     8168"80750,73000"
     8169"111000,73000"
     8170]
     8171)
     8172start &24
     8173end &77
     8174sat 32
     8175eat 32
     8176stc 0
     8177st 0
     8178sf 1
     8179si 0
     8180tg (WTG
    78908181uid 470,0
    78918182ps "ConnStartEndStrategy"
     
    79028193)
    79038194)
    7904 on &147
    7905 )
    7906 *262 (Wire
     8195on &148
     8196)
     8197*271 (Wire
    79078198uid 1467,0
    79088199shape (OrthoPolyLine
     
    79178208]
    79188209)
    7919 start &96
     8210start &97
    79208211end &28
    79218212sat 2
     
    79388229)
    79398230)
    7940 on &77
    7941 )
    7942 *263 (Wire
     8231on &78
     8232)
     8233*272 (Wire
    79438234uid 1730,0
    79448235shape (OrthoPolyLine
     
    79548245]
    79558246)
    7956 start &94
     8247start &95
    79578248end &29
    79588249sat 32
     
    79788269)
    79798270)
    7980 on &95
    7981 )
    7982 *264 (Wire
     8271on &96
     8272)
     8273*273 (Wire
    79838274uid 1833,0
    79848275shape (OrthoPolyLine
     
    79948285]
    79958286)
    7996 start &190
    7997 end &124
     8287start &189
     8288end &125
    79988289sat 2
    79998290eat 32
     
    80188309)
    80198310)
    8020 on &125
    8021 )
    8022 *265 (Wire
     8311on &126
     8312)
     8313*274 (Wire
    80238314uid 1841,0
    80248315shape (OrthoPolyLine
     
    80348325)
    80358326start &31
    8036 end &126
     8327end &127
    80378328sat 32
    80388329eat 32
     
    80568347)
    80578348)
    8058 on &127
    8059 )
    8060 *266 (Wire
     8349on &128
     8350)
     8351*275 (Wire
    80618352uid 1865,0
    80628353shape (OrthoPolyLine
     
    80718362]
    80728363)
    8073 start &116
     8364start &117
    80748365end &32
    80758366sat 32
     
    80948385)
    80958386)
    8096 on &120
    8097 )
    8098 *267 (Wire
     8387on &121
     8388)
     8389*276 (Wire
    80998390uid 1873,0
    81008391shape (OrthoPolyLine
     
    81098400]
    81108401)
    8111 start &117
     8402start &118
    81128403end &33
    81138404sat 32
     
    81328423)
    81338424)
    8134 on &121
    8135 )
    8136 *268 (Wire
     8425on &122
     8426)
     8427*277 (Wire
    81378428uid 1881,0
    81388429shape (OrthoPolyLine
     
    81478438]
    81488439)
    8149 start &118
     8440start &119
    81508441end &34
    81518442sat 32
     
    81708461)
    81718462)
    8172 on &122
    8173 )
    8174 *269 (Wire
     8463on &123
     8464)
     8465*278 (Wire
    81758466uid 1889,0
    81768467shape (OrthoPolyLine
     
    81858476]
    81868477)
    8187 start &119
     8478start &120
    81888479end &35
    81898480sat 32
     
    82088499)
    82098500)
    8210 on &123
    8211 )
    8212 *270 (Wire
     8501on &124
     8502)
     8503*279 (Wire
    82138504uid 2409,0
    82148505shape (OrthoPolyLine
     
    82248515)
    82258516start &36
    8226 end &79
     8517end &80
    82278518sat 32
    82288519eat 32
     
    82468537)
    82478538)
    8248 on &78
    8249 )
    8250 *271 (Wire
     8539on &79
     8540)
     8541*280 (Wire
    82518542uid 2423,0
    82528543shape (OrthoPolyLine
     
    82628553)
    82638554start &37
    8264 end &108
     8555end &109
    82658556sat 32
    82668557eat 1
     
    82848575)
    82858576)
    8286 on &80
    8287 )
    8288 *272 (Wire
     8577on &81
     8578)
     8579*281 (Wire
    82898580uid 3009,0
    82908581shape (OrthoPolyLine
     
    83008591)
    83018592start &39
    8302 end &141
     8593end &142
    83038594sat 32
    83048595eat 32
     
    83228613)
    83238614)
    8324 on &142
    8325 )
    8326 *273 (Wire
     8615on &143
     8616)
     8617*282 (Wire
    83278618uid 3015,0
    83288619shape (OrthoPolyLine
     
    83388629)
    83398630start &41
    8340 end &150
     8631end &151
    83418632sat 32
    83428633eat 32
     
    83608651)
    83618652)
    8362 on &153
    8363 )
    8364 *274 (Wire
     8653on &154
     8654)
     8655*283 (Wire
    83658656uid 3021,0
    83668657shape (OrthoPolyLine
     
    83778668)
    83788669start &40
    8379 end &129
     8670end &130
    83808671sat 32
    83818672eat 1
     
    83988689)
    83998690)
    8400 on &81
    8401 )
    8402 *275 (Wire
     8691on &82
     8692)
     8693*284 (Wire
    84038694uid 3027,0
    84048695shape (OrthoPolyLine
     
    84138704]
    84148705)
    8415 start &200
    8416 end &128
     8706start &199
     8707end &129
    84178708ss 0
    84188709sat 32
     
    84378728)
    84388729)
    8439 on &82
    8440 )
    8441 *276 (Wire
     8730on &83
     8731)
     8732*285 (Wire
    84428733uid 3218,0
    84438734shape (OrthoPolyLine
     
    84528743]
    84538744)
    8454 start &62
     8745start &63
    84558746end &15
    84568747sat 32
     
    84758766)
    84768767)
    8477 on &85
    8478 )
    8479 *277 (Wire
     8768on &86
     8769)
     8770*286 (Wire
    84808771uid 3260,0
    84818772shape (OrthoPolyLine
     
    84918782]
    84928783)
    8493 start &83
    8494 end &86
     8784start &84
     8785end &87
    84958786sat 32
    84968787eat 2
     
    85158806)
    85168807)
    8517 on &90
    8518 )
    8519 *278 (Wire
     8808on &91
     8809)
     8810*287 (Wire
    85208811uid 3270,0
    85218812shape (OrthoPolyLine
     
    85328823]
    85338824)
    8534 start &213
    8535 end &86
     8825start &212
     8826end &87
    85368827sat 32
    85378828eat 1
     
    85538844)
    85548845)
    8555 on &91
    8556 )
    8557 *279 (Wire
     8846on &92
     8847)
     8848*288 (Wire
    85588849uid 3318,0
    85598850shape (OrthoPolyLine
     
    85698860]
    85708861)
    8571 start &100
    8572 end &96
     8862start &101
     8863end &97
    85738864sat 32
    85748865eat 1
     
    85938884)
    85948885)
    8595 on &104
    8596 )
    8597 *280 (Wire
     8886on &105
     8887)
     8888*289 (Wire
    85988889uid 3352,0
    85998890shape (OrthoPolyLine
     
    86098900]
    86108901)
    8611 start &101
    8612 end &96
     8902start &102
     8903end &97
    86138904sat 32
    86148905eat 1
     
    86338924)
    86348925)
    8635 on &105
    8636 )
    8637 *281 (Wire
     8926on &106
     8927)
     8928*290 (Wire
    86388929uid 3360,0
    86398930shape (OrthoPolyLine
     
    86498940]
    86508941)
    8651 start &102
    8652 end &96
     8942start &103
     8943end &97
    86538944sat 32
    86548945eat 1
     
    86738964)
    86748965)
    8675 on &106
    8676 )
    8677 *282 (Wire
     8966on &107
     8967)
     8968*291 (Wire
    86788969uid 3368,0
    86798970shape (OrthoPolyLine
     
    86898980]
    86908981)
    8691 start &103
    8692 end &96
     8982start &104
     8983end &97
    86938984sat 32
    86948985eat 1
     
    87139004)
    87149005)
    8715 on &107
    8716 )
    8717 *283 (Wire
     9006on &108
     9007)
     9008*292 (Wire
    87189009uid 3430,0
    87199010shape (OrthoPolyLine
     
    87289019]
    87299020)
    8730 start &176
    8731 end &108
     9021start &175
     9022end &109
    87329023sat 32
    87339024eat 2
     
    87519042)
    87529043)
    8753 on &112
    8754 )
    8755 *284 (Wire
     9044on &113
     9045)
     9046*293 (Wire
    87569047uid 3438,0
    87579048shape (OrthoPolyLine
     
    87669057]
    87679058)
    8768 start &177
    8769 end &108
     9059start &176
     9060end &109
    87709061sat 32
    87719062eat 2
     
    87899080)
    87909081)
    8791 on &113
    8792 )
    8793 *285 (Wire
     9082on &114
     9083)
     9084*294 (Wire
    87949085uid 3446,0
    87959086shape (OrthoPolyLine
     
    88049095]
    88059096)
    8806 start &178
    8807 end &108
     9097start &177
     9098end &109
    88089099sat 32
    88099100eat 2
     
    88279118)
    88289119)
    8829 on &114
    8830 )
    8831 *286 (Wire
     9120on &115
     9121)
     9122*295 (Wire
    88329123uid 3454,0
    88339124shape (OrthoPolyLine
     
    88429133]
    88439134)
    8844 start &179
    8845 end &108
     9135start &178
     9136end &109
    88469137sat 32
    88479138eat 2
     
    88659156)
    88669157)
    8867 on &115
    8868 )
    8869 *287 (Wire
     9158on &116
     9159)
     9160*296 (Wire
    88709161uid 3574,0
    88719162shape (OrthoPolyLine
     
    88809171]
    88819172)
    8882 start &133
    8883 end &129
     9173start &134
     9174end &130
    88849175sat 32
    88859176eat 2
     
    89039194)
    89049195)
    8905 on &137
    8906 )
    8907 *288 (Wire
     9196on &138
     9197)
     9198*297 (Wire
    89089199uid 3582,0
    89099200shape (OrthoPolyLine
     
    89189209]
    89199210)
    8920 start &134
    8921 end &129
     9211start &135
     9212end &130
    89229213sat 32
    89239214eat 2
     
    89419232)
    89429233)
    8943 on &138
    8944 )
    8945 *289 (Wire
     9234on &139
     9235)
     9236*298 (Wire
    89469237uid 3590,0
    89479238shape (OrthoPolyLine
     
    89569247]
    89579248)
    8958 start &135
    8959 end &129
     9249start &136
     9250end &130
    89609251sat 32
    89619252eat 2
     
    89799270)
    89809271)
    8981 on &139
    8982 )
    8983 *290 (Wire
     9272on &140
     9273)
     9274*299 (Wire
    89849275uid 3598,0
    89859276shape (OrthoPolyLine
     
    89949285]
    89959286)
    8996 start &136
    8997 end &129
     9287start &137
     9288end &130
    89989289sat 32
    89999290eat 2
     
    90179308)
    90189309)
    9019 on &140
    9020 )
    9021 *291 (Wire
     9310on &141
     9311)
     9312*300 (Wire
    90229313uid 3682,0
    90239314shape (OrthoPolyLine
     
    90339324)
    90349325start &42
    9035 end &152
     9326end &153
    90369327sat 32
    90379328eat 32
     
    90559346)
    90569347)
    9057 on &151
    9058 )
    9059 *292 (Wire
     9348on &152
     9349)
     9350*301 (Wire
    90609351uid 3778,0
    90619352shape (OrthoPolyLine
     
    90709361]
    90719362)
    9072 start &158
    9073 end &154
     9363start &159
     9364end &155
    90749365sat 32
    90759366eat 2
     
    90959386on &167
    90969387)
    9097 *293 (Wire
     9388*302 (Wire
    90989389uid 3786,0
    90999390shape (OrthoPolyLine
     
    91089399]
    91099400)
    9110 start &159
    9111 end &154
     9401start &160
     9402end &155
    91129403sat 32
    91139404eat 2
     
    91339424on &168
    91349425)
    9135 *294 (Wire
     9426*303 (Wire
    91369427uid 3794,0
    91379428shape (OrthoPolyLine
     
    91469437]
    91479438)
    9148 start &160
    9149 end &154
     9439start &161
     9440end &155
    91509441sat 32
    91519442eat 2
     
    91719462on &169
    91729463)
    9173 *295 (Wire
     9464*304 (Wire
    91749465uid 3802,0
    91759466shape (OrthoPolyLine
     
    91849475]
    91859476)
    9186 start &161
    9187 end &154
     9477start &162
     9478end &155
    91889479sat 32
    91899480eat 2
     
    92099500on &170
    92109501)
    9211 *296 (Wire
     9502*305 (Wire
    92129503uid 3810,0
    92139504shape (OrthoPolyLine
     
    92229513]
    92239514)
    9224 start &162
    9225 end &154
     9515start &163
     9516end &155
    92269517sat 32
    92279518eat 2
     
    92479538on &171
    92489539)
    9249 *297 (Wire
    9250 uid 3826,0
     9540*306 (Wire
     9541uid 3834,0
    92519542shape (OrthoPolyLine
    9252 uid 3827,0
     9543uid 3835,0
    92539544va (VaSet
    92549545vasetType 3
    92559546)
    9256 xt "108000,109000,111000,109000"
    9257 pts [
    9258 "111000,109000"
    9259 "108000,109000"
    9260 ]
    9261 )
    9262 start &164
    9263 end &154
     9547xt "108000,110000,111000,110000"
     9548pts [
     9549"111000,110000"
     9550"108000,110000"
     9551]
     9552)
     9553start &165
     9554end &155
    92649555sat 32
    92659556eat 2
     
    92699560si 0
    92709561tg (WTG
    9271 uid 3830,0
    9272 ps "ConnStartEndStrategy"
    9273 stg "STSignalDisplayStrategy"
    9274 f (Text
    9275 uid 3831,0
    9276 va (VaSet
    9277 isHidden 1
    9278 )
    9279 xt "108000,108000,110300,109000"
    9280 st "SRIN"
    9281 blo "108000,108800"
    9282 tm "WireNameMgr"
    9283 )
    9284 )
    9285 on &173
    9286 )
    9287 *298 (Wire
    9288 uid 3834,0
    9289 shape (OrthoPolyLine
    9290 uid 3835,0
    9291 va (VaSet
    9292 vasetType 3
    9293 )
    9294 xt "108000,110000,111000,110000"
    9295 pts [
    9296 "111000,110000"
    9297 "108000,110000"
    9298 ]
    9299 )
    9300 start &165
    9301 end &154
    9302 sat 32
    9303 eat 2
    9304 stc 0
    9305 st 0
    9306 sf 1
    9307 si 0
    9308 tg (WTG
    93099562uid 3838,0
    93109563ps "ConnStartEndStrategy"
     
    93219574)
    93229575)
    9323 on &174
    9324 )
    9325 *299 (Wire
     9576on &173
     9577)
     9578*307 (Wire
    93269579uid 3842,0
    93279580shape (OrthoPolyLine
     
    93389591)
    93399592start &166
    9340 end &154
     9593end &155
    93419594sat 32
    93429595eat 2
     
    93619614)
    93629615)
    9363 on &175
    9364 )
    9365 *300 (Wire
     9616on &174
     9617)
     9618*308 (Wire
    93669619uid 4942,0
    93679620shape (OrthoPolyLine
     
    93789631)
    93799632start &14
    9380 end &180
     9633end &179
    93819634sat 32
    93829635eat 32
     
    94019654)
    94029655)
    9403 on &181
    9404 )
    9405 *301 (Wire
     9656on &180
     9657)
     9658*309 (Wire
    94069659uid 6431,0
    94079660shape (OrthoPolyLine
     
    94179670)
    94189671start &43
    9419 end &163
     9672end &164
    94209673sat 32
    94219674eat 32
     
    94419694on &172
    94429695)
    9443 *302 (Wire
     9696*310 (Wire
    94449697uid 6787,0
    94459698shape (OrthoPolyLine
     
    94559708]
    94569709)
    9457 start &182
    9458 end &186
     9710start &181
     9711end &185
    94599712sat 32
    94609713eat 1
     
    94789731)
    94799732)
    9480 on &183
    9481 )
    9482 *303 (Wire
     9733on &182
     9734)
     9735*311 (Wire
    94839736uid 6880,0
    94849737shape (OrthoPolyLine
     
    94949747]
    94959748)
    9496 start &186
    9497 end &184
     9749start &185
     9750end &183
    94989751sat 2
    94999752eat 32
     
    95179770)
    95189771)
    9519 on &185
    9520 )
    9521 *304 (Wire
     9772on &184
     9773)
     9774*312 (Wire
    95229775uid 7144,0
    95239776shape (OrthoPolyLine
     
    95339786]
    95349787)
    9535 end &194
     9788end &193
    95369789sat 16
    95379790eat 32
     
    95559808)
    95569809)
    9557 on &195
    9558 )
    9559 *305 (Wire
     9810on &194
     9811)
     9812*313 (Wire
    95609813uid 7477,0
    95619814shape (OrthoPolyLine
     
    95719824)
    95729825start &38
    9573 end &198
     9826end &197
    95749827es 0
    95759828sat 32
     
    95929845)
    95939846)
    9594 on &196
    9595 )
    9596 *306 (Wire
     9847on &195
     9848)
     9849*314 (Wire
    95979850uid 8853,0
    95989851shape (OrthoPolyLine
     
    96119864)
    96129865start &30
    9613 end &190
     9866end &189
    96149867sat 32
    96159868eat 1
     
    96329885)
    96339886)
    9634 on &210
    9635 )
    9636 *307 (Wire
     9887on &209
     9888)
     9889*315 (Wire
    96379890uid 9502,0
    96389891shape (OrthoPolyLine
     
    96679920)
    96689921)
    9669 on &211
    9670 )
    9671 *308 (Wire
     9922on &210
     9923)
     9924*316 (Wire
    96729925uid 10034,0
    96739926shape (OrthoPolyLine
     
    96849937)
    96859938start &25
    9686 end &218
     9939end &217
    96879940sat 32
    96889941eat 32
     
    97059958)
    97069959)
    9707 on &230
    9708 )
    9709 *309 (Wire
     9960on &229
     9961)
     9962*317 (Wire
    97109963uid 10052,0
    97119964shape (OrthoPolyLine
     
    97219974)
    97229975start &44
    9723 end &216
     9976end &215
    97249977sat 32
    97259978eat 32
     
    97429995)
    97439996)
    9744 on &231
    9745 )
    9746 *310 (Wire
     9997on &230
     9998)
     9999*318 (Wire
    974710000uid 10302,0
    974810001shape (OrthoPolyLine
     
    975810011]
    975910012)
    9760 end &232
     10013end &231
    976110014sat 16
    976210015eat 32
     
    978010033)
    978110034)
    9782 on &233
    9783 )
    9784 *311 (Wire
     10035on &232
     10036)
     10037*319 (Wire
    978510038uid 10452,0
    978610039shape (OrthoPolyLine
     
    978810041va (VaSet
    978910042vasetType 3
     10043lineWidth 2
    979010044)
    979110045xt "112000,126000,122000,126000"
     
    979510049]
    979610050)
    9797 start &234
     10051start &233
    979810052sat 2
    979910053eat 16
     10054sty 1
    980010055st 0
    980110056sf 1
     
    981510070)
    981610071)
    9817 on &233
    9818 )
    9819 *312 (Wire
     10072on &232
     10073)
     10074*320 (Wire
    982010075uid 10460,0
    982110076shape (OrthoPolyLine
     
    982310078va (VaSet
    982410079vasetType 3
     10080lineWidth 2
    982510081)
    982610082xt "112000,127000,122000,127000"
     
    983010086]
    983110087)
    9832 start &234
     10088start &233
    983310089sat 2
    983410090eat 16
     10091sty 1
    983510092st 0
    983610093sf 1
     
    985010107)
    985110108)
    9852 on &195
    9853 )
    9854 *313 (Wire
     10109on &194
     10110)
     10111*321 (Wire
    985510112uid 10498,0
    985610113shape (OrthoPolyLine
     
    988510142)
    988610143)
    9887 on &238
    9888 )
    9889 *314 (Wire
     10144on &237
     10145)
     10146*322 (Wire
    989010147uid 10506,0
    989110148shape (OrthoPolyLine
     
    992010177)
    992110178)
    9922 on &239
    9923 )
    9924 *315 (Wire
     10179on &238
     10180)
     10181*323 (Wire
    992510182uid 10514,0
    992610183shape (OrthoPolyLine
     
    995510212)
    995610213)
    9957 on &240
    9958 )
    9959 *316 (Wire
     10214on &239
     10215)
     10216*324 (Wire
    996010217uid 10522,0
    996110218shape (OrthoPolyLine
     
    999010247)
    999110248)
    9992 on &241
    9993 )
    9994 *317 (Wire
     10249on &240
     10250)
     10251*325 (Wire
    999510252uid 10530,0
    999610253shape (OrthoPolyLine
     
    1002510282)
    1002610283)
    10027 on &242
    10028 )
    10029 *318 (Wire
     10284on &241
     10285)
     10286*326 (Wire
    1003010287uid 10538,0
    1003110288shape (OrthoPolyLine
     
    1006010317)
    1006110318)
    10062 on &243
    10063 )
    10064 *319 (Wire
     10319on &242
     10320)
     10321*327 (Wire
    1006510322uid 10546,0
    1006610323shape (OrthoPolyLine
     
    1009510352)
    1009610353)
    10097 on &244
    10098 )
    10099 *320 (Wire
     10354on &243
     10355)
     10356*328 (Wire
    1010010357uid 10554,0
    1010110358shape (OrthoPolyLine
     
    1013010387)
    1013110388)
    10132 on &245
    10133 )
    10134 *321 (Wire
     10389on &244
     10390)
     10391*329 (Wire
    1013510392uid 10562,0
    1013610393shape (OrthoPolyLine
     
    1016510422)
    1016610423)
    10167 on &246
    10168 )
    10169 *322 (Wire
     10424on &245
     10425)
     10426*330 (Wire
    1017010427uid 10570,0
    1017110428shape (OrthoPolyLine
     
    1020010457)
    1020110458)
    10202 on &247
    10203 )
    10204 *323 (Wire
     10459on &246
     10460)
     10461*331 (Wire
    1020510462uid 10578,0
    1020610463shape (OrthoPolyLine
     
    1023510492)
    1023610493)
    10237 on &248
    10238 )
    10239 *324 (Wire
     10494on &247
     10495)
     10496*332 (Wire
    1024010497uid 10586,0
    1024110498shape (OrthoPolyLine
     
    1027010527)
    1027110528)
    10272 on &249
    10273 )
    10274 *325 (Wire
     10529on &248
     10530)
     10531*333 (Wire
    1027510532uid 10594,0
    1027610533shape (OrthoPolyLine
     
    1030510562)
    1030610563)
    10307 on &250
    10308 )
    10309 *326 (Wire
     10564on &249
     10565)
     10566*334 (Wire
    1031010567uid 10600,0
    1031110568shape (OrthoPolyLine
     
    1032010577]
    1032110578)
    10322 end &234
     10579end &233
    1032310580sat 16
    1032410581eat 1
     
    1034010597)
    1034110598)
    10342 on &239
    10343 )
    10344 *327 (Wire
     10599on &238
     10600)
     10601*335 (Wire
    1034510602uid 10608,0
    1034610603shape (OrthoPolyLine
     
    1035510612]
    1035610613)
    10357 end &234
     10614end &233
    1035810615sat 16
    1035910616eat 1
     
    1037510632)
    1037610633)
    10377 on &240
    10378 )
    10379 *328 (Wire
     10634on &239
     10635)
     10636*336 (Wire
    1038010637uid 10616,0
    1038110638shape (OrthoPolyLine
     
    1039010647]
    1039110648)
    10392 end &234
     10649end &233
    1039310650sat 16
    1039410651eat 1
     
    1041010667)
    1041110668)
    10412 on &238
    10413 )
    10414 *329 (Wire
     10669on &237
     10670)
     10671*337 (Wire
    1041510672uid 10624,0
    1041610673shape (OrthoPolyLine
     
    1042510682]
    1042610683)
    10427 end &234
     10684end &233
    1042810685sat 16
    1042910686eat 1
     
    1044510702)
    1044610703)
    10447 on &245
    10448 )
    10449 *330 (Wire
     10704on &244
     10705)
     10706*338 (Wire
    1045010707uid 10632,0
    1045110708shape (OrthoPolyLine
     
    1046010717]
    1046110718)
    10462 end &234
     10719end &233
    1046310720sat 16
    1046410721eat 1
     
    1048010737)
    1048110738)
    10482 on &250
    10483 )
    10484 *331 (Wire
     10739on &249
     10740)
     10741*339 (Wire
    1048510742uid 10640,0
    1048610743shape (OrthoPolyLine
     
    1049510752]
    1049610753)
    10497 end &234
     10754end &233
    1049810755sat 16
    1049910756eat 1
     
    1051510772)
    1051610773)
    10517 on &249
    10518 )
    10519 *332 (Wire
     10774on &248
     10775)
     10776*340 (Wire
    1052010777uid 10648,0
    1052110778shape (OrthoPolyLine
     
    1053010787]
    1053110788)
    10532 end &234
     10789end &233
    1053310790sat 16
    1053410791eat 1
     
    1055010807)
    1055110808)
    10552 on &248
    10553 )
    10554 *333 (Wire
     10809on &247
     10810)
     10811*341 (Wire
    1055510812uid 10656,0
    1055610813shape (OrthoPolyLine
     
    1056510822]
    1056610823)
    10567 end &234
     10824end &233
    1056810825sat 16
    1056910826eat 1
     
    1058510842)
    1058610843)
    10587 on &243
    10588 )
    10589 *334 (Wire
     10844on &242
     10845)
     10846*342 (Wire
    1059010847uid 10664,0
    1059110848shape (OrthoPolyLine
     
    1060010857]
    1060110858)
    10602 end &234
     10859end &233
    1060310860sat 16
    1060410861eat 1
     
    1062010877)
    1062110878)
    10622 on &244
    10623 )
    10624 *335 (Wire
     10879on &243
     10880)
     10881*343 (Wire
    1062510882uid 10672,0
    1062610883shape (OrthoPolyLine
     
    1063510892]
    1063610893)
    10637 end &234
     10894end &233
    1063810895sat 16
    1063910896eat 1
     
    1065510912)
    1065610913)
    10657 on &241
    10658 )
    10659 *336 (Wire
     10914on &240
     10915)
     10916*344 (Wire
    1066010917uid 10680,0
    1066110918shape (OrthoPolyLine
     
    1067010927]
    1067110928)
    10672 end &234
     10929end &233
    1067310930sat 16
    1067410931eat 1
     
    1069010947)
    1069110948)
    10692 on &242
    10693 )
    10694 *337 (Wire
     10949on &241
     10950)
     10951*345 (Wire
    1069510952uid 10688,0
    1069610953shape (OrthoPolyLine
     
    1070510962]
    1070610963)
    10707 end &234
     10964end &233
    1070810965sat 16
    1070910966eat 1
     
    1072510982)
    1072610983)
    10727 on &246
    10728 )
    10729 *338 (Wire
     10984on &245
     10985)
     10986*346 (Wire
    1073010987uid 10696,0
    1073110988shape (OrthoPolyLine
     
    1074010997]
    1074110998)
    10742 end &234
     10999end &233
    1074311000sat 16
    1074411001eat 1
     
    1076011017)
    1076111018)
    10762 on &247
     11019on &246
     11020)
     11021*347 (Wire
     11022uid 11096,0
     11023shape (OrthoPolyLine
     11024uid 11097,0
     11025va (VaSet
     11026vasetType 3
     11027)
     11028xt "96000,103000,100000,103000"
     11029pts [
     11030"96000,103000"
     11031"100000,103000"
     11032]
     11033)
     11034start &250
     11035end &155
     11036sat 32
     11037eat 1
     11038st 0
     11039sf 1
     11040si 0
     11041tg (WTG
     11042uid 11100,0
     11043ps "ConnStartEndStrategy"
     11044stg "STSignalDisplayStrategy"
     11045f (Text
     11046uid 11101,0
     11047va (VaSet
     11048isHidden 1
     11049)
     11050xt "126000,96000,131300,97000"
     11051st "RS485_C_DI"
     11052blo "126000,96800"
     11053tm "WireNameMgr"
     11054)
     11055)
     11056on &251
     11057)
     11058*348 (Wire
     11059uid 11110,0
     11060shape (OrthoPolyLine
     11061uid 11111,0
     11062va (VaSet
     11063vasetType 3
     11064)
     11065xt "108000,112000,111000,112000"
     11066pts [
     11067"108000,112000"
     11068"111000,112000"
     11069]
     11070)
     11071start &155
     11072end &252
     11073sat 2
     11074eat 32
     11075st 0
     11076sf 1
     11077si 0
     11078tg (WTG
     11079uid 11114,0
     11080ps "ConnStartEndStrategy"
     11081stg "STSignalDisplayStrategy"
     11082f (Text
     11083uid 11115,0
     11084va (VaSet
     11085isHidden 1
     11086)
     11087xt "110000,111000,115700,112000"
     11088st "RS485_C_DO"
     11089blo "110000,111800"
     11090tm "WireNameMgr"
     11091)
     11092)
     11093on &253
     11094)
     11095*349 (Wire
     11096uid 11514,0
     11097shape (OrthoPolyLine
     11098uid 11515,0
     11099va (VaSet
     11100vasetType 3
     11101)
     11102xt "123000,116000,127000,116000"
     11103pts [
     11104"123000,116000"
     11105"127000,116000"
     11106]
     11107)
     11108start &254
     11109sat 32
     11110eat 16
     11111st 0
     11112sf 1
     11113si 0
     11114tg (WTG
     11115uid 11518,0
     11116ps "ConnStartEndStrategy"
     11117stg "STSignalDisplayStrategy"
     11118f (Text
     11119uid 11519,0
     11120va (VaSet
     11121isHidden 1
     11122)
     11123xt "125000,115000,130200,116000"
     11124st "RS485_E_DI"
     11125blo "125000,115800"
     11126tm "WireNameMgr"
     11127)
     11128)
     11129on &255
     11130)
     11131*350 (Wire
     11132uid 11528,0
     11133shape (OrthoPolyLine
     11134uid 11529,0
     11135va (VaSet
     11136vasetType 3
     11137)
     11138xt "123000,114000,126000,114000"
     11139pts [
     11140"123000,114000"
     11141"126000,114000"
     11142]
     11143)
     11144end &257
     11145sat 16
     11146eat 32
     11147st 0
     11148sf 1
     11149si 0
     11150tg (WTG
     11151uid 11532,0
     11152ps "ConnStartEndStrategy"
     11153stg "STSignalDisplayStrategy"
     11154f (Text
     11155uid 11533,0
     11156va (VaSet
     11157isHidden 1
     11158)
     11159xt "125000,113000,130600,114000"
     11160st "RS485_E_DO"
     11161blo "125000,113800"
     11162tm "WireNameMgr"
     11163)
     11164)
     11165on &256
     11166)
     11167*351 (Wire
     11168uid 12320,0
     11169shape (OrthoPolyLine
     11170uid 12321,0
     11171va (VaSet
     11172vasetType 3
     11173)
     11174xt "80750,140000,87000,140000"
     11175pts [
     11176"80750,140000"
     11177"87000,140000"
     11178]
     11179)
     11180start &59
     11181end &258
     11182sat 32
     11183eat 32
     11184stc 0
     11185st 0
     11186sf 1
     11187si 0
     11188tg (WTG
     11189uid 12324,0
     11190ps "ConnStartEndStrategy"
     11191stg "STSignalDisplayStrategy"
     11192f (Text
     11193uid 12325,0
     11194va (VaSet
     11195isHidden 1
     11196)
     11197xt "82000,139000,84300,140000"
     11198st "SRIN"
     11199blo "82000,139800"
     11200tm "WireNameMgr"
     11201)
     11202)
     11203on &259
    1076311204)
    1076411205]
     
    1077411215color "26368,26368,26368"
    1077511216)
    10776 packageList *339 (PackageList
     11217packageList *352 (PackageList
    1077711218uid 41,0
    1077811219stg "VerticalLayoutStrategy"
    1077911220textVec [
    10780 *340 (Text
     11221*353 (Text
    1078111222uid 42,0
    1078211223va (VaSet
     
    1078711228blo "0,800"
    1078811229)
    10789 *341 (MLText
     11230*354 (MLText
    1079011231uid 43,0
    1079111232va (VaSet
     
    1080811249stg "VerticalLayoutStrategy"
    1080911250textVec [
    10810 *342 (Text
     11251*355 (Text
    1081111252uid 45,0
    1081211253va (VaSet
     
    1081811259blo "20000,800"
    1081911260)
    10820 *343 (Text
     11261*356 (Text
    1082111262uid 46,0
    1082211263va (VaSet
     
    1082811269blo "20000,1800"
    1082911270)
    10830 *344 (MLText
     11271*357 (MLText
    1083111272uid 47,0
    1083211273va (VaSet
     
    1083811279tm "BdCompilerDirectivesTextMgr"
    1083911280)
    10840 *345 (Text
     11281*358 (Text
    1084111282uid 48,0
    1084211283va (VaSet
     
    1084811289blo "20000,4800"
    1084911290)
    10850 *346 (MLText
     11291*359 (MLText
    1085111292uid 49,0
    1085211293va (VaSet
     
    1085611297tm "BdCompilerDirectivesTextMgr"
    1085711298)
    10858 *347 (Text
     11299*360 (Text
    1085911300uid 50,0
    1086011301va (VaSet
     
    1086611307blo "20000,5800"
    1086711308)
    10868 *348 (MLText
     11309*361 (MLText
    1086911310uid 51,0
    1087011311va (VaSet
     
    1087711318associable 1
    1087811319)
    10879 windowSize "1280,0,2561,1024"
    10880 viewArea "33652,91254,117471,160379"
     11320windowSize "0,0,1281,1002"
     11321viewArea "48828,78798,132010,145674"
    1088111322cachedDiagramExtent "0,0,699000,450107"
    1088211323pageSetupInfo (PageSetupInfo
     
    1089111332hasePageBreakOrigin 1
    1089211333pageBreakOrigin "0,0"
    10893 lastUid 10906,0
     11334lastUid 12337,0
    1089411335defaultCommentText (CommentText
    1089511336shape (Rectangle
     
    1095311394stg "VerticalLayoutStrategy"
    1095411395textVec [
    10955 *349 (Text
     11396*362 (Text
    1095611397va (VaSet
    1095711398font "Arial,8,1"
     
    1096211403tm "BdLibraryNameMgr"
    1096311404)
    10964 *350 (Text
     11405*363 (Text
    1096511406va (VaSet
    1096611407font "Arial,8,1"
     
    1097111412tm "BlkNameMgr"
    1097211413)
    10973 *351 (Text
     11414*364 (Text
    1097411415va (VaSet
    1097511416font "Arial,8,1"
     
    1102211463stg "VerticalLayoutStrategy"
    1102311464textVec [
    11024 *352 (Text
     11465*365 (Text
    1102511466va (VaSet
    1102611467font "Arial,8,1"
     
    1103011471blo "550,4300"
    1103111472)
    11032 *353 (Text
     11473*366 (Text
    1103311474va (VaSet
    1103411475font "Arial,8,1"
     
    1103811479blo "550,5300"
    1103911480)
    11040 *354 (Text
     11481*367 (Text
    1104111482va (VaSet
    1104211483font "Arial,8,1"
     
    1108711528stg "VerticalLayoutStrategy"
    1108811529textVec [
    11089 *355 (Text
     11530*368 (Text
    1109011531va (VaSet
    1109111532font "Arial,8,1"
     
    1109611537tm "BdLibraryNameMgr"
    1109711538)
    11098 *356 (Text
     11539*369 (Text
    1109911540va (VaSet
    1110011541font "Arial,8,1"
     
    1110511546tm "CptNameMgr"
    1110611547)
    11107 *357 (Text
     11548*370 (Text
    1110811549va (VaSet
    1110911550font "Arial,8,1"
     
    1115911600stg "VerticalLayoutStrategy"
    1116011601textVec [
    11161 *358 (Text
     11602*371 (Text
    1116211603va (VaSet
    1116311604font "Arial,8,1"
     
    1116711608blo "500,4300"
    1116811609)
    11169 *359 (Text
     11610*372 (Text
    1117011611va (VaSet
    1117111612font "Arial,8,1"
     
    1117511616blo "500,5300"
    1117611617)
    11177 *360 (Text
     11618*373 (Text
    1117811619va (VaSet
    1117911620font "Arial,8,1"
     
    1122011661stg "VerticalLayoutStrategy"
    1122111662textVec [
    11222 *361 (Text
     11663*374 (Text
    1122311664va (VaSet
    1122411665font "Arial,8,1"
     
    1122811669blo "50,4300"
    1122911670)
    11230 *362 (Text
     11671*375 (Text
    1123111672va (VaSet
    1123211673font "Arial,8,1"
     
    1123611677blo "50,5300"
    1123711678)
    11238 *363 (Text
     11679*376 (Text
    1123911680va (VaSet
    1124011681font "Arial,8,1"
     
    1127711718stg "VerticalLayoutStrategy"
    1127811719textVec [
    11279 *364 (Text
     11720*377 (Text
    1128011721va (VaSet
    1128111722font "Arial,8,1"
     
    1128611727tm "HdlTextNameMgr"
    1128711728)
    11288 *365 (Text
     11729*378 (Text
    1128911730va (VaSet
    1129011731font "Arial,8,1"
     
    1168912130stg "VerticalLayoutStrategy"
    1169012131textVec [
    11691 *366 (Text
     12132*379 (Text
    1169212133va (VaSet
    1169312134font "Arial,8,1"
     
    1169712138blo "14100,20800"
    1169812139)
    11699 *367 (MLText
     12140*380 (MLText
    1170012141va (VaSet
    1170112142)
     
    1174912190stg "VerticalLayoutStrategy"
    1175012191textVec [
    11751 *368 (Text
     12192*381 (Text
    1175212193va (VaSet
    1175312194font "Arial,8,1"
     
    1175712198blo "14100,20800"
    1175812199)
    11759 *369 (MLText
     12200*382 (MLText
    1176012201va (VaSet
    1176112202)
     
    1187512316font "Arial,8,1"
    1187612317)
    11877 xt "37000,43000,44100,44000"
     12318xt "37000,46200,44100,47200"
    1187812319st "Diagram Signals:"
    11879 blo "37000,43800"
     12320blo "37000,47000"
    1188012321)
    1188112322postUserLabel (Text
     
    1190112342commonDM (CommonDM
    1190212343ldm (LogicalDM
    11903 suid 196,0
     12344suid 203,0
    1190412345usingSuid 1
    11905 emptyRow *370 (LEmptyRow
     12346emptyRow *383 (LEmptyRow
    1190612347)
    1190712348uid 54,0
    1190812349optionalChildren [
    11909 *371 (RefLabelRowHdr
    11910 )
    11911 *372 (TitleRowHdr
    11912 )
    11913 *373 (FilterRowHdr
    11914 )
    11915 *374 (RefLabelColHdr
     12350*384 (RefLabelRowHdr
     12351)
     12352*385 (TitleRowHdr
     12353)
     12354*386 (FilterRowHdr
     12355)
     12356*387 (RefLabelColHdr
    1191612357tm "RefLabelColHdrMgr"
    1191712358)
    11918 *375 (RowExpandColHdr
     12359*388 (RowExpandColHdr
    1191912360tm "RowExpandColHdrMgr"
    1192012361)
    11921 *376 (GroupColHdr
     12362*389 (GroupColHdr
    1192212363tm "GroupColHdrMgr"
    1192312364)
    11924 *377 (NameColHdr
     12365*390 (NameColHdr
    1192512366tm "BlockDiagramNameColHdrMgr"
    1192612367)
    11927 *378 (ModeColHdr
     12368*391 (ModeColHdr
    1192812369tm "BlockDiagramModeColHdrMgr"
    1192912370)
    11930 *379 (TypeColHdr
     12371*392 (TypeColHdr
    1193112372tm "BlockDiagramTypeColHdrMgr"
    1193212373)
    11933 *380 (BoundsColHdr
     12374*393 (BoundsColHdr
    1193412375tm "BlockDiagramBoundsColHdrMgr"
    1193512376)
    11936 *381 (InitColHdr
     12377*394 (InitColHdr
    1193712378tm "BlockDiagramInitColHdrMgr"
    1193812379)
    11939 *382 (EolColHdr
     12380*395 (EolColHdr
    1194012381tm "BlockDiagramEolColHdrMgr"
    1194112382)
    11942 *383 (LeafLogPort
     12383*396 (LeafLogPort
    1194312384port (LogicalPort
    1194412385m 4
     
    1194912390preAdd 0
    1195012391posAdd 0
    11951 o 55
     12392o 69
    1195212393suid 5,0
    1195312394)
     
    1195512396uid 327,0
    1195612397)
    11957 *384 (LeafLogPort
     12398*397 (LeafLogPort
    1195812399port (LogicalPort
    1195912400m 4
     
    1196212403t "std_logic_vector"
    1196312404b "(1 downto 0)"
    11964 o 56
     12405o 70
    1196512406suid 6,0
    1196612407)
     
    1196812409uid 329,0
    1196912410)
    11970 *385 (LeafLogPort
     12411*398 (LeafLogPort
    1197112412port (LogicalPort
    1197212413m 4
     
    1197412415n "adc_data_array"
    1197512416t "adc_data_array_type"
    11976 o 54
     12417o 68
    1197712418suid 29,0
    1197812419)
     
    1198012421uid 1491,0
    1198112422)
    11982 *386 (LeafLogPort
     12423*399 (LeafLogPort
    1198312424port (LogicalPort
    1198412425m 1
     
    1198612427n "RSRLOAD"
    1198712428t "std_logic"
    11988 o 35
     12429o 37
    1198912430suid 57,0
    1199012431i "'0'"
     
    1199312434uid 2435,0
    1199412435)
    11995 *387 (LeafLogPort
     12436*400 (LeafLogPort
    1199612437port (LogicalPort
    1199712438m 4
     
    1199912440n "SRCLK"
    1200012441t "std_logic"
    12001 o 52
     12442o 66
    1200212443suid 58,0
    1200312444i "'0'"
     
    1200612447uid 2437,0
    1200712448)
    12008 *388 (LeafLogPort
     12449*401 (LeafLogPort
    1200912450port (LogicalPort
    1201012451m 4
     
    1201312454t "std_logic_vector"
    1201412455b "(3 DOWNTO 0)"
    12015 o 59
     12456o 74
    1201612457suid 65,0
    1201712458)
     
    1201912460uid 3037,0
    1202012461)
    12021 *389 (LeafLogPort
     12462*402 (LeafLogPort
    1202212463port (LogicalPort
    1202312464m 1
     
    1202512466n "DAC_CS"
    1202612467t "std_logic"
    12027 o 21
     12468o 22
    1202812469suid 66,0
    1202912470)
     
    1203112472uid 3039,0
    1203212473)
    12033 *390 (LeafLogPort
     12474*403 (LeafLogPort
    1203412475port (LogicalPort
    1203512476decl (Decl
     
    1204412485uid 3276,0
    1204512486)
    12046 *391 (LeafLogPort
     12487*404 (LeafLogPort
    1204712488port (LogicalPort
    1204812489decl (Decl
     
    1205512496uid 3278,0
    1205612497)
    12057 *392 (LeafLogPort
     12498*405 (LeafLogPort
    1205812499port (LogicalPort
    1205912500m 1
     
    1206212503t "std_logic_vector"
    1206312504b "(3 downto 0)"
    12064 o 16
     12505o 17
    1206512506suid 71,0
    1206612507)
     
    1206812509uid 3280,0
    1206912510)
    12070 *393 (LeafLogPort
     12511*406 (LeafLogPort
    1207112512port (LogicalPort
    1207212513m 4
     
    1207412515n "CLK_25_PS"
    1207512516t "std_logic"
    12076 o 50
     12517o 55
    1207712518suid 72,0
    1207812519)
     
    1208012521uid 3282,0
    1208112522)
    12082 *394 (LeafLogPort
     12523*407 (LeafLogPort
    1208312524port (LogicalPort
    1208412525m 1
     
    1208812529preAdd 0
    1208912530posAdd 0
    12090 o 30
     12531o 31
    1209112532suid 73,0
    1209212533)
     
    1209412535uid 3382,0
    1209512536)
    12096 *395 (LeafLogPort
     12537*408 (LeafLogPort
    1209712538port (LogicalPort
    1209812539decl (Decl
     
    1210612547uid 3384,0
    1210712548)
    12108 *396 (LeafLogPort
     12549*409 (LeafLogPort
    1210912550port (LogicalPort
    1211012551decl (Decl
     
    1211812559uid 3386,0
    1211912560)
    12120 *397 (LeafLogPort
     12561*410 (LeafLogPort
    1212112562port (LogicalPort
    1212212563decl (Decl
     
    1213012571uid 3388,0
    1213112572)
    12132 *398 (LeafLogPort
     12573*411 (LeafLogPort
    1213312574port (LogicalPort
    1213412575decl (Decl
     
    1214212583uid 3390,0
    1214312584)
    12144 *399 (LeafLogPort
     12585*412 (LeafLogPort
    1214512586port (LogicalPort
    1214612587decl (Decl
     
    1215412595uid 3392,0
    1215512596)
    12156 *400 (LeafLogPort
     12597*413 (LeafLogPort
    1215712598port (LogicalPort
    1215812599m 1
     
    1216012601n "D0_SRCLK"
    1216112602t "STD_LOGIC"
    12162 o 17
     12603o 18
    1216312604suid 87,0
    1216412605)
     
    1216612607uid 3468,0
    1216712608)
    12168 *401 (LeafLogPort
     12609*414 (LeafLogPort
    1216912610port (LogicalPort
    1217012611m 1
     
    1217212613n "D1_SRCLK"
    1217312614t "STD_LOGIC"
    12174 o 18
     12615o 19
    1217512616suid 88,0
    1217612617)
     
    1217812619uid 3470,0
    1217912620)
    12180 *402 (LeafLogPort
     12621*415 (LeafLogPort
    1218112622port (LogicalPort
    1218212623m 1
     
    1218412625n "D2_SRCLK"
    1218512626t "STD_LOGIC"
    12186 o 19
     12627o 20
    1218712628suid 89,0
    1218812629)
     
    1219012631uid 3472,0
    1219112632)
    12192 *403 (LeafLogPort
     12633*416 (LeafLogPort
    1219312634port (LogicalPort
    1219412635m 1
     
    1219612637n "D3_SRCLK"
    1219712638t "STD_LOGIC"
    12198 o 20
     12639o 21
    1219912640suid 90,0
    1220012641)
     
    1220212643uid 3474,0
    1220312644)
    12204 *404 (LeafLogPort
     12645*417 (LeafLogPort
    1220512646port (LogicalPort
    1220612647decl (Decl
     
    1221312654uid 3524,0
    1221412655)
    12215 *405 (LeafLogPort
     12656*418 (LeafLogPort
    1221612657port (LogicalPort
    1221712658decl (Decl
     
    1222412665uid 3526,0
    1222512666)
    12226 *406 (LeafLogPort
     12667*419 (LeafLogPort
    1222712668port (LogicalPort
    1222812669decl (Decl
     
    1223512676uid 3528,0
    1223612677)
    12237 *407 (LeafLogPort
     12678*420 (LeafLogPort
    1223812679port (LogicalPort
    1223912680decl (Decl
     
    1224612687uid 3530,0
    1224712688)
    12248 *408 (LeafLogPort
     12689*421 (LeafLogPort
    1224912690port (LogicalPort
    1225012691m 1
     
    1225312694t "std_logic_vector"
    1225412695b "(3 DOWNTO 0)"
    12255 o 24
     12696o 25
    1225612697suid 95,0
    1225712698i "(others => '0')"
     
    1226012701uid 3532,0
    1226112702)
    12262 *409 (LeafLogPort
     12703*422 (LeafLogPort
    1226312704port (LogicalPort
    1226412705m 1
     
    1226612707n "DWRITE"
    1226712708t "std_logic"
    12268 o 23
     12709o 24
    1226912710suid 96,0
    1227012711i "'0'"
     
    1227312714uid 3534,0
    1227412715)
    12275 *410 (LeafLogPort
     12716*423 (LeafLogPort
    1227612717port (LogicalPort
    1227712718m 1
     
    1227912720n "T0_CS"
    1228012721t "std_logic"
    12281 o 38
     12722o 40
    1228212723suid 101,0
    1228312724)
     
    1228512726uid 3646,0
    1228612727)
    12287 *411 (LeafLogPort
     12728*424 (LeafLogPort
    1228812729port (LogicalPort
    1228912730m 1
     
    1229112732n "T1_CS"
    1229212733t "std_logic"
    12293 o 39
     12734o 41
    1229412735suid 102,0
    1229512736)
     
    1229712738uid 3648,0
    1229812739)
    12299 *412 (LeafLogPort
     12740*425 (LeafLogPort
    1230012741port (LogicalPort
    1230112742m 1
     
    1230312744n "T2_CS"
    1230412745t "std_logic"
    12305 o 40
     12746o 42
    1230612747suid 103,0
    1230712748)
     
    1230912750uid 3650,0
    1231012751)
    12311 *413 (LeafLogPort
     12752*426 (LeafLogPort
    1231212753port (LogicalPort
    1231312754m 1
     
    1231512756n "T3_CS"
    1231612757t "std_logic"
    12317 o 41
     12758o 43
    1231812759suid 104,0
    1231912760)
     
    1232112762uid 3652,0
    1232212763)
    12323 *414 (LeafLogPort
     12764*427 (LeafLogPort
    1232412765port (LogicalPort
    1232512766m 1
     
    1232712768n "S_CLK"
    1232812769t "std_logic"
    12329 o 37
     12770o 39
    1233012771suid 105,0
    1233112772)
     
    1233312774uid 3654,0
    1233412775)
    12335 *415 (LeafLogPort
     12776*428 (LeafLogPort
    1233612777port (LogicalPort
    1233712778m 1
     
    1234012781t "std_logic_vector"
    1234112782b "(9 DOWNTO 0)"
    12342 o 43
     12783o 45
    1234312784suid 106,0
    1234412785)
     
    1234612787uid 3656,0
    1234712788)
    12348 *416 (LeafLogPort
     12789*429 (LeafLogPort
    1234912790port (LogicalPort
    1235012791m 2
     
    1235312794t "std_logic_vector"
    1235412795b "(15 DOWNTO 0)"
    12355 o 49
     12796o 51
    1235612797suid 107,0
    1235712798)
    1235812799)
    1235912800uid 3658,0
    12360 )
    12361 *417 (LeafLogPort
    12362 port (LogicalPort
    12363 m 1
    12364 decl (Decl
    12365 n "W_RES"
    12366 t "std_logic"
    12367 o 46
    12368 suid 108,0
    12369 i "'1'"
    12370 )
    12371 )
    12372 uid 3660,0
    12373 )
    12374 *418 (LeafLogPort
    12375 port (LogicalPort
    12376 m 1
    12377 decl (Decl
    12378 n "W_RD"
    12379 t "std_logic"
    12380 o 45
    12381 suid 109,0
    12382 i "'1'"
    12383 )
    12384 )
    12385 uid 3662,0
    12386 )
    12387 *419 (LeafLogPort
    12388 port (LogicalPort
    12389 m 1
    12390 decl (Decl
    12391 n "W_WR"
    12392 t "std_logic"
    12393 o 47
    12394 suid 110,0
    12395 i "'1'"
    12396 )
    12397 )
    12398 uid 3664,0
    12399 )
    12400 *420 (LeafLogPort
    12401 port (LogicalPort
    12402 decl (Decl
    12403 n "W_INT"
    12404 t "std_logic"
    12405 o 13
    12406 suid 111,0
    12407 )
    12408 )
    12409 uid 3666,0
    12410 )
    12411 *421 (LeafLogPort
    12412 port (LogicalPort
    12413 m 1
    12414 decl (Decl
    12415 n "W_CS"
    12416 t "std_logic"
    12417 o 44
    12418 suid 112,0
    12419 i "'1'"
    12420 )
    12421 )
    12422 uid 3668,0
    12423 )
    12424 *422 (LeafLogPort
    12425 port (LogicalPort
    12426 m 1
    12427 decl (Decl
    12428 n "MOSI"
    12429 t "std_logic"
    12430 o 29
    12431 suid 113,0
    12432 i "'0'"
    12433 )
    12434 )
    12435 uid 3696,0
    12436 )
    12437 *423 (LeafLogPort
    12438 port (LogicalPort
    12439 m 2
    12440 decl (Decl
    12441 n "MISO"
    12442 t "std_logic"
    12443 preAdd 0
    12444 posAdd 0
    12445 o 48
    12446 suid 114,0
    12447 )
    12448 )
    12449 uid 3698,0
    12450 )
    12451 *424 (LeafLogPort
    12452 port (LogicalPort
    12453 m 1
    12454 decl (Decl
    12455 n "TRG_V"
    12456 t "std_logic"
    12457 o 42
    12458 suid 126,0
    12459 )
    12460 )
    12461 uid 3886,0
    12462 )
    12463 *425 (LeafLogPort
    12464 port (LogicalPort
    12465 m 1
    12466 decl (Decl
    12467 n "RS485_C_RE"
    12468 t "std_logic"
    12469 o 32
    12470 suid 127,0
    12471 )
    12472 )
    12473 uid 3888,0
    12474 )
    12475 *426 (LeafLogPort
    12476 port (LogicalPort
    12477 m 1
    12478 decl (Decl
    12479 n "RS485_C_DE"
    12480 t "std_logic"
    12481 o 31
    12482 suid 128,0
    12483 )
    12484 )
    12485 uid 3890,0
    12486 )
    12487 *427 (LeafLogPort
    12488 port (LogicalPort
    12489 m 1
    12490 decl (Decl
    12491 n "RS485_E_RE"
    12492 t "std_logic"
    12493 o 34
    12494 suid 129,0
    12495 )
    12496 )
    12497 uid 3892,0
    12498 )
    12499 *428 (LeafLogPort
    12500 port (LogicalPort
    12501 m 1
    12502 decl (Decl
    12503 n "RS485_E_DE"
    12504 t "std_logic"
    12505 o 33
    12506 suid 130,0
    12507 )
    12508 )
    12509 uid 3894,0
    12510 )
    12511 *429 (LeafLogPort
    12512 port (LogicalPort
    12513 m 1
    12514 decl (Decl
    12515 n "DENABLE"
    12516 t "std_logic"
    12517 o 22
    12518 suid 131,0
    12519 i "'0'"
    12520 )
    12521 )
    12522 uid 3896,0
    1252312801)
    1252412802*430 (LeafLogPort
     
    1252612804m 1
    1252712805decl (Decl
    12528 n "SRIN"
    12529 t "std_logic"
    12530 o 36
    12531 suid 132,0
    12532 )
    12533 )
    12534 uid 3898,0
     12806n "W_RES"
     12807t "std_logic"
     12808o 48
     12809suid 108,0
     12810i "'1'"
     12811)
     12812)
     12813uid 3660,0
    1253512814)
    1253612815*431 (LeafLogPort
     
    1253812817m 1
    1253912818decl (Decl
    12540 n "EE_CS"
    12541 t "std_logic"
    12542 o 27
    12543 suid 133,0
    12544 )
    12545 )
    12546 uid 3900,0
     12819n "W_RD"
     12820t "std_logic"
     12821o 47
     12822suid 109,0
     12823i "'1'"
     12824)
     12825)
     12826uid 3662,0
    1254712827)
    1254812828*432 (LeafLogPort
     
    1255012830m 1
    1255112831decl (Decl
     12832n "W_WR"
     12833t "std_logic"
     12834o 49
     12835suid 110,0
     12836i "'1'"
     12837)
     12838)
     12839uid 3664,0
     12840)
     12841*433 (LeafLogPort
     12842port (LogicalPort
     12843decl (Decl
     12844n "W_INT"
     12845t "std_logic"
     12846o 13
     12847suid 111,0
     12848)
     12849)
     12850uid 3666,0
     12851)
     12852*434 (LeafLogPort
     12853port (LogicalPort
     12854m 1
     12855decl (Decl
     12856n "W_CS"
     12857t "std_logic"
     12858o 46
     12859suid 112,0
     12860i "'1'"
     12861)
     12862)
     12863uid 3668,0
     12864)
     12865*435 (LeafLogPort
     12866port (LogicalPort
     12867m 1
     12868decl (Decl
     12869n "MOSI"
     12870t "std_logic"
     12871o 30
     12872suid 113,0
     12873i "'0'"
     12874)
     12875)
     12876uid 3696,0
     12877)
     12878*436 (LeafLogPort
     12879port (LogicalPort
     12880m 2
     12881decl (Decl
     12882n "MISO"
     12883t "std_logic"
     12884preAdd 0
     12885posAdd 0
     12886o 50
     12887suid 114,0
     12888)
     12889)
     12890uid 3698,0
     12891)
     12892*437 (LeafLogPort
     12893port (LogicalPort
     12894m 1
     12895decl (Decl
     12896n "TRG_V"
     12897t "std_logic"
     12898o 44
     12899suid 126,0
     12900)
     12901)
     12902uid 3886,0
     12903)
     12904*438 (LeafLogPort
     12905port (LogicalPort
     12906m 1
     12907decl (Decl
     12908n "RS485_C_RE"
     12909t "std_logic"
     12910o 34
     12911suid 127,0
     12912)
     12913)
     12914uid 3888,0
     12915)
     12916*439 (LeafLogPort
     12917port (LogicalPort
     12918m 1
     12919decl (Decl
     12920n "RS485_C_DE"
     12921t "std_logic"
     12922o 32
     12923suid 128,0
     12924)
     12925)
     12926uid 3890,0
     12927)
     12928*440 (LeafLogPort
     12929port (LogicalPort
     12930m 1
     12931decl (Decl
     12932n "RS485_E_RE"
     12933t "std_logic"
     12934o 36
     12935suid 129,0
     12936)
     12937)
     12938uid 3892,0
     12939)
     12940*441 (LeafLogPort
     12941port (LogicalPort
     12942m 1
     12943decl (Decl
     12944n "RS485_E_DE"
     12945t "std_logic"
     12946o 35
     12947suid 130,0
     12948)
     12949)
     12950uid 3894,0
     12951)
     12952*442 (LeafLogPort
     12953port (LogicalPort
     12954m 1
     12955decl (Decl
     12956n "DENABLE"
     12957t "std_logic"
     12958o 23
     12959suid 131,0
     12960i "'0'"
     12961)
     12962)
     12963uid 3896,0
     12964)
     12965*443 (LeafLogPort
     12966port (LogicalPort
     12967m 1
     12968decl (Decl
     12969n "EE_CS"
     12970t "std_logic"
     12971o 28
     12972suid 133,0
     12973)
     12974)
     12975uid 3900,0
     12976)
     12977*444 (LeafLogPort
     12978port (LogicalPort
     12979m 1
     12980decl (Decl
    1255212981n "LED"
    1255312982t "std_logic_vector"
    1255412983b "( 2 DOWNTO 0 )"
    12555 o 28
     12984o 29
    1255612985suid 134,0
    1255712986i "(others => '1')"
     
    1256012989uid 3902,0
    1256112990)
    12562 *433 (LeafLogPort
     12991*445 (LeafLogPort
    1256312992port (LogicalPort
    1256412993m 1
     
    1256712996t "std_logic_vector"
    1256812997b "(7 DOWNTO 0)"
    12569 o 25
     12998o 26
    1257012999suid 141,0
    1257113000i "(OTHERS => '0')"
     
    1257413003uid 5322,0
    1257513004)
    12576 *434 (LeafLogPort
     13005*446 (LeafLogPort
    1257713006port (LogicalPort
    1257813007decl (Decl
     
    1258713016scheme 0
    1258813017)
    12589 *435 (LeafLogPort
     13018*447 (LeafLogPort
    1259013019port (LogicalPort
    1259113020m 1
     
    1259413023t "std_logic_vector"
    1259513024b "(3 DOWNTO 0)"
    12596 o 26
     13025o 27
    1259713026suid 154,0
    1259813027i "(others => '0')"
     
    1260213031scheme 0
    1260313032)
    12604 *436 (LeafLogPort
     13033*448 (LeafLogPort
    1260513034port (LogicalPort
    1260613035m 1
     
    1260913038t "std_logic_vector"
    1261013039b "(7 DOWNTO 0)"
    12611 o 15
     13040o 16
    1261213041suid 155,0
    1261313042i "(OTHERS => '0')"
     
    1261713046scheme 0
    1261813047)
    12619 *437 (LeafLogPort
     13048*449 (LeafLogPort
    1262013049port (LogicalPort
    1262113050m 4
     
    1262313052n "dummy"
    1262413053t "std_logic"
    12625 o 58
     13054o 72
    1262613055suid 157,0
    1262713056)
     
    1263013059scheme 0
    1263113060)
    12632 *438 (LeafLogPort
     13061*450 (LeafLogPort
    1263313062port (LogicalPort
    1263413063m 4
     
    1263713066t "std_logic_vector"
    1263813067b "(3 downto 0)"
    12639 o 57
     13068o 71
    1264013069suid 159,0
    1264113070i "(others => '0')"
     
    1264413073uid 8875,0
    1264513074)
    12646 *439 (LeafLogPort
     13075*451 (LeafLogPort
    1264713076port (LogicalPort
    1264813077m 4
     
    1265013079n "CLK_50"
    1265113080t "std_logic"
    12652 o 51
     13081o 57
    1265313082suid 163,0
    1265413083)
     
    1265613085uid 9516,0
    1265713086)
    12658 *440 (LeafLogPort
     13087*452 (LeafLogPort
    1265913088port (LogicalPort
    1266013089m 4
     
    1266213091n "CLK_25_PS1"
    1266313092t "std_logic"
    12664 o 58
     13093o 56
    1266513094suid 164,0
    1266613095)
     
    1266813097uid 10056,0
    1266913098)
    12670 *441 (LeafLogPort
     13099*453 (LeafLogPort
    1267113100port (LogicalPort
    1267213101m 4
     
    1267413103n "adc_clk_en"
    1267513104t "std_logic"
    12676 o 59
     13105o 67
    1267713106suid 165,0
    1267813107i "'0'"
     
    1268113110uid 10058,0
    1268213111)
    12683 *442 (LeafLogPort
     13112*454 (LeafLogPort
    1268413113port (LogicalPort
    1268513114m 1
     
    1268813117t "std_logic_vector"
    1268913118b "(7 DOWNTO 0)"
    12690 o 60
     13119o 15
    1269113120suid 166,0
    1269213121i "(others => '0')"
     
    1269613125scheme 0
    1269713126)
    12698 *443 (LeafLogPort
     13127*455 (LeafLogPort
    1269913128port (LogicalPort
    1270013129m 4
     
    1270213131n "CLK50_OUT"
    1270313132t "std_logic"
    12704 o 61
     13133o 54
    1270513134suid 184,0
    1270613135)
     
    1270813137uid 10704,0
    1270913138)
    12710 *444 (LeafLogPort
     13139*456 (LeafLogPort
    1271113140port (LogicalPort
    1271213141m 4
     
    1271413143n "CLK25_OUT"
    1271513144t "std_logic"
    12716 o 62
     13145o 52
    1271713146suid 185,0
    1271813147)
     
    1272013149uid 10706,0
    1272113150)
    12722 *445 (LeafLogPort
     13151*457 (LeafLogPort
    1272313152port (LogicalPort
    1272413153m 4
     
    1272613155n "CLK25_PSOUT"
    1272713156t "std_logic"
    12728 o 63
     13157o 53
    1272913158suid 186,0
    1273013159)
     
    1273213161uid 10708,0
    1273313162)
    12734 *446 (LeafLogPort
     13163*458 (LeafLogPort
    1273513164port (LogicalPort
    1273613165m 4
     
    1274413173uid 10710,0
    1274513174)
    12746 *447 (LeafLogPort
     13175*459 (LeafLogPort
    1274713176port (LogicalPort
    1274813177m 4
     
    1275613185uid 10712,0
    1275713186)
    12758 *448 (LeafLogPort
     13187*460 (LeafLogPort
    1275913188port (LogicalPort
    1276013189m 4
     
    1276213191n "PSEN_OUT"
    1276313192t "std_logic"
    12764 o 66
     13193o 62
    1276513194suid 189,0
    1276613195)
     
    1276813197uid 10714,0
    1276913198)
    12770 *449 (LeafLogPort
     13199*461 (LeafLogPort
    1277113200port (LogicalPort
    1277213201m 4
     
    1277413203n "PSINCDEC_OUT"
    1277513204t "std_logic"
    12776 o 67
     13205o 63
    1277713206suid 190,0
    1277813207)
     
    1278013209uid 10716,0
    1278113210)
    12782 *450 (LeafLogPort
     13211*462 (LeafLogPort
    1278313212port (LogicalPort
    1278413213m 4
     
    1278813217preAdd 0
    1278913218posAdd 0
    12790 o 68
     13219o 58
    1279113220suid 191,0
    1279213221)
     
    1279413223uid 10718,0
    1279513224)
    12796 *451 (LeafLogPort
     13225*463 (LeafLogPort
    1279713226port (LogicalPort
    1279813227m 4
     
    1280213231preAdd 0
    1280313232posAdd 0
    12804 o 69
     13233o 73
    1280513234suid 192,0
    1280613235i "'0'"
     
    1280913238uid 10720,0
    1281013239)
    12811 *452 (LeafLogPort
     13240*464 (LeafLogPort
    1281213241port (LogicalPort
    1281313242m 4
     
    1281813247preAdd 0
    1281913248posAdd 0
    12820 o 70
     13249o 75
    1282113250suid 193,0
    1282213251i "'0'"
     
    1282513254uid 10722,0
    1282613255)
    12827 *453 (LeafLogPort
     13256*465 (LeafLogPort
    1282813257port (LogicalPort
    1282913258m 4
     
    1283113260n "PSDONE_extraOUT"
    1283213261t "std_logic"
    12833 o 71
     13262o 61
    1283413263suid 194,0
    1283513264)
     
    1283713266uid 10724,0
    1283813267)
    12839 *454 (LeafLogPort
     13268*466 (LeafLogPort
    1284013269port (LogicalPort
    1284113270m 4
     
    1284313272n "PSCLK_OUT"
    1284413273t "std_logic"
    12845 o 72
     13274o 60
    1284613275suid 195,0
    1284713276)
     
    1284913278uid 10726,0
    1285013279)
    12851 *455 (LeafLogPort
     13280*467 (LeafLogPort
    1285213281port (LogicalPort
    1285313282m 4
     
    1285513284n "LOCKED_extraOUT"
    1285613285t "std_logic"
    12857 o 73
     13286o 59
    1285813287suid 196,0
    1285913288)
    1286013289)
    1286113290uid 10728,0
     13291)
     13292*468 (LeafLogPort
     13293port (LogicalPort
     13294decl (Decl
     13295n "RS485_C_DI"
     13296t "std_logic"
     13297o 74
     13298suid 197,0
     13299)
     13300)
     13301uid 11084,0
     13302scheme 0
     13303)
     13304*469 (LeafLogPort
     13305port (LogicalPort
     13306m 1
     13307decl (Decl
     13308n "RS485_C_DO"
     13309t "std_logic"
     13310o 75
     13311suid 198,0
     13312)
     13313)
     13314uid 11086,0
     13315scheme 0
     13316)
     13317*470 (LeafLogPort
     13318port (LogicalPort
     13319decl (Decl
     13320n "RS485_E_DI"
     13321t "std_logic"
     13322o 76
     13323suid 200,0
     13324)
     13325)
     13326uid 11504,0
     13327scheme 0
     13328)
     13329*471 (LeafLogPort
     13330port (LogicalPort
     13331decl (Decl
     13332n "RS485_E_DO"
     13333t "std_logic"
     13334o 77
     13335suid 201,0
     13336)
     13337)
     13338uid 11506,0
     13339scheme 0
     13340)
     13341*472 (LeafLogPort
     13342port (LogicalPort
     13343m 1
     13344decl (Decl
     13345n "SRIN"
     13346t "std_logic"
     13347o 78
     13348suid 203,0
     13349i "'0'"
     13350)
     13351)
     13352uid 12336,0
    1286213353)
    1286313354]
     
    1286813359uid 67,0
    1286913360optionalChildren [
    12870 *456 (Sheet
     13361*473 (Sheet
    1287113362sheetRow (SheetRow
    1287213363headerVa (MVa
     
    1288513376font "Tahoma,10,0"
    1288613377)
    12887 emptyMRCItem *457 (MRCItem
    12888 litem &370
    12889 pos 73
     13378emptyMRCItem *474 (MRCItem
     13379litem &383
     13380pos 77
    1289013381dimension 20
    1289113382)
    1289213383uid 69,0
    1289313384optionalChildren [
    12894 *458 (MRCItem
    12895 litem &371
     13385*475 (MRCItem
     13386litem &384
    1289613387pos 0
    1289713388dimension 20
    1289813389uid 70,0
    1289913390)
    12900 *459 (MRCItem
    12901 litem &372
     13391*476 (MRCItem
     13392litem &385
    1290213393pos 1
    1290313394dimension 23
    1290413395uid 71,0
    1290513396)
    12906 *460 (MRCItem
    12907 litem &373
     13397*477 (MRCItem
     13398litem &386
    1290813399pos 2
    1290913400hidden 1
     
    1291113402uid 72,0
    1291213403)
    12913 *461 (MRCItem
    12914 litem &383
     13404*478 (MRCItem
     13405litem &396
     13406pos 47
     13407dimension 20
     13408uid 328,0
     13409)
     13410*479 (MRCItem
     13411litem &397
    1291513412pos 48
    1291613413dimension 20
    12917 uid 328,0
    12918 )
    12919 *462 (MRCItem
    12920 litem &384
     13414uid 330,0
     13415)
     13416*480 (MRCItem
     13417litem &398
    1292113418pos 49
    1292213419dimension 20
    12923 uid 330,0
    12924 )
    12925 *463 (MRCItem
    12926 litem &385
    12927 pos 50
    12928 dimension 20
    1292913420uid 1492,0
    1293013421)
    12931 *464 (MRCItem
    12932 litem &386
     13422*481 (MRCItem
     13423litem &399
    1293313424pos 0
    1293413425dimension 20
    1293513426uid 2436,0
    1293613427)
    12937 *465 (MRCItem
    12938 litem &387
     13428*482 (MRCItem
     13429litem &400
     13430pos 50
     13431dimension 20
     13432uid 2438,0
     13433)
     13434*483 (MRCItem
     13435litem &401
    1293913436pos 51
    1294013437dimension 20
    12941 uid 2438,0
    12942 )
    12943 *466 (MRCItem
    12944 litem &388
    12945 pos 52
    12946 dimension 20
    1294713438uid 3038,0
    1294813439)
    12949 *467 (MRCItem
    12950 litem &389
     13440*484 (MRCItem
     13441litem &402
    1295113442pos 1
    1295213443dimension 20
    1295313444uid 3040,0
    1295413445)
    12955 *468 (MRCItem
    12956 litem &390
     13446*485 (MRCItem
     13447litem &403
    1295713448pos 2
    1295813449dimension 20
    1295913450uid 3277,0
    1296013451)
    12961 *469 (MRCItem
    12962 litem &391
     13452*486 (MRCItem
     13453litem &404
    1296313454pos 3
    1296413455dimension 20
    1296513456uid 3279,0
    1296613457)
    12967 *470 (MRCItem
    12968 litem &392
     13458*487 (MRCItem
     13459litem &405
    1296913460pos 4
    1297013461dimension 20
    1297113462uid 3281,0
    1297213463)
    12973 *471 (MRCItem
    12974 litem &393
    12975 pos 53
     13464*488 (MRCItem
     13465litem &406
     13466pos 52
    1297613467dimension 20
    1297713468uid 3283,0
    1297813469)
    12979 *472 (MRCItem
    12980 litem &394
     13470*489 (MRCItem
     13471litem &407
    1298113472pos 5
    1298213473dimension 20
    1298313474uid 3383,0
    1298413475)
    12985 *473 (MRCItem
    12986 litem &395
     13476*490 (MRCItem
     13477litem &408
    1298713478pos 6
    1298813479dimension 20
    1298913480uid 3385,0
    1299013481)
    12991 *474 (MRCItem
    12992 litem &396
     13482*491 (MRCItem
     13483litem &409
    1299313484pos 7
    1299413485dimension 20
    1299513486uid 3387,0
    1299613487)
    12997 *475 (MRCItem
    12998 litem &397
     13488*492 (MRCItem
     13489litem &410
    1299913490pos 8
    1300013491dimension 20
    1300113492uid 3389,0
    1300213493)
    13003 *476 (MRCItem
    13004 litem &398
     13494*493 (MRCItem
     13495litem &411
    1300513496pos 9
    1300613497dimension 20
    1300713498uid 3391,0
    1300813499)
    13009 *477 (MRCItem
    13010 litem &399
     13500*494 (MRCItem
     13501litem &412
    1301113502pos 10
    1301213503dimension 20
    1301313504uid 3393,0
    1301413505)
    13015 *478 (MRCItem
    13016 litem &400
     13506*495 (MRCItem
     13507litem &413
    1301713508pos 11
    1301813509dimension 20
    1301913510uid 3469,0
    1302013511)
    13021 *479 (MRCItem
    13022 litem &401
     13512*496 (MRCItem
     13513litem &414
    1302313514pos 12
    1302413515dimension 20
    1302513516uid 3471,0
    1302613517)
    13027 *480 (MRCItem
    13028 litem &402
     13518*497 (MRCItem
     13519litem &415
    1302913520pos 13
    1303013521dimension 20
    1303113522uid 3473,0
    1303213523)
    13033 *481 (MRCItem
    13034 litem &403
     13524*498 (MRCItem
     13525litem &416
    1303513526pos 14
    1303613527dimension 20
    1303713528uid 3475,0
    1303813529)
    13039 *482 (MRCItem
    13040 litem &404
     13530*499 (MRCItem
     13531litem &417
    1304113532pos 15
    1304213533dimension 20
    1304313534uid 3525,0
    1304413535)
    13045 *483 (MRCItem
    13046 litem &405
     13536*500 (MRCItem
     13537litem &418
    1304713538pos 16
    1304813539dimension 20
    1304913540uid 3527,0
    1305013541)
    13051 *484 (MRCItem
    13052 litem &406
     13542*501 (MRCItem
     13543litem &419
    1305313544pos 17
    1305413545dimension 20
    1305513546uid 3529,0
    1305613547)
    13057 *485 (MRCItem
    13058 litem &407
     13548*502 (MRCItem
     13549litem &420
    1305913550pos 18
    1306013551dimension 20
    1306113552uid 3531,0
    1306213553)
    13063 *486 (MRCItem
    13064 litem &408
     13554*503 (MRCItem
     13555litem &421
    1306513556pos 19
    1306613557dimension 20
    1306713558uid 3533,0
    1306813559)
    13069 *487 (MRCItem
    13070 litem &409
     13560*504 (MRCItem
     13561litem &422
    1307113562pos 20
    1307213563dimension 20
    1307313564uid 3535,0
    1307413565)
    13075 *488 (MRCItem
    13076 litem &410
     13566*505 (MRCItem
     13567litem &423
    1307713568pos 21
    1307813569dimension 20
    1307913570uid 3647,0
    1308013571)
    13081 *489 (MRCItem
    13082 litem &411
     13572*506 (MRCItem
     13573litem &424
    1308313574pos 22
    1308413575dimension 20
    1308513576uid 3649,0
    1308613577)
    13087 *490 (MRCItem
    13088 litem &412
     13578*507 (MRCItem
     13579litem &425
    1308913580pos 23
    1309013581dimension 20
    1309113582uid 3651,0
    1309213583)
    13093 *491 (MRCItem
    13094 litem &413
     13584*508 (MRCItem
     13585litem &426
    1309513586pos 24
    1309613587dimension 20
    1309713588uid 3653,0
    1309813589)
    13099 *492 (MRCItem
    13100 litem &414
     13590*509 (MRCItem
     13591litem &427
    1310113592pos 25
    1310213593dimension 20
    1310313594uid 3655,0
    1310413595)
    13105 *493 (MRCItem
    13106 litem &415
     13596*510 (MRCItem
     13597litem &428
    1310713598pos 26
    1310813599dimension 20
    1310913600uid 3657,0
    1311013601)
    13111 *494 (MRCItem
    13112 litem &416
     13602*511 (MRCItem
     13603litem &429
    1311313604pos 27
    1311413605dimension 20
    1311513606uid 3659,0
    1311613607)
    13117 *495 (MRCItem
    13118 litem &417
     13608*512 (MRCItem
     13609litem &430
    1311913610pos 28
    1312013611dimension 20
    1312113612uid 3661,0
    1312213613)
    13123 *496 (MRCItem
    13124 litem &418
     13614*513 (MRCItem
     13615litem &431
    1312513616pos 29
    1312613617dimension 20
    1312713618uid 3663,0
    1312813619)
    13129 *497 (MRCItem
    13130 litem &419
     13620*514 (MRCItem
     13621litem &432
    1313113622pos 30
    1313213623dimension 20
    1313313624uid 3665,0
    1313413625)
    13135 *498 (MRCItem
    13136 litem &420
     13626*515 (MRCItem
     13627litem &433
    1313713628pos 31
    1313813629dimension 20
    1313913630uid 3667,0
    1314013631)
    13141 *499 (MRCItem
    13142 litem &421
     13632*516 (MRCItem
     13633litem &434
    1314313634pos 32
    1314413635dimension 20
    1314513636uid 3669,0
    1314613637)
    13147 *500 (MRCItem
    13148 litem &422
     13638*517 (MRCItem
     13639litem &435
    1314913640pos 33
    1315013641dimension 20
    1315113642uid 3697,0
    1315213643)
    13153 *501 (MRCItem
    13154 litem &423
     13644*518 (MRCItem
     13645litem &436
    1315513646pos 34
    1315613647dimension 20
    1315713648uid 3699,0
    1315813649)
    13159 *502 (MRCItem
    13160 litem &424
     13650*519 (MRCItem
     13651litem &437
    1316113652pos 35
    1316213653dimension 20
    1316313654uid 3887,0
    1316413655)
    13165 *503 (MRCItem
    13166 litem &425
     13656*520 (MRCItem
     13657litem &438
    1316713658pos 36
    1316813659dimension 20
    1316913660uid 3889,0
    1317013661)
    13171 *504 (MRCItem
    13172 litem &426
     13662*521 (MRCItem
     13663litem &439
    1317313664pos 37
    1317413665dimension 20
    1317513666uid 3891,0
    1317613667)
    13177 *505 (MRCItem
    13178 litem &427
     13668*522 (MRCItem
     13669litem &440
    1317913670pos 38
    1318013671dimension 20
    1318113672uid 3893,0
    1318213673)
    13183 *506 (MRCItem
    13184 litem &428
     13674*523 (MRCItem
     13675litem &441
    1318513676pos 39
    1318613677dimension 20
    1318713678uid 3895,0
    1318813679)
    13189 *507 (MRCItem
    13190 litem &429
     13680*524 (MRCItem
     13681litem &442
    1319113682pos 40
    1319213683dimension 20
    1319313684uid 3897,0
    1319413685)
    13195 *508 (MRCItem
    13196 litem &430
     13686*525 (MRCItem
     13687litem &443
    1319713688pos 41
    1319813689dimension 20
    13199 uid 3899,0
    13200 )
    13201 *509 (MRCItem
    13202 litem &431
     13690uid 3901,0
     13691)
     13692*526 (MRCItem
     13693litem &444
    1320313694pos 42
    1320413695dimension 20
    13205 uid 3901,0
    13206 )
    13207 *510 (MRCItem
    13208 litem &432
     13696uid 3903,0
     13697)
     13698*527 (MRCItem
     13699litem &445
    1320913700pos 43
    1321013701dimension 20
    13211 uid 3903,0
    13212 )
    13213 *511 (MRCItem
    13214 litem &433
     13702uid 5323,0
     13703)
     13704*528 (MRCItem
     13705litem &446
    1321513706pos 44
    1321613707dimension 20
    13217 uid 5323,0
    13218 )
    13219 *512 (MRCItem
    13220 litem &434
     13708uid 6778,0
     13709)
     13710*529 (MRCItem
     13711litem &447
    1322113712pos 45
    1322213713dimension 20
    13223 uid 6778,0
    13224 )
    13225 *513 (MRCItem
    13226 litem &435
     13714uid 6873,0
     13715)
     13716*530 (MRCItem
     13717litem &448
    1322713718pos 46
    1322813719dimension 20
    13229 uid 6873,0
    13230 )
    13231 *514 (MRCItem
    13232 litem &436
    13233 pos 47
     13720uid 7135,0
     13721)
     13722*531 (MRCItem
     13723litem &449
     13724pos 53
    1323413725dimension 20
    13235 uid 7135,0
    13236 )
    13237 *515 (MRCItem
    13238 litem &437
     13726uid 7474,0
     13727)
     13728*532 (MRCItem
     13729litem &450
    1323913730pos 54
    1324013731dimension 20
    13241 uid 7474,0
    13242 )
    13243 *516 (MRCItem
    13244 litem &438
     13732uid 8876,0
     13733)
     13734*533 (MRCItem
     13735litem &451
    1324513736pos 55
    1324613737dimension 20
    13247 uid 8876,0
    13248 )
    13249 *517 (MRCItem
    13250 litem &439
     13738uid 9517,0
     13739)
     13740*534 (MRCItem
     13741litem &452
    1325113742pos 56
    1325213743dimension 20
    13253 uid 9517,0
    13254 )
    13255 *518 (MRCItem
    13256 litem &440
     13744uid 10057,0
     13745)
     13746*535 (MRCItem
     13747litem &453
    1325713748pos 57
    1325813749dimension 20
    13259 uid 10057,0
    13260 )
    13261 *519 (MRCItem
    13262 litem &441
     13750uid 10059,0
     13751)
     13752*536 (MRCItem
     13753litem &454
    1326313754pos 58
    1326413755dimension 20
    13265 uid 10059,0
    13266 )
    13267 *520 (MRCItem
    13268 litem &442
     13756uid 10295,0
     13757)
     13758*537 (MRCItem
     13759litem &455
    1326913760pos 59
    1327013761dimension 20
    13271 uid 10295,0
    13272 )
    13273 *521 (MRCItem
    13274 litem &443
     13762uid 10705,0
     13763)
     13764*538 (MRCItem
     13765litem &456
    1327513766pos 60
    1327613767dimension 20
    13277 uid 10705,0
    13278 )
    13279 *522 (MRCItem
    13280 litem &444
     13768uid 10707,0
     13769)
     13770*539 (MRCItem
     13771litem &457
    1328113772pos 61
    1328213773dimension 20
    13283 uid 10707,0
    13284 )
    13285 *523 (MRCItem
    13286 litem &445
     13774uid 10709,0
     13775)
     13776*540 (MRCItem
     13777litem &458
    1328713778pos 62
    1328813779dimension 20
    13289 uid 10709,0
    13290 )
    13291 *524 (MRCItem
    13292 litem &446
     13780uid 10711,0
     13781)
     13782*541 (MRCItem
     13783litem &459
    1329313784pos 63
    1329413785dimension 20
    13295 uid 10711,0
    13296 )
    13297 *525 (MRCItem
    13298 litem &447
     13786uid 10713,0
     13787)
     13788*542 (MRCItem
     13789litem &460
    1329913790pos 64
    1330013791dimension 20
    13301 uid 10713,0
    13302 )
    13303 *526 (MRCItem
    13304 litem &448
     13792uid 10715,0
     13793)
     13794*543 (MRCItem
     13795litem &461
    1330513796pos 65
    1330613797dimension 20
    13307 uid 10715,0
    13308 )
    13309 *527 (MRCItem
    13310 litem &449
     13798uid 10717,0
     13799)
     13800*544 (MRCItem
     13801litem &462
    1331113802pos 66
    1331213803dimension 20
    13313 uid 10717,0
    13314 )
    13315 *528 (MRCItem
    13316 litem &450
     13804uid 10719,0
     13805)
     13806*545 (MRCItem
     13807litem &463
    1331713808pos 67
    1331813809dimension 20
    13319 uid 10719,0
    13320 )
    13321 *529 (MRCItem
    13322 litem &451
     13810uid 10721,0
     13811)
     13812*546 (MRCItem
     13813litem &464
    1332313814pos 68
    1332413815dimension 20
    13325 uid 10721,0
    13326 )
    13327 *530 (MRCItem
    13328 litem &452
     13816uid 10723,0
     13817)
     13818*547 (MRCItem
     13819litem &465
    1332913820pos 69
    1333013821dimension 20
    13331 uid 10723,0
    13332 )
    13333 *531 (MRCItem
    13334 litem &453
     13822uid 10725,0
     13823)
     13824*548 (MRCItem
     13825litem &466
    1333513826pos 70
    1333613827dimension 20
    13337 uid 10725,0
    13338 )
    13339 *532 (MRCItem
    13340 litem &454
     13828uid 10727,0
     13829)
     13830*549 (MRCItem
     13831litem &467
    1334113832pos 71
    1334213833dimension 20
    13343 uid 10727,0
    13344 )
    13345 *533 (MRCItem
    13346 litem &455
     13834uid 10729,0
     13835)
     13836*550 (MRCItem
     13837litem &468
    1334713838pos 72
    1334813839dimension 20
    13349 uid 10729,0
     13840uid 11085,0
     13841)
     13842*551 (MRCItem
     13843litem &469
     13844pos 73
     13845dimension 20
     13846uid 11087,0
     13847)
     13848*552 (MRCItem
     13849litem &470
     13850pos 74
     13851dimension 20
     13852uid 11505,0
     13853)
     13854*553 (MRCItem
     13855litem &471
     13856pos 75
     13857dimension 20
     13858uid 11507,0
     13859)
     13860*554 (MRCItem
     13861litem &472
     13862pos 76
     13863dimension 20
     13864uid 12337,0
    1335013865)
    1335113866]
     
    1336013875uid 73,0
    1336113876optionalChildren [
    13362 *534 (MRCItem
    13363 litem &374
     13877*555 (MRCItem
     13878litem &387
    1336413879pos 0
    1336513880dimension 20
    1336613881uid 74,0
    1336713882)
    13368 *535 (MRCItem
    13369 litem &376
     13883*556 (MRCItem
     13884litem &389
    1337013885pos 1
    1337113886dimension 50
    1337213887uid 75,0
    1337313888)
    13374 *536 (MRCItem
    13375 litem &377
     13889*557 (MRCItem
     13890litem &390
    1337613891pos 2
    1337713892dimension 100
    1337813893uid 76,0
    1337913894)
    13380 *537 (MRCItem
    13381 litem &378
     13895*558 (MRCItem
     13896litem &391
    1338213897pos 3
    1338313898dimension 50
    1338413899uid 77,0
    1338513900)
    13386 *538 (MRCItem
    13387 litem &379
     13901*559 (MRCItem
     13902litem &392
    1338813903pos 4
    1338913904dimension 100
    1339013905uid 78,0
    1339113906)
    13392 *539 (MRCItem
    13393 litem &380
     13907*560 (MRCItem
     13908litem &393
    1339413909pos 5
    1339513910dimension 100
    1339613911uid 79,0
    1339713912)
    13398 *540 (MRCItem
    13399 litem &381
     13913*561 (MRCItem
     13914litem &394
    1340013915pos 6
    1340113916dimension 92
    1340213917uid 80,0
    1340313918)
    13404 *541 (MRCItem
    13405 litem &382
     13919*562 (MRCItem
     13920litem &395
    1340613921pos 7
    1340713922dimension 80
     
    1342313938genericsCommonDM (CommonDM
    1342413939ldm (LogicalDM
    13425 emptyRow *542 (LEmptyRow
     13940emptyRow *563 (LEmptyRow
    1342613941)
    1342713942uid 83,0
    1342813943optionalChildren [
    13429 *543 (RefLabelRowHdr
    13430 )
    13431 *544 (TitleRowHdr
    13432 )
    13433 *545 (FilterRowHdr
    13434 )
    13435 *546 (RefLabelColHdr
     13944*564 (RefLabelRowHdr
     13945)
     13946*565 (TitleRowHdr
     13947)
     13948*566 (FilterRowHdr
     13949)
     13950*567 (RefLabelColHdr
    1343613951tm "RefLabelColHdrMgr"
    1343713952)
    13438 *547 (RowExpandColHdr
     13953*568 (RowExpandColHdr
    1343913954tm "RowExpandColHdrMgr"
    1344013955)
    13441 *548 (GroupColHdr
     13956*569 (GroupColHdr
    1344213957tm "GroupColHdrMgr"
    1344313958)
    13444 *549 (NameColHdr
     13959*570 (NameColHdr
    1344513960tm "GenericNameColHdrMgr"
    1344613961)
    13447 *550 (TypeColHdr
     13962*571 (TypeColHdr
    1344813963tm "GenericTypeColHdrMgr"
    1344913964)
    13450 *551 (InitColHdr
     13965*572 (InitColHdr
    1345113966tm "GenericValueColHdrMgr"
    1345213967)
    13453 *552 (PragmaColHdr
     13968*573 (PragmaColHdr
    1345413969tm "GenericPragmaColHdrMgr"
    1345513970)
    13456 *553 (EolColHdr
     13971*574 (EolColHdr
    1345713972tm "GenericEolColHdrMgr"
    1345813973)
     
    1346413979uid 95,0
    1346513980optionalChildren [
    13466 *554 (Sheet
     13981*575 (Sheet
    1346713982sheetRow (SheetRow
    1346813983headerVa (MVa
     
    1348113996font "Tahoma,10,0"
    1348213997)
    13483 emptyMRCItem *555 (MRCItem
    13484 litem &542
     13998emptyMRCItem *576 (MRCItem
     13999litem &563
    1348514000pos 0
    1348614001dimension 20
     
    1348814003uid 97,0
    1348914004optionalChildren [
    13490 *556 (MRCItem
    13491 litem &543
     14005*577 (MRCItem
     14006litem &564
    1349214007pos 0
    1349314008dimension 20
    1349414009uid 98,0
    1349514010)
    13496 *557 (MRCItem
    13497 litem &544
     14011*578 (MRCItem
     14012litem &565
    1349814013pos 1
    1349914014dimension 23
    1350014015uid 99,0
    1350114016)
    13502 *558 (MRCItem
    13503 litem &545
     14017*579 (MRCItem
     14018litem &566
    1350414019pos 2
    1350514020hidden 1
     
    1351814033uid 101,0
    1351914034optionalChildren [
    13520 *559 (MRCItem
    13521 litem &546
     14035*580 (MRCItem
     14036litem &567
    1352214037pos 0
    1352314038dimension 20
    1352414039uid 102,0
    1352514040)
    13526 *560 (MRCItem
    13527 litem &548
     14041*581 (MRCItem
     14042litem &569
    1352814043pos 1
    1352914044dimension 50
    1353014045uid 103,0
    1353114046)
    13532 *561 (MRCItem
    13533 litem &549
     14047*582 (MRCItem
     14048litem &570
    1353414049pos 2
    1353514050dimension 100
    1353614051uid 104,0
    1353714052)
    13538 *562 (MRCItem
    13539 litem &550
     14053*583 (MRCItem
     14054litem &571
    1354014055pos 3
    1354114056dimension 100
    1354214057uid 105,0
    1354314058)
    13544 *563 (MRCItem
    13545 litem &551
     14059*584 (MRCItem
     14060litem &572
    1354614061pos 4
    1354714062dimension 50
    1354814063uid 106,0
    1354914064)
    13550 *564 (MRCItem
    13551 litem &552
     14065*585 (MRCItem
     14066litem &573
    1355214067pos 5
    1355314068dimension 50
    1355414069uid 107,0
    1355514070)
    13556 *565 (MRCItem
    13557 litem &553
     14071*586 (MRCItem
     14072litem &574
    1355814073pos 6
    1355914074dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd.bak

    r9912 r10073  
    109109(vvPair
    110110variable "HDLDir"
    111 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hdl"
     111value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    112112)
    113113(vvPair
    114114variable "HDSDir"
    115 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     115value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    116116)
    117117(vvPair
    118118variable "SideDataDesignDir"
    119 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
     119value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
    120120)
    121121(vvPair
    122122variable "SideDataUserDir"
    123 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
     123value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
    124124)
    125125(vvPair
    126126variable "SourceDir"
    127 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     127value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    128128)
    129129(vvPair
     
    141141(vvPair
    142142variable "d"
    143 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     143value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    144144)
    145145(vvPair
    146146variable "d_logical"
    147 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_Board"
     147value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
    148148)
    149149(vvPair
    150150variable "date"
    151 value "27.08.2010"
     151value "03.01.2011"
    152152)
    153153(vvPair
    154154variable "day"
    155 value "Fr"
     155value "Mo"
    156156)
    157157(vvPair
    158158variable "day_long"
    159 value "Freitag"
     159value "Montag"
    160160)
    161161(vvPair
    162162variable "dd"
    163 value "27"
     163value "03"
    164164)
    165165(vvPair
     
    221221(vvPair
    222222variable "mm"
    223 value "08"
     223value "01"
    224224)
    225225(vvPair
     
    229229(vvPair
    230230variable "month"
    231 value "Aug"
     231value "Jan"
    232232)
    233233(vvPair
    234234variable "month_long"
    235 value "August"
     235value "Januar"
    236236)
    237237(vvPair
    238238variable "p"
    239 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
     239value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
    240240)
    241241(vvPair
    242242variable "p_logical"
    243 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
     243value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
    244244)
    245245(vvPair
     
    297297(vvPair
    298298variable "time"
    299 value "16:35:54"
     299value "16:57:01"
    300300)
    301301(vvPair
     
    317317(vvPair
    318318variable "year"
    319 value "2010"
     319value "2011"
    320320)
    321321(vvPair
    322322variable "yy"
    323 value "10"
     323value "11"
    324324)
    325325]
     
    349349bg "0,0,32768"
    350350)
    351 xt "99200,4000,108900,5000"
     351xt "99200,4000,108700,5000"
    352352st "
    353353by %user on %dd %month %year
     
    15091509n "RSRLOAD"
    15101510t "std_logic"
    1511 o 26
     1511o 25
    15121512suid 56,0
    15131513i "'0'"
     
    15451545n "SRCLK"
    15461546t "std_logic"
    1547 o 27
     1547o 26
    15481548suid 57,0
    15491549i "'0'"
     
    18491849)
    18501850*46 (CptPort
    1851 uid 10250,0
    1852 ps "OnEdgeStrategy"
    1853 shape (Triangle
    1854 uid 10251,0
    1855 ro 90
    1856 va (VaSet
    1857 vasetType 1
    1858 fg "0,65535,0"
    1859 )
    1860 xt "80000,137625,80750,138375"
    1861 )
    1862 tg (CPTG
    1863 uid 10252,0
    1864 ps "CptPortTextPlaceStrategy"
    1865 stg "RightVerticalLayoutStrategy"
    1866 f (Text
    1867 uid 10253,0
    1868 va (VaSet
    1869 )
    1870 xt "71700,137500,79000,138500"
    1871 st "DCM_status : (7:0)"
    1872 ju 2
    1873 blo "79000,138300"
    1874 )
    1875 )
    1876 thePort (LogicalPort
    1877 m 1
    1878 decl (Decl
    1879 n "DCM_status"
    1880 t "std_logic_vector"
    1881 b "(7 downto 0)"
    1882 preAdd 0
    1883 posAdd 0
    1884 o 18
    1885 suid 75,0
    1886 )
    1887 )
    1888 )
    1889 *47 (CptPort
    18901851uid 10254,0
    18911852ps "OnEdgeStrategy"
     
    19181879n "LOCKED_extraOUT"
    19191880t "std_logic"
    1920 o 19
     1881o 18
    19211882suid 70,0
    19221883)
    19231884)
    19241885)
    1925 *48 (CptPort
     1886*47 (CptPort
    19261887uid 10258,0
    19271888ps "OnEdgeStrategy"
     
    19631924)
    19641925)
    1965 *49 (CptPort
     1926*48 (CptPort
    19661927uid 10262,0
    19671928ps "OnEdgeStrategy"
     
    19941955n "PS_DIR_IN"
    19951956t "std_logic"
    1996 o 24
     1957o 23
    19971958suid 80,0
    19981959)
    19991960)
    20001961)
    2001 *50 (CptPort
     1962*49 (CptPort
    20021963uid 10266,0
    20031964ps "OnEdgeStrategy"
     
    20301991n "PS_DO_IN"
    20311992t "std_logic"
    2032 o 25
     1993o 24
    20331994suid 81,0
    20341995)
    20351996)
    20361997)
    2037 *51 (CptPort
     1998*50 (CptPort
    20381999uid 10270,0
    20392000ps "OnEdgeStrategy"
     
    20662027n "PSCLK_OUT"
    20672028t "std_logic"
    2068 o 20
     2029o 19
    20692030suid 74,0
    20702031)
    20712032)
    20722033)
    2073 *52 (CptPort
     2034*51 (CptPort
    20742035uid 10274,0
    20752036ps "OnEdgeStrategy"
     
    21022063n "PSDONE_extraOUT"
    21032064t "std_logic"
    2104 o 21
     2065o 20
    21052066suid 71,0
    21062067)
    21072068)
    21082069)
    2109 *53 (CptPort
     2070*52 (CptPort
    21102071uid 10278,0
    21112072ps "OnEdgeStrategy"
     
    21382099n "PSEN_OUT"
    21392100t "std_logic"
    2140 o 22
     2101o 21
    21412102suid 73,0
    21422103)
    21432104)
    21442105)
    2145 *54 (CptPort
     2106*53 (CptPort
    21462107uid 10282,0
    21472108ps "OnEdgeStrategy"
     
    21742135n "PSINCDEC_OUT"
    21752136t "std_logic"
    2176 o 23
     2137o 22
    21772138suid 72,0
    21782139)
    21792140)
    21802141)
    2181 *55 (CptPort
     2142*54 (CptPort
    21822143uid 10286,0
    21832144ps "OnEdgeStrategy"
     
    22182179)
    22192180)
    2220 *56 (CptPort
     2181*55 (CptPort
    22212182uid 10290,0
    22222183ps "OnEdgeStrategy"
     
    22582219)
    22592220)
    2260 *57 (CptPort
     2221*56 (CptPort
    22612222uid 10320,0
    22622223ps "OnEdgeStrategy"
     
    22942255)
    22952256)
    2296 *58 (CptPort
     2257*57 (CptPort
    22972258uid 10324,0
    22982259ps "OnEdgeStrategy"
     
    23302291)
    23312292)
    2332 *59 (CptPort
     2293*58 (CptPort
    23332294uid 10328,0
    23342295ps "OnEdgeStrategy"
     
    23662327)
    23672328)
     2329*59 (CptPort
     2330uid 12314,0
     2331ps "OnEdgeStrategy"
     2332shape (Triangle
     2333uid 12315,0
     2334ro 90
     2335va (VaSet
     2336vasetType 1
     2337fg "0,65535,0"
     2338)
     2339xt "80000,139625,80750,140375"
     2340)
     2341tg (CPTG
     2342uid 12316,0
     2343ps "CptPortTextPlaceStrategy"
     2344stg "RightVerticalLayoutStrategy"
     2345f (Text
     2346uid 12317,0
     2347va (VaSet
     2348)
     2349xt "75300,139500,79000,140500"
     2350st "SRIN_out"
     2351ju 2
     2352blo "79000,140300"
     2353)
     2354)
     2355thePort (LogicalPort
     2356m 1
     2357decl (Decl
     2358n "SRIN_out"
     2359t "std_logic"
     2360o 27
     2361suid 85,0
     2362i "'0'"
     2363)
     2364)
     2365)
    23682366]
    23692367shape (Rectangle
     
    23752373lineWidth 2
    23762374)
    2377 xt "52000,66000,80000,140000"
     2375xt "52000,66000,80000,141000"
    23782376)
    23792377oxt "15000,-1000,43000,27000"
     
    24452443fg "49152,49152,49152"
    24462444)
    2447 xt "52250,138250,53750,139750"
     2445xt "52250,139250,53750,140750"
    24482446iconName "BlockDiagram.png"
    24492447iconMaskName "BlockDiagram.msk"
     
    26422640preAdd 0
    26432641posAdd 0
    2644 o 55
     2642o 69
    26452643suid 5,0
    26462644)
     
    26502648font "Courier New,8,0"
    26512649)
    2652 xt "39000,57600,67500,58400"
    2653 st "SIGNAL board_id        : std_logic_vector(3 downto 0)
    2654 "
     2650xt "39000,61600,67500,62400"
     2651st "SIGNAL board_id        : std_logic_vector(3 downto 0)"
    26552652)
    26562653)
     
    26612658t "std_logic_vector"
    26622659b "(1 downto 0)"
    2663 o 56
     2660o 70
    26642661suid 6,0
    26652662)
     
    26692666font "Courier New,8,0"
    26702667)
    2671 xt "39000,58400,67500,59200"
    2672 st "SIGNAL crate_id        : std_logic_vector(1 downto 0)
    2673 "
     2668xt "39000,62400,67500,63200"
     2669st "SIGNAL crate_id        : std_logic_vector(1 downto 0)"
    26742670)
    26752671)
     
    29852981n "adc_data_array"
    29862982t "adc_data_array_type"
    2987 o 54
     2983o 68
    29882984suid 29,0
    29892985)
     
    29932989font "Courier New,8,0"
    29942990)
    2995 xt "39000,56800,63000,57600"
    2996 st "SIGNAL adc_data_array  : adc_data_array_type
    2997 "
     2991xt "39000,60800,63000,61600"
     2992st "SIGNAL adc_data_array  : adc_data_array_type"
    29982993)
    29992994)
     
    30032998n "RSRLOAD"
    30042999t "std_logic"
    3005 o 35
     3000o 37
    30063001suid 57,0
    30073002i "'0'"
     
    30123007font "Courier New,8,0"
    30133008)
    3014 xt "39000,31000,68500,31800"
    3015 st "RSRLOAD         : std_logic                      := '0'
    3016 "
     3009xt "39000,34200,68500,35000"
     3010st "RSRLOAD         : std_logic                      := '0'"
    30173011)
    30183012)
     
    30673061n "SRCLK"
    30683062t "std_logic"
    3069 o 52
     3063o 66
    30703064suid 58,0
    30713065i "'0'"
     
    30763070font "Courier New,8,0"
    30773071)
    3078 xt "39000,55200,72000,56000"
    3079 st "SIGNAL SRCLK           : std_logic                      := '0'
    3080 "
     3072xt "39000,59200,72000,60000"
     3073st "SIGNAL SRCLK           : std_logic                      := '0'"
    30813074)
    30823075)
     
    30873080t "std_logic_vector"
    30883081b "(3 DOWNTO 0)"
    3089 o 59
     3082o 74
    30903083suid 65,0
    30913084)
     
    30953088font "Courier New,8,0"
    30963089)
    3097 xt "39000,61600,67500,62400"
    3098 st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)
    3099 "
     3090xt "39000,65600,67500,66400"
     3091st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)"
    31003092)
    31013093)
     
    31053097n "DAC_CS"
    31063098t "std_logic"
    3107 o 21
     3099o 22
    31083100suid 66,0
    31093101)
     
    31133105font "Courier New,8,0"
    31143106)
    3115 xt "39000,19800,54000,20600"
    3116 st "DAC_CS          : std_logic
    3117 "
     3107xt "39000,22200,54000,23000"
     3108st "DAC_CS          : std_logic"
    31183109)
    31193110)
     
    31783169font "Courier New,8,0"
    31793170)
    3180 xt "39000,13400,54000,14200"
    3181 st "X_50M           : STD_LOGIC
    3182 "
     3171xt "39000,15800,54000,16600"
     3172st "X_50M           : STD_LOGIC"
    31833173)
    31843174)
     
    31963186font "Courier New,8,0"
    31973187)
    3198 xt "39000,11800,54000,12600"
    3199 st "TRG             : STD_LOGIC
    3200 "
     3188xt "39000,14200,54000,15000"
     3189st "TRG             : STD_LOGIC"
    32013190)
    32023191)
     
    32983287t "std_logic_vector"
    32993288b "(3 downto 0)"
    3300 o 16
     3289o 17
    33013290suid 71,0
    33023291)
     
    33063295font "Courier New,8,0"
    33073296)
    3308 xt "39000,15800,64000,16600"
    3309 st "A_CLK           : std_logic_vector(3 downto 0)
    3310 "
     3297xt "39000,18200,64000,19000"
     3298st "A_CLK           : std_logic_vector(3 downto 0)"
    33113299)
    33123300)
     
    33163304n "CLK_25_PS"
    33173305t "std_logic"
    3318 o 50
     3306o 55
    33193307suid 72,0
    33203308)
     
    33243312font "Courier New,8,0"
    33253313)
    3326 xt "39000,46400,57500,47200"
    3327 st "SIGNAL CLK_25_PS       : std_logic
    3328 "
     3314xt "39000,50400,57500,51200"
     3315st "SIGNAL CLK_25_PS       : std_logic"
    33293316)
    33303317)
     
    33813368preAdd 0
    33823369posAdd 0
    3383 o 30
     3370o 31
    33843371suid 73,0
    33853372)
     
    33893376font "Courier New,8,0"
    33903377)
    3391 xt "39000,27000,54000,27800"
    3392 st "OE_ADC          : STD_LOGIC
    3393 "
     3378xt "39000,29400,54000,30200"
     3379st "OE_ADC          : STD_LOGIC"
    33943380)
    33953381)
     
    34543440)
    34553441xt "39000,7000,64000,7800"
    3456 st "A_OTR           : std_logic_vector(3 DOWNTO 0)
    3457 "
     3442st "A_OTR           : std_logic_vector(3 DOWNTO 0)"
    34583443)
    34593444)
     
    37443729)
    37453730xt "39000,3800,64500,4600"
    3746 st "A0_D            : std_logic_vector(11 DOWNTO 0)
    3747 "
     3731st "A0_D            : std_logic_vector(11 DOWNTO 0)"
    37483732)
    37493733)
     
    37633747)
    37643748xt "39000,4600,64500,5400"
    3765 st "A1_D            : std_logic_vector(11 DOWNTO 0)
    3766 "
     3749st "A1_D            : std_logic_vector(11 DOWNTO 0)"
    37673750)
    37683751)
     
    37823765)
    37833766xt "39000,5400,64500,6200"
    3784 st "A2_D            : std_logic_vector(11 DOWNTO 0)
    3785 "
     3767st "A2_D            : std_logic_vector(11 DOWNTO 0)"
    37863768)
    37873769)
     
    38013783)
    38023784xt "39000,6200,64500,7000"
    3803 st "A3_D            : std_logic_vector(11 DOWNTO 0)
    3804 "
     3785st "A3_D            : std_logic_vector(11 DOWNTO 0)"
    38053786)
    38063787)
     
    39013882n "D0_SRCLK"
    39023883t "STD_LOGIC"
    3903 o 17
     3884o 18
    39043885suid 87,0
    39053886)
     
    39093890font "Courier New,8,0"
    39103891)
    3911 xt "39000,16600,54000,17400"
    3912 st "D0_SRCLK        : STD_LOGIC
    3913 "
     3892xt "39000,19000,54000,19800"
     3893st "D0_SRCLK        : STD_LOGIC"
    39143894)
    39153895)
     
    39193899n "D1_SRCLK"
    39203900t "STD_LOGIC"
    3921 o 18
     3901o 19
    39223902suid 88,0
    39233903)
     
    39273907font "Courier New,8,0"
    39283908)
    3929 xt "39000,17400,54000,18200"
    3930 st "D1_SRCLK        : STD_LOGIC
    3931 "
     3909xt "39000,19800,54000,20600"
     3910st "D1_SRCLK        : STD_LOGIC"
    39323911)
    39333912)
     
    39373916n "D2_SRCLK"
    39383917t "STD_LOGIC"
    3939 o 19
     3918o 20
    39403919suid 89,0
    39413920)
     
    39453924font "Courier New,8,0"
    39463925)
    3947 xt "39000,18200,54000,19000"
    3948 st "D2_SRCLK        : STD_LOGIC
    3949 "
     3926xt "39000,20600,54000,21400"
     3927st "D2_SRCLK        : STD_LOGIC"
    39503928)
    39513929)
     
    39553933n "D3_SRCLK"
    39563934t "STD_LOGIC"
    3957 o 20
     3935o 21
    39583936suid 90,0
    39593937)
     
    39633941font "Courier New,8,0"
    39643942)
    3965 xt "39000,19000,54000,19800"
    3966 st "D3_SRCLK        : STD_LOGIC
    3967 "
     3943xt "39000,21400,54000,22200"
     3944st "D3_SRCLK        : STD_LOGIC"
    39683945)
    39693946)
     
    41624139)
    41634140xt "39000,7800,54000,8600"
    4164 st "D0_SROUT        : std_logic
    4165 "
     4141st "D0_SROUT        : std_logic"
    41664142)
    41674143)
     
    41804156)
    41814157xt "39000,8600,54000,9400"
    4182 st "D1_SROUT        : std_logic
    4183 "
     4158st "D1_SROUT        : std_logic"
    41844159)
    41854160)
     
    41984173)
    41994174xt "39000,9400,54000,10200"
    4200 st "D2_SROUT        : std_logic
    4201 "
     4175st "D2_SROUT        : std_logic"
    42024176)
    42034177)
     
    42164190)
    42174191xt "39000,10200,54000,11000"
    4218 st "D3_SROUT        : std_logic
    4219 "
     4192st "D3_SROUT        : std_logic"
    42204193)
    42214194)
     
    42714244t "std_logic_vector"
    42724245b "(3 DOWNTO 0)"
    4273 o 24
     4246o 25
    42744247suid 95,0
    42754248i "(others => '0')"
     
    42804253font "Courier New,8,0"
    42814254)
    4282 xt "39000,22200,74500,23000"
    4283 st "D_A             : std_logic_vector(3 DOWNTO 0)   := (others => '0')
    4284 "
     4255xt "39000,24600,74500,25400"
     4256st "D_A             : std_logic_vector(3 DOWNTO 0)   := (others => '0')"
    42854257)
    42864258)
     
    43354307n "DWRITE"
    43364308t "std_logic"
    4337 o 23
     4309o 24
    43384310suid 96,0
    43394311i "'0'"
     
    43444316font "Courier New,8,0"
    43454317)
    4346 xt "39000,21400,68500,22200"
    4347 st "DWRITE          : std_logic                      := '0'
    4348 "
     4318xt "39000,23800,68500,24600"
     4319st "DWRITE          : std_logic                      := '0'"
    43494320)
    43504321)
     
    46654636n "T0_CS"
    46664637t "std_logic"
    4667 o 38
     4638o 40
    46684639suid 101,0
    46694640)
     
    46734644font "Courier New,8,0"
    46744645)
    4675 xt "39000,33400,54000,34200"
    4676 st "T0_CS           : std_logic
    4677 "
     4646xt "39000,37400,54000,38200"
     4647st "T0_CS           : std_logic"
    46784648)
    46794649)
     
    46834653n "T1_CS"
    46844654t "std_logic"
    4685 o 39
     4655o 41
    46864656suid 102,0
    46874657)
     
    46914661font "Courier New,8,0"
    46924662)
    4693 xt "39000,34200,54000,35000"
    4694 st "T1_CS           : std_logic
    4695 "
     4663xt "39000,38200,54000,39000"
     4664st "T1_CS           : std_logic"
    46964665)
    46974666)
     
    47014670n "T2_CS"
    47024671t "std_logic"
    4703 o 40
     4672o 42
    47044673suid 103,0
    47054674)
     
    47094678font "Courier New,8,0"
    47104679)
    4711 xt "39000,35000,54000,35800"
    4712 st "T2_CS           : std_logic
    4713 "
     4680xt "39000,39000,54000,39800"
     4681st "T2_CS           : std_logic"
    47144682)
    47154683)
     
    47194687n "T3_CS"
    47204688t "std_logic"
    4721 o 41
     4689o 43
    47224690suid 104,0
    47234691)
     
    47274695font "Courier New,8,0"
    47284696)
    4729 xt "39000,35800,54000,36600"
    4730 st "T3_CS           : std_logic
    4731 "
     4697xt "39000,39800,54000,40600"
     4698st "T3_CS           : std_logic"
    47324699)
    47334700)
     
    47814748n "S_CLK"
    47824749t "std_logic"
    4783 o 37
     4750o 39
    47844751suid 105,0
    47854752)
     
    47894756font "Courier New,8,0"
    47904757)
    4791 xt "39000,32600,54000,33400"
    4792 st "S_CLK           : std_logic
    4793 "
     4758xt "39000,36600,54000,37400"
     4759st "S_CLK           : std_logic"
    47944760)
    47954761)
     
    48004766t "std_logic_vector"
    48014767b "(9 DOWNTO 0)"
    4802 o 43
     4768o 45
    48034769suid 106,0
    48044770)
     
    48084774font "Courier New,8,0"
    48094775)
    4810 xt "39000,37400,64000,38200"
    4811 st "W_A             : std_logic_vector(9 DOWNTO 0)
    4812 "
     4776xt "39000,41400,64000,42200"
     4777st "W_A             : std_logic_vector(9 DOWNTO 0)"
    48134778)
    48144779)
     
    48194784t "std_logic_vector"
    48204785b "(15 DOWNTO 0)"
    4821 o 49
     4786o 51
    48224787suid 107,0
    48234788)
     
    48274792font "Courier New,8,0"
    48284793)
    4829 xt "39000,42200,64500,43000"
    4830 st "W_D             : std_logic_vector(15 DOWNTO 0)
    4831 "
     4794xt "39000,46200,64500,47000"
     4795st "W_D             : std_logic_vector(15 DOWNTO 0)"
    48324796)
    48334797)
     
    48374801n "W_RES"
    48384802t "std_logic"
    4839 o 46
     4803o 48
    48404804suid 108,0
    48414805i "'1'"
     
    48464810font "Courier New,8,0"
    48474811)
    4848 xt "39000,39800,68500,40600"
    4849 st "W_RES           : std_logic                      := '1'
    4850 "
     4812xt "39000,43800,68500,44600"
     4813st "W_RES           : std_logic                      := '1'"
    48514814)
    48524815)
     
    48564819n "W_RD"
    48574820t "std_logic"
    4858 o 45
     4821o 47
    48594822suid 109,0
    48604823i "'1'"
     
    48654828font "Courier New,8,0"
    48664829)
    4867 xt "39000,39000,68500,39800"
    4868 st "W_RD            : std_logic                      := '1'
    4869 "
     4830xt "39000,43000,68500,43800"
     4831st "W_RD            : std_logic                      := '1'"
    48704832)
    48714833)
     
    48754837n "W_WR"
    48764838t "std_logic"
    4877 o 47
     4839o 49
    48784840suid 110,0
    48794841i "'1'"
     
    48844846font "Courier New,8,0"
    48854847)
    4886 xt "39000,40600,68500,41400"
    4887 st "W_WR            : std_logic                      := '1'
    4888 "
     4848xt "39000,44600,68500,45400"
     4849st "W_WR            : std_logic                      := '1'"
    48894850)
    48904851)
     
    49024863font "Courier New,8,0"
    49034864)
    4904 xt "39000,12600,54000,13400"
    4905 st "W_INT           : std_logic
    4906 "
     4865xt "39000,15000,54000,15800"
     4866st "W_INT           : std_logic"
    49074867)
    49084868)
     
    49124872n "W_CS"
    49134873t "std_logic"
    4914 o 44
     4874o 46
    49154875suid 112,0
    49164876i "'1'"
     
    49214881font "Courier New,8,0"
    49224882)
    4923 xt "39000,38200,68500,39000"
    4924 st "W_CS            : std_logic                      := '1'
    4925 "
     4883xt "39000,42200,68500,43000"
     4884st "W_CS            : std_logic                      := '1'"
    49264885)
    49274886)
     
    49734932n "MOSI"
    49744933t "std_logic"
    4975 o 29
     4934o 30
    49764935suid 113,0
    49774936i "'0'"
     
    49824941font "Courier New,8,0"
    49834942)
    4984 xt "39000,26200,68500,27000"
    4985 st "MOSI            : std_logic                      := '0'
    4986 "
     4943xt "39000,28600,68500,29400"
     4944st "MOSI            : std_logic                      := '0'"
    49874945)
    49884946)
     
    50384996preAdd 0
    50394997posAdd 0
    5040 o 48
     4998o 50
    50414999suid 114,0
    50425000)
     
    50465004font "Courier New,8,0"
    50475005)
    5048 xt "39000,41400,54000,42200"
    5049 st "MISO            : std_logic
    5050 "
     5006xt "39000,45400,54000,46200"
     5007st "MISO            : std_logic"
    50515008)
    50525009)
     
    50675024lineWidth 2
    50685025)
    5069 xt "82000,102000,100000,118000"
     5026xt "82000,106000,99000,118000"
    50705027)
    50715028oxt "0,0,18000,5000"
     
    50745031va (VaSet
    50755032)
    5076 xt "82200,102200,98600,118200"
     5033xt "82200,106200,98600,118200"
    50775034st "
    50785035-- MISC 6     
    50795036TRG_V <= '0';
    5080 RS485_C_RE <= '1';
     5037RS485_C_RE <= '0';
    50815038RS485_C_DE <= '0';   
    5082 RS485_E_RE <= '1';     
     5039RS485_C_DO <= RS485_C_DI;
     5040
     5041RS485_E_RE <= '0';     
    50835042RS485_E_DE <= '0';
     5043--RS485_E_DO <= RS485_E_DI;
    50845044
    50855045-- DENABLE <= '0'; -- domino wave stopped
     
    50995059tm "HdlTextMgr"
    51005060wrapOption 3
    5101 visibleHeight 16000
    5102 visibleWidth 18000
     5061visibleHeight 12000
     5062visibleWidth 17000
    51035063)
    51045064)
     
    55585518n "TRG_V"
    55595519t "std_logic"
    5560 o 42
     5520o 44
    55615521suid 126,0
    55625522)
     
    55665526font "Courier New,8,0"
    55675527)
    5568 xt "39000,36600,54000,37400"
    5569 st "TRG_V           : std_logic
    5570 "
     5528xt "39000,40600,54000,41400"
     5529st "TRG_V           : std_logic"
    55715530)
    55725531)
     
    55765535n "RS485_C_RE"
    55775536t "std_logic"
    5578 o 32
     5537o 34
    55795538suid 127,0
    55805539)
     
    55845543font "Courier New,8,0"
    55855544)
    5586 xt "39000,28600,54000,29400"
    5587 st "RS485_C_RE      : std_logic
    5588 "
     5545xt "39000,31800,54000,32600"
     5546st "RS485_C_RE      : std_logic"
    55895547)
    55905548)
     
    55945552n "RS485_C_DE"
    55955553t "std_logic"
    5596 o 31
     5554o 32
    55975555suid 128,0
    55985556)
     
    56025560font "Courier New,8,0"
    56035561)
    5604 xt "39000,27800,54000,28600"
    5605 st "RS485_C_DE      : std_logic
    5606 "
     5562xt "39000,30200,54000,31000"
     5563st "RS485_C_DE      : std_logic"
    56075564)
    56085565)
     
    56125569n "RS485_E_RE"
    56135570t "std_logic"
    5614 o 34
     5571o 36
    56155572suid 129,0
    56165573)
     
    56205577font "Courier New,8,0"
    56215578)
    5622 xt "39000,30200,54000,31000"
    5623 st "RS485_E_RE      : std_logic
    5624 "
     5579xt "39000,33400,54000,34200"
     5580st "RS485_E_RE      : std_logic"
    56255581)
    56265582)
     
    56305586n "RS485_E_DE"
    56315587t "std_logic"
    5632 o 33
     5588o 35
    56335589suid 130,0
    56345590)
     
    56385594font "Courier New,8,0"
    56395595)
    5640 xt "39000,29400,54000,30200"
    5641 st "RS485_E_DE      : std_logic
    5642 "
     5596xt "39000,32600,54000,33400"
     5597st "RS485_E_DE      : std_logic"
    56435598)
    56445599)
     
    56485603n "DENABLE"
    56495604t "std_logic"
    5650 o 22
     5605o 23
    56515606suid 131,0
    56525607i "'0'"
     
    56575612font "Courier New,8,0"
    56585613)
    5659 xt "39000,20600,68500,21400"
    5660 st "DENABLE         : std_logic                      := '0'
    5661 "
     5614xt "39000,23000,68500,23800"
     5615st "DENABLE         : std_logic                      := '0'"
    56625616)
    56635617)
     
    56675621n "SRIN"
    56685622t "std_logic"
    5669 o 36
     5623o 38
    56705624suid 132,0
    56715625)
     
    56755629font "Courier New,8,0"
    56765630)
    5677 xt "39000,31800,54000,32600"
    5678 st "SRIN            : std_logic
    5679 "
     5631xt "39000,35000,54000,35800"
     5632st "SRIN            : std_logic"
    56805633)
    56815634)
     
    56855638n "EE_CS"
    56865639t "std_logic"
    5687 o 27
     5640o 28
    56885641suid 133,0
    56895642)
     
    56935646font "Courier New,8,0"
    56945647)
    5695 xt "39000,24600,54000,25400"
    5696 st "EE_CS           : std_logic
    5697 "
     5648xt "39000,27000,54000,27800"
     5649st "EE_CS           : std_logic"
    56985650)
    56995651)
     
    57045656t "std_logic_vector"
    57055657b "( 2 DOWNTO 0 )"
    5706 o 28
     5658o 29
    57075659suid 134,0
    57085660i "(others => '1')"
     
    57135665font "Courier New,8,0"
    57145666)
    5715 xt "39000,25400,74500,26200"
    5716 st "LED             : std_logic_vector( 2 DOWNTO 0 ) := (others => '1')
    5717 "
     5667xt "39000,27800,74500,28600"
     5668st "LED             : std_logic_vector( 2 DOWNTO 0 ) := (others => '1')"
    57185669)
    57195670)
     
    59485899t "std_logic_vector"
    59495900b "(7 DOWNTO 0)"
    5950 o 25
     5901o 26
    59515902suid 141,0
    59525903i "(OTHERS => '0')"
     
    59575908font "Courier New,8,0"
    59585909)
    5959 xt "39000,23000,74500,23800"
    5960 st "D_T             : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
    5961 "
     5910xt "39000,25400,74500,26200"
     5911st "D_T             : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
    59625912)
    59635913)
     
    60225972)
    60235973xt "39000,11000,64000,11800"
    6024 st "D_PLLLCK        : std_logic_vector(3 DOWNTO 0)
    6025 "
     5974st "D_PLLLCK        : std_logic_vector(3 DOWNTO 0)"
    60265975)
    60275976)
     
    60766025t "std_logic_vector"
    60776026b "(3 DOWNTO 0)"
    6078 o 26
     6027o 27
    60796028suid 154,0
    60806029i "(others => '0')"
     
    60856034font "Courier New,8,0"
    60866035)
    6087 xt "39000,23800,74500,24600"
    6088 st "D_T2            : std_logic_vector(3 DOWNTO 0)   := (others => '0')
    6089 "
     6036xt "39000,26200,74500,27000"
     6037st "D_T2            : std_logic_vector(3 DOWNTO 0)   := (others => '0')"
    60906038)
    60916039)
     
    63166264t "std_logic_vector"
    63176265b "(7 DOWNTO 0)"
    6318 o 15
     6266o 16
    63196267suid 155,0
    63206268i "(OTHERS => '0')"
     
    63256273font "Courier New,8,0"
    63266274)
    6327 xt "39000,15000,74500,15800"
    6328 st "A1_T            : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
    6329 "
     6275xt "39000,17400,74500,18200"
     6276st "A1_T            : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
    63306277)
    63316278)
     
    63356282n "dummy"
    63366283t "std_logic"
    6337 o 58
     6284o 72
    63386285suid 157,0
    63396286)
     
    63436290font "Courier New,8,0"
    63446291)
    6345 xt "39000,60000,57500,60800"
    6346 st "SIGNAL dummy           : std_logic
    6347 "
     6292xt "39000,64000,57500,64800"
     6293st "SIGNAL dummy           : std_logic"
    63486294)
    63496295)
     
    64086354n "s"
    64096355t "std_logic"
    6410 o 58
     6356o 72
    64116357suid 1,0
    64126358)
     
    64736419n "t"
    64746420t "std_logic"
    6475 o 21
     6421o 22
    64766422suid 2,0
    64776423)
     
    66716617t "std_logic_vector"
    66726618b "(3 downto 0)"
    6673 o 57
     6619o 71
    66746620suid 159,0
    66756621i "(others => '0')"
     
    66806626font "Courier New,8,0"
    66816627)
    6682 xt "39000,59200,78000,60000"
    6683 st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0)   := (others => '0')
    6684 "
     6628xt "39000,63200,78000,64000"
     6629st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0)   := (others => '0')"
    66856630)
    66866631)
     
    66906635n "CLK_50"
    66916636t "std_logic"
    6692 o 51
     6637o 57
    66936638suid 163,0
    66946639)
     
    66986643font "Courier New,8,0"
    66996644)
    6700 xt "39000,48000,57500,48800"
    6701 st "SIGNAL CLK_50          : std_logic
    6702 "
     6645xt "39000,52000,57500,52800"
     6646st "SIGNAL CLK_50          : std_logic"
    67036647)
    67046648)
     
    67616705n "dout"
    67626706t "std_logic"
    6763 o 50
     6707o 55
    67646708suid 1,0
    67656709)
     
    68156759n "din0"
    68166760t "std_logic"
    6817 o 59
     6761o 67
    68186762suid 2,0
    68196763i "'0'"
     
    68706814n "din1"
    68716815t "std_logic"
    6872 o 58
     6816o 56
    68736817suid 3,0
    68746818)
     
    70757019n "CLK_25_PS1"
    70767020t "std_logic"
    7077 o 58
     7021o 56
    70787022suid 164,0
    70797023)
     
    70837027font "Courier New,8,0"
    70847028)
    7085 xt "39000,47200,57500,48000"
    7086 st "SIGNAL CLK_25_PS1      : std_logic
    7087 "
     7029xt "39000,51200,57500,52000"
     7030st "SIGNAL CLK_25_PS1      : std_logic"
    70887031)
    70897032)
     
    70937036n "adc_clk_en"
    70947037t "std_logic"
    7095 o 59
     7038o 67
    70967039suid 165,0
    70977040i "'0'"
     
    71027045font "Courier New,8,0"
    71037046)
    7104 xt "39000,56000,72000,56800"
    7105 st "SIGNAL adc_clk_en      : std_logic                      := '0'
    7106 "
     7047xt "39000,60000,72000,60800"
     7048st "SIGNAL adc_clk_en      : std_logic                      := '0'"
    71077049)
    71087050)
     
    71577099t "std_logic_vector"
    71587100b "(7 DOWNTO 0)"
    7159 o 60
     7101o 15
    71607102suid 166,0
    71617103i "(others => '0')"
     
    71667108font "Courier New,8,0"
    71677109)
    7168 xt "39000,14200,74500,15000"
    7169 st "A0_T            : std_logic_vector(7 DOWNTO 0)   := (others => '0')
    7170 "
     7110xt "39000,16600,74500,17400"
     7111st "A0_T            : std_logic_vector(7 DOWNTO 0)   := (others => '0')"
    71717112)
    71727113)
     
    72817222n "CLK50_OUT"
    72827223t "std_logic"
    7283 o 61
     7224o 54
    72847225suid 184,0
    72857226)
     
    72897230font "Courier New,8,0"
    72907231)
    7291 xt "39000,45600,57500,46400"
    7292 st "SIGNAL CLK50_OUT       : std_logic
    7293 "
     7232xt "39000,49600,57500,50400"
     7233st "SIGNAL CLK50_OUT       : std_logic"
    72947234)
    72957235)
     
    72997239n "CLK25_OUT"
    73007240t "std_logic"
    7301 o 62
     7241o 52
    73027242suid 185,0
    73037243)
     
    73077247font "Courier New,8,0"
    73087248)
    7309 xt "39000,44000,57500,44800"
    7310 st "SIGNAL CLK25_OUT       : std_logic
    7311 "
     7249xt "39000,48000,57500,48800"
     7250st "SIGNAL CLK25_OUT       : std_logic"
    73127251)
    73137252)
     
    73177256n "CLK25_PSOUT"
    73187257t "std_logic"
    7319 o 63
     7258o 53
    73207259suid 186,0
    73217260)
     
    73257264font "Courier New,8,0"
    73267265)
    7327 xt "39000,44800,57500,45600"
    7328 st "SIGNAL CLK25_PSOUT     : std_logic
    7329 "
     7266xt "39000,48800,57500,49600"
     7267st "SIGNAL CLK25_PSOUT     : std_logic"
    73307268)
    73317269)
     
    73437281font "Courier New,8,0"
    73447282)
    7345 xt "39000,53600,57500,54400"
    7346 st "SIGNAL PS_DIR_IN       : std_logic
    7347 "
     7283xt "39000,57600,57500,58400"
     7284st "SIGNAL PS_DIR_IN       : std_logic"
    73487285)
    73497286)
     
    73617298font "Courier New,8,0"
    73627299)
    7363 xt "39000,54400,57500,55200"
    7364 st "SIGNAL PS_DO_IN        : std_logic
    7365 "
     7300xt "39000,58400,57500,59200"
     7301st "SIGNAL PS_DO_IN        : std_logic"
    73667302)
    73677303)
     
    73717307n "PSEN_OUT"
    73727308t "std_logic"
    7373 o 66
     7309o 62
    73747310suid 189,0
    73757311)
     
    73797315font "Courier New,8,0"
    73807316)
    7381 xt "39000,52000,57500,52800"
    7382 st "SIGNAL PSEN_OUT        : std_logic
    7383 "
     7317xt "39000,56000,57500,56800"
     7318st "SIGNAL PSEN_OUT        : std_logic"
    73847319)
    73857320)
     
    73897324n "PSINCDEC_OUT"
    73907325t "std_logic"
    7391 o 67
     7326o 63
    73927327suid 190,0
    73937328)
     
    73977332font "Courier New,8,0"
    73987333)
    7399 xt "39000,52800,57500,53600"
    7400 st "SIGNAL PSINCDEC_OUT    : std_logic
    7401 "
     7334xt "39000,56800,57500,57600"
     7335st "SIGNAL PSINCDEC_OUT    : std_logic"
    74027336)
    74037337)
     
    74097343preAdd 0
    74107344posAdd 0
    7411 o 68
     7345o 58
    74127346suid 191,0
    74137347)
     
    74177351font "Courier New,8,0"
    74187352)
    7419 xt "39000,48800,57500,49600"
    7420 st "SIGNAL DCM_locked      : std_logic
    7421 "
     7353xt "39000,52800,57500,53600"
     7354st "SIGNAL DCM_locked      : std_logic"
    74227355)
    74237356)
     
    74297362preAdd 0
    74307363posAdd 0
    7431 o 69
     7364o 73
    74327365suid 192,0
    74337366i "'0'"
     
    74387371font "Courier New,8,0"
    74397372)
    7440 xt "39000,60800,72000,61600"
    7441 st "SIGNAL ready           : std_logic                      := '0'
    7442 "
     7373xt "39000,64800,72000,65600"
     7374st "SIGNAL ready           : std_logic                      := '0'"
    74437375)
    74447376)
     
    74517383preAdd 0
    74527384posAdd 0
    7453 o 70
     7385o 75
    74547386suid 193,0
    74557387i "'0'"
     
    74607392font "Courier New,8,0"
    74617393)
    7462 xt "39000,62400,72000,64000"
     7394xt "39000,66400,72000,68000"
    74637395st "-- status:
    7464 SIGNAL shifting        : std_logic                      := '0'
    7465 "
     7396SIGNAL shifting        : std_logic                      := '0'"
    74667397)
    74677398)
     
    74717402n "PSDONE_extraOUT"
    74727403t "std_logic"
    7473 o 71
     7404o 61
    74747405suid 194,0
    74757406)
     
    74797410font "Courier New,8,0"
    74807411)
    7481 xt "39000,51200,57500,52000"
    7482 st "SIGNAL PSDONE_extraOUT : std_logic
    7483 "
     7412xt "39000,55200,57500,56000"
     7413st "SIGNAL PSDONE_extraOUT : std_logic"
    74847414)
    74857415)
     
    74897419n "PSCLK_OUT"
    74907420t "std_logic"
    7491 o 72
     7421o 60
    74927422suid 195,0
    74937423)
     
    74977427font "Courier New,8,0"
    74987428)
    7499 xt "39000,50400,57500,51200"
    7500 st "SIGNAL PSCLK_OUT       : std_logic
    7501 "
     7429xt "39000,54400,57500,55200"
     7430st "SIGNAL PSCLK_OUT       : std_logic"
    75027431)
    75037432)
     
    75077436n "LOCKED_extraOUT"
    75087437t "std_logic"
    7509 o 73
     7438o 59
    75107439suid 196,0
    75117440)
     
    75157444font "Courier New,8,0"
    75167445)
    7517 xt "39000,49600,57500,50400"
    7518 st "SIGNAL LOCKED_extraOUT : std_logic
    7519 "
    7520 )
    7521 )
    7522 *252 (Wire
     7446xt "39000,53600,57500,54400"
     7447st "SIGNAL LOCKED_extraOUT : std_logic"
     7448)
     7449)
     7450*252 (PortIoIn
     7451uid 11090,0
     7452shape (CompositeShape
     7453uid 11091,0
     7454va (VaSet
     7455vasetType 1
     7456fg "0,0,32768"
     7457)
     7458optionalChildren [
     7459(Pentagon
     7460uid 11092,0
     7461sl 0
     7462ro 270
     7463xt "94000,102625,95500,103375"
     7464)
     7465(Line
     7466uid 11093,0
     7467sl 0
     7468ro 270
     7469xt "95500,103000,96000,103000"
     7470pts [
     7471"95500,103000"
     7472"96000,103000"
     7473]
     7474)
     7475]
     7476)
     7477stc 0
     7478sf 1
     7479tg (WTG
     7480uid 11094,0
     7481ps "PortIoTextPlaceStrategy"
     7482stg "STSignalDisplayStrategy"
     7483f (Text
     7484uid 11095,0
     7485va (VaSet
     7486)
     7487xt "87700,102500,93000,103500"
     7488st "RS485_C_DI"
     7489ju 2
     7490blo "93000,103300"
     7491tm "WireNameMgr"
     7492)
     7493)
     7494)
     7495*253 (Net
     7496uid 11102,0
     7497decl (Decl
     7498n "RS485_C_DI"
     7499t "std_logic"
     7500o 74
     7501suid 197,0
     7502)
     7503declText (MLText
     7504uid 11103,0
     7505va (VaSet
     7506font "Courier New,8,0"
     7507)
     7508xt "39000,11800,54000,12600"
     7509st "RS485_C_DI      : std_logic"
     7510)
     7511)
     7512*254 (PortIoOut
     7513uid 11104,0
     7514shape (CompositeShape
     7515uid 11105,0
     7516va (VaSet
     7517vasetType 1
     7518fg "0,0,32768"
     7519)
     7520optionalChildren [
     7521(Pentagon
     7522uid 11106,0
     7523sl 0
     7524ro 270
     7525xt "111500,111625,113000,112375"
     7526)
     7527(Line
     7528uid 11107,0
     7529sl 0
     7530ro 270
     7531xt "111000,112000,111500,112000"
     7532pts [
     7533"111000,112000"
     7534"111500,112000"
     7535]
     7536)
     7537]
     7538)
     7539stc 0
     7540sf 1
     7541tg (WTG
     7542uid 11108,0
     7543ps "PortIoTextPlaceStrategy"
     7544stg "STSignalDisplayStrategy"
     7545f (Text
     7546uid 11109,0
     7547va (VaSet
     7548)
     7549xt "114000,111500,119700,112500"
     7550st "RS485_C_DO"
     7551blo "114000,112300"
     7552tm "WireNameMgr"
     7553)
     7554)
     7555)
     7556*255 (Net
     7557uid 11116,0
     7558decl (Decl
     7559n "RS485_C_DO"
     7560t "std_logic"
     7561o 75
     7562suid 198,0
     7563)
     7564declText (MLText
     7565uid 11117,0
     7566va (VaSet
     7567font "Courier New,8,0"
     7568)
     7569xt "39000,31000,54000,31800"
     7570st "RS485_C_DO      : std_logic"
     7571)
     7572)
     7573*256 (PortIoIn
     7574uid 11508,0
     7575shape (CompositeShape
     7576uid 11509,0
     7577va (VaSet
     7578vasetType 1
     7579fg "0,0,32768"
     7580)
     7581optionalChildren [
     7582(Pentagon
     7583uid 11510,0
     7584sl 0
     7585ro 270
     7586xt "121000,115625,122500,116375"
     7587)
     7588(Line
     7589uid 11511,0
     7590sl 0
     7591ro 270
     7592xt "122500,116000,123000,116000"
     7593pts [
     7594"122500,116000"
     7595"123000,116000"
     7596]
     7597)
     7598]
     7599)
     7600stc 0
     7601sf 1
     7602tg (WTG
     7603uid 11512,0
     7604ps "PortIoTextPlaceStrategy"
     7605stg "STSignalDisplayStrategy"
     7606f (Text
     7607uid 11513,0
     7608va (VaSet
     7609)
     7610xt "114800,115500,120000,116500"
     7611st "RS485_E_DI"
     7612ju 2
     7613blo "120000,116300"
     7614tm "WireNameMgr"
     7615)
     7616)
     7617)
     7618*257 (Net
     7619uid 11520,0
     7620decl (Decl
     7621n "RS485_E_DI"
     7622t "std_logic"
     7623o 76
     7624suid 200,0
     7625)
     7626declText (MLText
     7627uid 11521,0
     7628va (VaSet
     7629font "Courier New,8,0"
     7630)
     7631xt "39000,12600,54000,13400"
     7632st "RS485_E_DI      : std_logic"
     7633)
     7634)
     7635*258 (Net
     7636uid 11534,0
     7637decl (Decl
     7638n "RS485_E_DO"
     7639t "std_logic"
     7640o 77
     7641suid 201,0
     7642)
     7643declText (MLText
     7644uid 11535,0
     7645va (VaSet
     7646font "Courier New,8,0"
     7647)
     7648xt "39000,13400,54000,14200"
     7649st "RS485_E_DO      : std_logic"
     7650)
     7651)
     7652*259 (PortIoIn
     7653uid 11922,0
     7654shape (CompositeShape
     7655uid 11923,0
     7656va (VaSet
     7657vasetType 1
     7658fg "0,0,32768"
     7659)
     7660optionalChildren [
     7661(Pentagon
     7662uid 11924,0
     7663sl 0
     7664ro 90
     7665xt "126500,113625,128000,114375"
     7666)
     7667(Line
     7668uid 11925,0
     7669sl 0
     7670ro 90
     7671xt "126000,114000,126500,114000"
     7672pts [
     7673"126500,114000"
     7674"126000,114000"
     7675]
     7676)
     7677]
     7678)
     7679stc 0
     7680sf 1
     7681tg (WTG
     7682uid 11926,0
     7683ps "PortIoTextPlaceStrategy"
     7684stg "STSignalDisplayStrategy"
     7685f (Text
     7686uid 11927,0
     7687va (VaSet
     7688)
     7689xt "129000,113500,134600,114500"
     7690st "RS485_E_DO"
     7691blo "129000,114300"
     7692tm "WireNameMgr"
     7693)
     7694)
     7695)
     7696*260 (Net
     7697uid 12318,0
     7698decl (Decl
     7699n "SRIN_out"
     7700t "std_logic"
     7701o 78
     7702suid 202,0
     7703i "'0'"
     7704)
     7705declText (MLText
     7706uid 12319,0
     7707va (VaSet
     7708font "Courier New,8,0"
     7709)
     7710xt "39000,35800,68500,36600"
     7711st "SRIN_out        : std_logic                      := '0'"
     7712)
     7713)
     7714*261 (PortIoOut
     7715uid 12326,0
     7716shape (CompositeShape
     7717uid 12327,0
     7718va (VaSet
     7719vasetType 1
     7720fg "0,0,32768"
     7721)
     7722optionalChildren [
     7723(Pentagon
     7724uid 12328,0
     7725sl 0
     7726ro 270
     7727xt "87500,139625,89000,140375"
     7728)
     7729(Line
     7730uid 12329,0
     7731sl 0
     7732ro 270
     7733xt "87000,140000,87500,140000"
     7734pts [
     7735"87000,140000"
     7736"87500,140000"
     7737]
     7738)
     7739]
     7740)
     7741stc 0
     7742sf 1
     7743tg (WTG
     7744uid 12330,0
     7745ps "PortIoTextPlaceStrategy"
     7746stg "STSignalDisplayStrategy"
     7747f (Text
     7748uid 12331,0
     7749va (VaSet
     7750)
     7751xt "90000,139500,93700,140500"
     7752st "SRIN_out"
     7753blo "90000,140300"
     7754tm "WireNameMgr"
     7755)
     7756)
     7757)
     7758*262 (Wire
    75237759uid 245,0
    75247760shape (OrthoPolyLine
     
    75597795on &85
    75607796)
    7561 *253 (Wire
     7797*263 (Wire
    75627798uid 277,0
    75637799shape (OrthoPolyLine
     
    75977833on &69
    75987834)
    7599 *254 (Wire
     7835*264 (Wire
    76007836uid 285,0
    76017837shape (OrthoPolyLine
     
    76357871on &70
    76367872)
    7637 *255 (Wire
     7873*265 (Wire
    76387874uid 362,0
    76397875shape (OrthoPolyLine
     
    76737909on &94
    76747910)
    7675 *256 (Wire
     7911*266 (Wire
    76767912uid 418,0
    76777913shape (OrthoPolyLine
     
    77117947on &146
    77127948)
    7713 *257 (Wire
     7949*267 (Wire
    77147950uid 426,0
    77157951shape (OrthoPolyLine
     
    77517987on &144
    77527988)
    7753 *258 (Wire
     7989*268 (Wire
    77547990uid 434,0
    77557991shape (OrthoPolyLine
     
    77898025on &150
    77908026)
    7791 *259 (Wire
     8027*269 (Wire
    77928028uid 442,0
    77938029shape (OrthoPolyLine
     
    78298065on &145
    78308066)
    7831 *260 (Wire
     8067*270 (Wire
    78328068uid 450,0
    78338069shape (OrthoPolyLine
     
    78678103on &149
    78688104)
    7869 *261 (Wire
     8105*271 (Wire
    78708106uid 458,0
    78718107shape (OrthoPolyLine
     
    79058141on &147
    79068142)
    7907 *262 (Wire
     8143*272 (Wire
    79088144uid 466,0
    79098145shape (OrthoPolyLine
     
    79438179on &148
    79448180)
    7945 *263 (Wire
     8181*273 (Wire
    79468182uid 1467,0
    79478183shape (OrthoPolyLine
     
    79798215on &78
    79808216)
    7981 *264 (Wire
     8217*274 (Wire
    79828218uid 1730,0
    79838219shape (OrthoPolyLine
     
    80198255on &96
    80208256)
    8021 *265 (Wire
     8257*275 (Wire
    80228258uid 1833,0
    80238259shape (OrthoPolyLine
     
    80598295on &126
    80608296)
    8061 *266 (Wire
     8297*276 (Wire
    80628298uid 1841,0
    80638299shape (OrthoPolyLine
     
    80978333on &128
    80988334)
    8099 *267 (Wire
     8335*277 (Wire
    81008336uid 1865,0
    81018337shape (OrthoPolyLine
     
    81358371on &121
    81368372)
    8137 *268 (Wire
     8373*278 (Wire
    81388374uid 1873,0
    81398375shape (OrthoPolyLine
     
    81738409on &122
    81748410)
    8175 *269 (Wire
     8411*279 (Wire
    81768412uid 1881,0
    81778413shape (OrthoPolyLine
     
    82118447on &123
    82128448)
    8213 *270 (Wire
     8449*280 (Wire
    82148450uid 1889,0
    82158451shape (OrthoPolyLine
     
    82498485on &124
    82508486)
    8251 *271 (Wire
     8487*281 (Wire
    82528488uid 2409,0
    82538489shape (OrthoPolyLine
     
    82878523on &79
    82888524)
    8289 *272 (Wire
     8525*282 (Wire
    82908526uid 2423,0
    82918527shape (OrthoPolyLine
     
    83258561on &81
    83268562)
    8327 *273 (Wire
     8563*283 (Wire
    83288564uid 3009,0
    83298565shape (OrthoPolyLine
     
    83638599on &143
    83648600)
    8365 *274 (Wire
     8601*284 (Wire
    83668602uid 3015,0
    83678603shape (OrthoPolyLine
     
    84018637on &154
    84028638)
    8403 *275 (Wire
     8639*285 (Wire
    84048640uid 3021,0
    84058641shape (OrthoPolyLine
     
    84398675on &82
    84408676)
    8441 *276 (Wire
     8677*286 (Wire
    84428678uid 3027,0
    84438679shape (OrthoPolyLine
     
    84788714on &83
    84798715)
    8480 *277 (Wire
     8716*287 (Wire
    84818717uid 3218,0
    84828718shape (OrthoPolyLine
     
    85168752on &86
    85178753)
    8518 *278 (Wire
     8754*288 (Wire
    85198755uid 3260,0
    85208756shape (OrthoPolyLine
     
    85568792on &91
    85578793)
    8558 *279 (Wire
     8794*289 (Wire
    85598795uid 3270,0
    85608796shape (OrthoPolyLine
     
    85948830on &92
    85958831)
    8596 *280 (Wire
     8832*290 (Wire
    85978833uid 3318,0
    85988834shape (OrthoPolyLine
     
    86348870on &105
    86358871)
    8636 *281 (Wire
     8872*291 (Wire
    86378873uid 3352,0
    86388874shape (OrthoPolyLine
     
    86748910on &106
    86758911)
    8676 *282 (Wire
     8912*292 (Wire
    86778913uid 3360,0
    86788914shape (OrthoPolyLine
     
    87148950on &107
    87158951)
    8716 *283 (Wire
     8952*293 (Wire
    87178953uid 3368,0
    87188954shape (OrthoPolyLine
     
    87548990on &108
    87558991)
    8756 *284 (Wire
     8992*294 (Wire
    87578993uid 3430,0
    87588994shape (OrthoPolyLine
     
    87929028on &113
    87939029)
    8794 *285 (Wire
     9030*295 (Wire
    87959031uid 3438,0
    87969032shape (OrthoPolyLine
     
    88309066on &114
    88319067)
    8832 *286 (Wire
     9068*296 (Wire
    88339069uid 3446,0
    88349070shape (OrthoPolyLine
     
    88689104on &115
    88699105)
    8870 *287 (Wire
     9106*297 (Wire
    88719107uid 3454,0
    88729108shape (OrthoPolyLine
     
    89069142on &116
    89079143)
    8908 *288 (Wire
     9144*298 (Wire
    89099145uid 3574,0
    89109146shape (OrthoPolyLine
     
    89449180on &138
    89459181)
    8946 *289 (Wire
     9182*299 (Wire
    89479183uid 3582,0
    89489184shape (OrthoPolyLine
     
    89829218on &139
    89839219)
    8984 *290 (Wire
     9220*300 (Wire
    89859221uid 3590,0
    89869222shape (OrthoPolyLine
     
    90209256on &140
    90219257)
    9022 *291 (Wire
     9258*301 (Wire
    90239259uid 3598,0
    90249260shape (OrthoPolyLine
     
    90589294on &141
    90599295)
    9060 *292 (Wire
     9296*302 (Wire
    90619297uid 3682,0
    90629298shape (OrthoPolyLine
     
    90969332on &152
    90979333)
    9098 *293 (Wire
     9334*303 (Wire
    90999335uid 3778,0
    91009336shape (OrthoPolyLine
     
    91349370on &168
    91359371)
    9136 *294 (Wire
     9372*304 (Wire
    91379373uid 3786,0
    91389374shape (OrthoPolyLine
     
    91729408on &169
    91739409)
    9174 *295 (Wire
     9410*305 (Wire
    91759411uid 3794,0
    91769412shape (OrthoPolyLine
     
    92109446on &170
    92119447)
    9212 *296 (Wire
     9448*306 (Wire
    92139449uid 3802,0
    92149450shape (OrthoPolyLine
     
    92489484on &171
    92499485)
    9250 *297 (Wire
     9486*307 (Wire
    92519487uid 3810,0
    92529488shape (OrthoPolyLine
     
    92869522on &172
    92879523)
    9288 *298 (Wire
     9524*308 (Wire
    92899525uid 3826,0
    92909526shape (OrthoPolyLine
     
    93249560on &174
    93259561)
    9326 *299 (Wire
     9562*309 (Wire
    93279563uid 3834,0
    93289564shape (OrthoPolyLine
     
    93629598on &175
    93639599)
    9364 *300 (Wire
     9600*310 (Wire
    93659601uid 3842,0
    93669602shape (OrthoPolyLine
     
    94029638on &176
    94039639)
    9404 *301 (Wire
     9640*311 (Wire
    94059641uid 4942,0
    94069642shape (OrthoPolyLine
     
    94429678on &182
    94439679)
    9444 *302 (Wire
     9680*312 (Wire
    94459681uid 6431,0
    94469682shape (OrthoPolyLine
     
    94809716on &173
    94819717)
    9482 *303 (Wire
     9718*313 (Wire
    94839719uid 6787,0
    94849720shape (OrthoPolyLine
     
    95199755on &184
    95209756)
    9521 *304 (Wire
     9757*314 (Wire
    95229758uid 6880,0
    95239759shape (OrthoPolyLine
     
    95589794on &186
    95599795)
    9560 *305 (Wire
     9796*315 (Wire
    95619797uid 7144,0
    95629798shape (OrthoPolyLine
     
    95969832on &196
    95979833)
    9598 *306 (Wire
     9834*316 (Wire
    95999835uid 7477,0
    96009836shape (OrthoPolyLine
     
    96339869on &197
    96349870)
    9635 *307 (Wire
     9871*317 (Wire
    96369872uid 8853,0
    96379873shape (OrthoPolyLine
     
    96739909on &211
    96749910)
    9675 *308 (Wire
     9911*318 (Wire
    96769912uid 9502,0
    96779913shape (OrthoPolyLine
     
    97089944on &212
    97099945)
    9710 *309 (Wire
     9946*319 (Wire
    97119947uid 10034,0
    97129948shape (OrthoPolyLine
     
    97469982on &231
    97479983)
    9748 *310 (Wire
     9984*320 (Wire
    97499985uid 10052,0
    97509986shape (OrthoPolyLine
     
    978310019on &232
    978410020)
    9785 *311 (Wire
     10021*321 (Wire
    978610022uid 10302,0
    978710023shape (OrthoPolyLine
     
    982110057on &234
    982210058)
    9823 *312 (Wire
     10059*322 (Wire
    982410060uid 10452,0
    982510061shape (OrthoPolyLine
     
    982710063va (VaSet
    982810064vasetType 3
     10065lineWidth 2
    982910066)
    983010067xt "112000,126000,122000,126000"
     
    983710074sat 2
    983810075eat 16
     10076sty 1
    983910077st 0
    984010078sf 1
     
    985610094on &234
    985710095)
    9858 *313 (Wire
     10096*323 (Wire
    985910097uid 10460,0
    986010098shape (OrthoPolyLine
     
    986210100va (VaSet
    986310101vasetType 3
     10102lineWidth 2
    986410103)
    986510104xt "112000,127000,122000,127000"
     
    987210111sat 2
    987310112eat 16
     10113sty 1
    987410114st 0
    987510115sf 1
     
    989110131on &196
    989210132)
    9893 *314 (Wire
     10133*324 (Wire
    989410134uid 10498,0
    989510135shape (OrthoPolyLine
     
    990410144]
    990510145)
    9906 start &59
     10146start &58
    990710147sat 32
    990810148eat 16
     
    992610166on &239
    992710167)
    9928 *315 (Wire
     10168*325 (Wire
    992910169uid 10506,0
    993010170shape (OrthoPolyLine
     
    993710177"80750,124000"
    993810178"88000,124000"
     10179]
     10180)
     10181start &56
     10182sat 32
     10183eat 16
     10184st 0
     10185sf 1
     10186si 0
     10187tg (WTG
     10188uid 10510,0
     10189ps "ConnStartEndStrategy"
     10190stg "STSignalDisplayStrategy"
     10191f (Text
     10192uid 10511,0
     10193va (VaSet
     10194)
     10195xt "82000,123000,86800,124000"
     10196st "CLK25_OUT"
     10197blo "82000,123800"
     10198tm "WireNameMgr"
     10199)
     10200)
     10201on &240
     10202)
     10203*326 (Wire
     10204uid 10514,0
     10205shape (OrthoPolyLine
     10206uid 10515,0
     10207va (VaSet
     10208vasetType 3
     10209)
     10210xt "80750,125000,89000,125000"
     10211pts [
     10212"80750,125000"
     10213"89000,125000"
    993910214]
    994010215)
     
    994610221si 0
    994710222tg (WTG
    9948 uid 10510,0
     10223uid 10518,0
    994910224ps "ConnStartEndStrategy"
    995010225stg "STSignalDisplayStrategy"
    995110226f (Text
    9952 uid 10511,0
    9953 va (VaSet
    9954 )
    9955 xt "82000,123000,86800,124000"
    9956 st "CLK25_OUT"
    9957 blo "82000,123800"
    9958 tm "WireNameMgr"
    9959 )
    9960 )
    9961 on &240
    9962 )
    9963 *316 (Wire
    9964 uid 10514,0
     10227uid 10519,0
     10228va (VaSet
     10229)
     10230xt "82000,124000,88200,125000"
     10231st "CLK25_PSOUT"
     10232blo "82000,124800"
     10233tm "WireNameMgr"
     10234)
     10235)
     10236on &241
     10237)
     10238*327 (Wire
     10239uid 10522,0
    996510240shape (OrthoPolyLine
    9966 uid 10515,0
     10241uid 10523,0
    996710242va (VaSet
    996810243vasetType 3
    996910244)
    9970 xt "80750,125000,89000,125000"
    9971 pts [
    9972 "80750,125000"
    9973 "89000,125000"
    9974 ]
    9975 )
    9976 start &58
     10245xt "80750,126000,87000,126000"
     10246pts [
     10247"80750,126000"
     10248"87000,126000"
     10249]
     10250)
     10251start &48
    997710252sat 32
    997810253eat 16
     
    998110256si 0
    998210257tg (WTG
    9983 uid 10518,0
     10258uid 10526,0
    998410259ps "ConnStartEndStrategy"
    998510260stg "STSignalDisplayStrategy"
    998610261f (Text
    9987 uid 10519,0
    9988 va (VaSet
    9989 )
    9990 xt "82000,124000,88200,125000"
    9991 st "CLK25_PSOUT"
    9992 blo "82000,124800"
    9993 tm "WireNameMgr"
    9994 )
    9995 )
    9996 on &241
    9997 )
    9998 *317 (Wire
    9999 uid 10522,0
     10262uid 10527,0
     10263va (VaSet
     10264)
     10265xt "82000,125000,86400,126000"
     10266st "PS_DIR_IN"
     10267blo "82000,125800"
     10268tm "WireNameMgr"
     10269)
     10270)
     10271on &242
     10272)
     10273*328 (Wire
     10274uid 10530,0
    1000010275shape (OrthoPolyLine
    10001 uid 10523,0
     10276uid 10531,0
    1000210277va (VaSet
    1000310278vasetType 3
    1000410279)
    10005 xt "80750,126000,87000,126000"
    10006 pts [
    10007 "80750,126000"
    10008 "87000,126000"
     10280xt "80750,127000,87000,127000"
     10281pts [
     10282"80750,127000"
     10283"87000,127000"
    1000910284]
    1001010285)
     
    1001610291si 0
    1001710292tg (WTG
    10018 uid 10526,0
     10293uid 10534,0
    1001910294ps "ConnStartEndStrategy"
    1002010295stg "STSignalDisplayStrategy"
    1002110296f (Text
    10022 uid 10527,0
    10023 va (VaSet
    10024 )
    10025 xt "82000,125000,86400,126000"
    10026 st "PS_DIR_IN"
    10027 blo "82000,125800"
    10028 tm "WireNameMgr"
    10029 )
    10030 )
    10031 on &242
    10032 )
    10033 *318 (Wire
    10034 uid 10530,0
     10297uid 10535,0
     10298va (VaSet
     10299)
     10300xt "82000,126000,86200,127000"
     10301st "PS_DO_IN"
     10302blo "82000,126800"
     10303tm "WireNameMgr"
     10304)
     10305)
     10306on &243
     10307)
     10308*329 (Wire
     10309uid 10538,0
    1003510310shape (OrthoPolyLine
    10036 uid 10531,0
     10311uid 10539,0
    1003710312va (VaSet
    1003810313vasetType 3
    1003910314)
    10040 xt "80750,127000,87000,127000"
    10041 pts [
    10042 "80750,127000"
    10043 "87000,127000"
     10315xt "80750,129000,88000,129000"
     10316pts [
     10317"80750,129000"
     10318"88000,129000"
     10319]
     10320)
     10321start &52
     10322sat 32
     10323eat 16
     10324st 0
     10325sf 1
     10326si 0
     10327tg (WTG
     10328uid 10542,0
     10329ps "ConnStartEndStrategy"
     10330stg "STSignalDisplayStrategy"
     10331f (Text
     10332uid 10543,0
     10333va (VaSet
     10334)
     10335xt "82000,128000,86600,129000"
     10336st "PSEN_OUT"
     10337blo "82000,128800"
     10338tm "WireNameMgr"
     10339)
     10340)
     10341on &244
     10342)
     10343*330 (Wire
     10344uid 10546,0
     10345shape (OrthoPolyLine
     10346uid 10547,0
     10347va (VaSet
     10348vasetType 3
     10349)
     10350xt "80750,128000,90000,128000"
     10351pts [
     10352"80750,128000"
     10353"90000,128000"
     10354]
     10355)
     10356start &53
     10357sat 32
     10358eat 16
     10359st 0
     10360sf 1
     10361si 0
     10362tg (WTG
     10363uid 10550,0
     10364ps "ConnStartEndStrategy"
     10365stg "STSignalDisplayStrategy"
     10366f (Text
     10367uid 10551,0
     10368va (VaSet
     10369)
     10370xt "82000,127000,89000,128000"
     10371st "PSINCDEC_OUT"
     10372blo "82000,127800"
     10373tm "WireNameMgr"
     10374)
     10375)
     10376on &245
     10377)
     10378*331 (Wire
     10379uid 10554,0
     10380shape (OrthoPolyLine
     10381uid 10555,0
     10382va (VaSet
     10383vasetType 3
     10384)
     10385xt "80750,130000,88000,130000"
     10386pts [
     10387"80750,130000"
     10388"88000,130000"
     10389]
     10390)
     10391start &45
     10392sat 32
     10393eat 16
     10394st 0
     10395sf 1
     10396si 0
     10397tg (WTG
     10398uid 10558,0
     10399ps "ConnStartEndStrategy"
     10400stg "STSignalDisplayStrategy"
     10401f (Text
     10402uid 10559,0
     10403va (VaSet
     10404)
     10405xt "82000,129000,87200,130000"
     10406st "DCM_locked"
     10407blo "82000,129800"
     10408tm "WireNameMgr"
     10409)
     10410)
     10411on &246
     10412)
     10413*332 (Wire
     10414uid 10562,0
     10415shape (OrthoPolyLine
     10416uid 10563,0
     10417va (VaSet
     10418vasetType 3
     10419)
     10420xt "80750,132000,85000,132000"
     10421pts [
     10422"80750,132000"
     10423"85000,132000"
     10424]
     10425)
     10426start &54
     10427sat 32
     10428eat 16
     10429st 0
     10430sf 1
     10431si 0
     10432tg (WTG
     10433uid 10566,0
     10434ps "ConnStartEndStrategy"
     10435stg "STSignalDisplayStrategy"
     10436f (Text
     10437uid 10567,0
     10438va (VaSet
     10439)
     10440xt "82000,131000,84200,132000"
     10441st "ready"
     10442blo "82000,131800"
     10443tm "WireNameMgr"
     10444)
     10445)
     10446on &247
     10447)
     10448*333 (Wire
     10449uid 10570,0
     10450shape (OrthoPolyLine
     10451uid 10571,0
     10452va (VaSet
     10453vasetType 3
     10454)
     10455xt "80750,133000,86000,133000"
     10456pts [
     10457"80750,133000"
     10458"86000,133000"
     10459]
     10460)
     10461start &55
     10462sat 32
     10463eat 16
     10464st 0
     10465sf 1
     10466si 0
     10467tg (WTG
     10468uid 10574,0
     10469ps "ConnStartEndStrategy"
     10470stg "STSignalDisplayStrategy"
     10471f (Text
     10472uid 10575,0
     10473va (VaSet
     10474)
     10475xt "82000,132000,84900,133000"
     10476st "shifting"
     10477blo "82000,132800"
     10478tm "WireNameMgr"
     10479)
     10480)
     10481on &248
     10482)
     10483*334 (Wire
     10484uid 10578,0
     10485shape (OrthoPolyLine
     10486uid 10579,0
     10487va (VaSet
     10488vasetType 3
     10489)
     10490xt "80750,134000,91000,134000"
     10491pts [
     10492"80750,134000"
     10493"91000,134000"
     10494]
     10495)
     10496start &51
     10497sat 32
     10498eat 16
     10499st 0
     10500sf 1
     10501si 0
     10502tg (WTG
     10503uid 10582,0
     10504ps "ConnStartEndStrategy"
     10505stg "STSignalDisplayStrategy"
     10506f (Text
     10507uid 10583,0
     10508va (VaSet
     10509)
     10510xt "82000,133000,89800,134000"
     10511st "PSDONE_extraOUT"
     10512blo "82000,133800"
     10513tm "WireNameMgr"
     10514)
     10515)
     10516on &249
     10517)
     10518*335 (Wire
     10519uid 10586,0
     10520shape (OrthoPolyLine
     10521uid 10587,0
     10522va (VaSet
     10523vasetType 3
     10524)
     10525xt "80750,135000,88000,135000"
     10526pts [
     10527"80750,135000"
     10528"88000,135000"
    1004410529]
    1004510530)
     
    1005110536si 0
    1005210537tg (WTG
    10053 uid 10534,0
     10538uid 10590,0
    1005410539ps "ConnStartEndStrategy"
    1005510540stg "STSignalDisplayStrategy"
    1005610541f (Text
    10057 uid 10535,0
    10058 va (VaSet
    10059 )
    10060 xt "82000,126000,86200,127000"
    10061 st "PS_DO_IN"
    10062 blo "82000,126800"
    10063 tm "WireNameMgr"
    10064 )
    10065 )
    10066 on &243
    10067 )
    10068 *319 (Wire
    10069 uid 10538,0
     10542uid 10591,0
     10543va (VaSet
     10544)
     10545xt "82000,134000,87000,135000"
     10546st "PSCLK_OUT"
     10547blo "82000,134800"
     10548tm "WireNameMgr"
     10549)
     10550)
     10551on &250
     10552)
     10553*336 (Wire
     10554uid 10594,0
    1007010555shape (OrthoPolyLine
    10071 uid 10539,0
     10556uid 10595,0
    1007210557va (VaSet
    1007310558vasetType 3
    1007410559)
    10075 xt "80750,129000,88000,129000"
    10076 pts [
    10077 "80750,129000"
    10078 "88000,129000"
    10079 ]
    10080 )
    10081 start &53
     10560xt "80750,136000,91000,136000"
     10561pts [
     10562"80750,136000"
     10563"91000,136000"
     10564]
     10565)
     10566start &46
    1008210567sat 32
    1008310568eat 16
     
    1008610571si 0
    1008710572tg (WTG
    10088 uid 10542,0
    10089 ps "ConnStartEndStrategy"
    10090 stg "STSignalDisplayStrategy"
    10091 f (Text
    10092 uid 10543,0
    10093 va (VaSet
    10094 )
    10095 xt "82000,128000,86600,129000"
    10096 st "PSEN_OUT"
    10097 blo "82000,128800"
    10098 tm "WireNameMgr"
    10099 )
    10100 )
    10101 on &244
    10102 )
    10103 *320 (Wire
    10104 uid 10546,0
    10105 shape (OrthoPolyLine
    10106 uid 10547,0
    10107 va (VaSet
    10108 vasetType 3
    10109 )
    10110 xt "80750,128000,90000,128000"
    10111 pts [
    10112 "80750,128000"
    10113 "90000,128000"
    10114 ]
    10115 )
    10116 start &54
    10117 sat 32
    10118 eat 16
    10119 st 0
    10120 sf 1
    10121 si 0
    10122 tg (WTG
    10123 uid 10550,0
    10124 ps "ConnStartEndStrategy"
    10125 stg "STSignalDisplayStrategy"
    10126 f (Text
    10127 uid 10551,0
    10128 va (VaSet
    10129 )
    10130 xt "82000,127000,89000,128000"
    10131 st "PSINCDEC_OUT"
    10132 blo "82000,127800"
    10133 tm "WireNameMgr"
    10134 )
    10135 )
    10136 on &245
    10137 )
    10138 *321 (Wire
    10139 uid 10554,0
    10140 shape (OrthoPolyLine
    10141 uid 10555,0
    10142 va (VaSet
    10143 vasetType 3
    10144 )
    10145 xt "80750,130000,88000,130000"
    10146 pts [
    10147 "80750,130000"
    10148 "88000,130000"
    10149 ]
    10150 )
    10151 start &45
    10152 sat 32
    10153 eat 16
    10154 st 0
    10155 sf 1
    10156 si 0
    10157 tg (WTG
    10158 uid 10558,0
    10159 ps "ConnStartEndStrategy"
    10160 stg "STSignalDisplayStrategy"
    10161 f (Text
    10162 uid 10559,0
    10163 va (VaSet
    10164 )
    10165 xt "82000,129000,87200,130000"
    10166 st "DCM_locked"
    10167 blo "82000,129800"
    10168 tm "WireNameMgr"
    10169 )
    10170 )
    10171 on &246
    10172 )
    10173 *322 (Wire
    10174 uid 10562,0
    10175 shape (OrthoPolyLine
    10176 uid 10563,0
    10177 va (VaSet
    10178 vasetType 3
    10179 )
    10180 xt "80750,132000,85000,132000"
    10181 pts [
    10182 "80750,132000"
    10183 "85000,132000"
    10184 ]
    10185 )
    10186 start &55
    10187 sat 32
    10188 eat 16
    10189 st 0
    10190 sf 1
    10191 si 0
    10192 tg (WTG
    10193 uid 10566,0
    10194 ps "ConnStartEndStrategy"
    10195 stg "STSignalDisplayStrategy"
    10196 f (Text
    10197 uid 10567,0
    10198 va (VaSet
    10199 )
    10200 xt "82000,131000,84200,132000"
    10201 st "ready"
    10202 blo "82000,131800"
    10203 tm "WireNameMgr"
    10204 )
    10205 )
    10206 on &247
    10207 )
    10208 *323 (Wire
    10209 uid 10570,0
    10210 shape (OrthoPolyLine
    10211 uid 10571,0
    10212 va (VaSet
    10213 vasetType 3
    10214 )
    10215 xt "80750,133000,86000,133000"
    10216 pts [
    10217 "80750,133000"
    10218 "86000,133000"
    10219 ]
    10220 )
    10221 start &56
    10222 sat 32
    10223 eat 16
    10224 st 0
    10225 sf 1
    10226 si 0
    10227 tg (WTG
    10228 uid 10574,0
    10229 ps "ConnStartEndStrategy"
    10230 stg "STSignalDisplayStrategy"
    10231 f (Text
    10232 uid 10575,0
    10233 va (VaSet
    10234 )
    10235 xt "82000,132000,84900,133000"
    10236 st "shifting"
    10237 blo "82000,132800"
    10238 tm "WireNameMgr"
    10239 )
    10240 )
    10241 on &248
    10242 )
    10243 *324 (Wire
    10244 uid 10578,0
    10245 shape (OrthoPolyLine
    10246 uid 10579,0
    10247 va (VaSet
    10248 vasetType 3
    10249 )
    10250 xt "80750,134000,91000,134000"
    10251 pts [
    10252 "80750,134000"
    10253 "91000,134000"
    10254 ]
    10255 )
    10256 start &52
    10257 sat 32
    10258 eat 16
    10259 st 0
    10260 sf 1
    10261 si 0
    10262 tg (WTG
    10263 uid 10582,0
    10264 ps "ConnStartEndStrategy"
    10265 stg "STSignalDisplayStrategy"
    10266 f (Text
    10267 uid 10583,0
    10268 va (VaSet
    10269 )
    10270 xt "82000,133000,89800,134000"
    10271 st "PSDONE_extraOUT"
    10272 blo "82000,133800"
    10273 tm "WireNameMgr"
    10274 )
    10275 )
    10276 on &249
    10277 )
    10278 *325 (Wire
    10279 uid 10586,0
    10280 shape (OrthoPolyLine
    10281 uid 10587,0
    10282 va (VaSet
    10283 vasetType 3
    10284 )
    10285 xt "80750,135000,88000,135000"
    10286 pts [
    10287 "80750,135000"
    10288 "88000,135000"
    10289 ]
    10290 )
    10291 start &51
    10292 sat 32
    10293 eat 16
    10294 st 0
    10295 sf 1
    10296 si 0
    10297 tg (WTG
    10298 uid 10590,0
    10299 ps "ConnStartEndStrategy"
    10300 stg "STSignalDisplayStrategy"
    10301 f (Text
    10302 uid 10591,0
    10303 va (VaSet
    10304 )
    10305 xt "82000,134000,87000,135000"
    10306 st "PSCLK_OUT"
    10307 blo "82000,134800"
    10308 tm "WireNameMgr"
    10309 )
    10310 )
    10311 on &250
    10312 )
    10313 *326 (Wire
    10314 uid 10594,0
    10315 shape (OrthoPolyLine
    10316 uid 10595,0
    10317 va (VaSet
    10318 vasetType 3
    10319 )
    10320 xt "80750,136000,91000,136000"
    10321 pts [
    10322 "80750,136000"
    10323 "91000,136000"
    10324 ]
    10325 )
    10326 start &47
    10327 sat 32
    10328 eat 16
    10329 st 0
    10330 sf 1
    10331 si 0
    10332 tg (WTG
    1033310573uid 10598,0
    1033410574ps "ConnStartEndStrategy"
     
    1034610586on &251
    1034710587)
    10348 *327 (Wire
     10588*337 (Wire
    1034910589uid 10600,0
    1035010590shape (OrthoPolyLine
     
    1038110621on &240
    1038210622)
    10383 *328 (Wire
     10623*338 (Wire
    1038410624uid 10608,0
    1038510625shape (OrthoPolyLine
     
    1041610656on &241
    1041710657)
    10418 *329 (Wire
     10658*339 (Wire
    1041910659uid 10616,0
    1042010660shape (OrthoPolyLine
     
    1045110691on &239
    1045210692)
    10453 *330 (Wire
     10693*340 (Wire
    1045410694uid 10624,0
    1045510695shape (OrthoPolyLine
     
    1048610726on &246
    1048710727)
    10488 *331 (Wire
     10728*341 (Wire
    1048910729uid 10632,0
    1049010730shape (OrthoPolyLine
     
    1052110761on &251
    1052210762)
    10523 *332 (Wire
     10763*342 (Wire
    1052410764uid 10640,0
    1052510765shape (OrthoPolyLine
     
    1055610796on &250
    1055710797)
    10558 *333 (Wire
     10798*343 (Wire
    1055910799uid 10648,0
    1056010800shape (OrthoPolyLine
     
    1059110831on &249
    1059210832)
    10593 *334 (Wire
     10833*344 (Wire
    1059410834uid 10656,0
    1059510835shape (OrthoPolyLine
     
    1062610866on &244
    1062710867)
    10628 *335 (Wire
     10868*345 (Wire
    1062910869uid 10664,0
    1063010870shape (OrthoPolyLine
     
    1066110901on &245
    1066210902)
    10663 *336 (Wire
     10903*346 (Wire
    1066410904uid 10672,0
    1066510905shape (OrthoPolyLine
     
    1069610936on &242
    1069710937)
    10698 *337 (Wire
     10938*347 (Wire
    1069910939uid 10680,0
    1070010940shape (OrthoPolyLine
     
    1073110971on &243
    1073210972)
    10733 *338 (Wire
     10973*348 (Wire
    1073410974uid 10688,0
    1073510975shape (OrthoPolyLine
     
    1076611006on &247
    1076711007)
    10768 *339 (Wire
     11008*349 (Wire
    1076911009uid 10696,0
    1077011010shape (OrthoPolyLine
     
    1080011040)
    1080111041on &248
     11042)
     11043*350 (Wire
     11044uid 11096,0
     11045shape (OrthoPolyLine
     11046uid 11097,0
     11047va (VaSet
     11048vasetType 3
     11049)
     11050xt "96000,103000,100000,103000"
     11051pts [
     11052"96000,103000"
     11053"100000,103000"
     11054]
     11055)
     11056start &252
     11057end &155
     11058sat 32
     11059eat 1
     11060st 0
     11061sf 1
     11062si 0
     11063tg (WTG
     11064uid 11100,0
     11065ps "ConnStartEndStrategy"
     11066stg "STSignalDisplayStrategy"
     11067f (Text
     11068uid 11101,0
     11069va (VaSet
     11070isHidden 1
     11071)
     11072xt "126000,96000,131300,97000"
     11073st "RS485_C_DI"
     11074blo "126000,96800"
     11075tm "WireNameMgr"
     11076)
     11077)
     11078on &253
     11079)
     11080*351 (Wire
     11081uid 11110,0
     11082shape (OrthoPolyLine
     11083uid 11111,0
     11084va (VaSet
     11085vasetType 3
     11086)
     11087xt "108000,112000,111000,112000"
     11088pts [
     11089"108000,112000"
     11090"111000,112000"
     11091]
     11092)
     11093start &155
     11094end &254
     11095sat 2
     11096eat 32
     11097st 0
     11098sf 1
     11099si 0
     11100tg (WTG
     11101uid 11114,0
     11102ps "ConnStartEndStrategy"
     11103stg "STSignalDisplayStrategy"
     11104f (Text
     11105uid 11115,0
     11106va (VaSet
     11107isHidden 1
     11108)
     11109xt "110000,111000,115700,112000"
     11110st "RS485_C_DO"
     11111blo "110000,111800"
     11112tm "WireNameMgr"
     11113)
     11114)
     11115on &255
     11116)
     11117*352 (Wire
     11118uid 11514,0
     11119shape (OrthoPolyLine
     11120uid 11515,0
     11121va (VaSet
     11122vasetType 3
     11123)
     11124xt "123000,116000,127000,116000"
     11125pts [
     11126"123000,116000"
     11127"127000,116000"
     11128]
     11129)
     11130start &256
     11131sat 32
     11132eat 16
     11133st 0
     11134sf 1
     11135si 0
     11136tg (WTG
     11137uid 11518,0
     11138ps "ConnStartEndStrategy"
     11139stg "STSignalDisplayStrategy"
     11140f (Text
     11141uid 11519,0
     11142va (VaSet
     11143isHidden 1
     11144)
     11145xt "125000,115000,130200,116000"
     11146st "RS485_E_DI"
     11147blo "125000,115800"
     11148tm "WireNameMgr"
     11149)
     11150)
     11151on &257
     11152)
     11153*353 (Wire
     11154uid 11528,0
     11155shape (OrthoPolyLine
     11156uid 11529,0
     11157va (VaSet
     11158vasetType 3
     11159)
     11160xt "123000,114000,126000,114000"
     11161pts [
     11162"123000,114000"
     11163"126000,114000"
     11164]
     11165)
     11166end &259
     11167sat 16
     11168eat 32
     11169st 0
     11170sf 1
     11171si 0
     11172tg (WTG
     11173uid 11532,0
     11174ps "ConnStartEndStrategy"
     11175stg "STSignalDisplayStrategy"
     11176f (Text
     11177uid 11533,0
     11178va (VaSet
     11179isHidden 1
     11180)
     11181xt "125000,113000,130600,114000"
     11182st "RS485_E_DO"
     11183blo "125000,113800"
     11184tm "WireNameMgr"
     11185)
     11186)
     11187on &258
     11188)
     11189*354 (Wire
     11190uid 12320,0
     11191shape (OrthoPolyLine
     11192uid 12321,0
     11193va (VaSet
     11194vasetType 3
     11195)
     11196xt "80750,140000,87000,140000"
     11197pts [
     11198"80750,140000"
     11199"87000,140000"
     11200]
     11201)
     11202start &59
     11203end &261
     11204sat 32
     11205eat 32
     11206stc 0
     11207st 0
     11208sf 1
     11209si 0
     11210tg (WTG
     11211uid 12324,0
     11212ps "ConnStartEndStrategy"
     11213stg "STSignalDisplayStrategy"
     11214f (Text
     11215uid 12325,0
     11216va (VaSet
     11217isHidden 1
     11218)
     11219xt "82000,139000,85700,140000"
     11220st "SRIN_out"
     11221blo "82000,139800"
     11222tm "WireNameMgr"
     11223)
     11224)
     11225on &260
    1080211226)
    1080311227]
     
    1081311237color "26368,26368,26368"
    1081411238)
    10815 packageList *340 (PackageList
     11239packageList *355 (PackageList
    1081611240uid 41,0
    1081711241stg "VerticalLayoutStrategy"
    1081811242textVec [
    10819 *341 (Text
     11243*356 (Text
    1082011244uid 42,0
    1082111245va (VaSet
     
    1082611250blo "0,800"
    1082711251)
    10828 *342 (MLText
     11252*357 (MLText
    1082911253uid 43,0
    1083011254va (VaSet
     
    1084711271stg "VerticalLayoutStrategy"
    1084811272textVec [
    10849 *343 (Text
     11273*358 (Text
    1085011274uid 45,0
    1085111275va (VaSet
     
    1085711281blo "20000,800"
    1085811282)
    10859 *344 (Text
     11283*359 (Text
    1086011284uid 46,0
    1086111285va (VaSet
     
    1086711291blo "20000,1800"
    1086811292)
    10869 *345 (MLText
     11293*360 (MLText
    1087011294uid 47,0
    1087111295va (VaSet
     
    1087711301tm "BdCompilerDirectivesTextMgr"
    1087811302)
    10879 *346 (Text
     11303*361 (Text
    1088011304uid 48,0
    1088111305va (VaSet
     
    1088711311blo "20000,4800"
    1088811312)
    10889 *347 (MLText
     11313*362 (MLText
    1089011314uid 49,0
    1089111315va (VaSet
     
    1089511319tm "BdCompilerDirectivesTextMgr"
    1089611320)
    10897 *348 (Text
     11321*363 (Text
    1089811322uid 50,0
    1089911323va (VaSet
     
    1090511329blo "20000,5800"
    1090611330)
    10907 *349 (MLText
     11331*364 (MLText
    1090811332uid 51,0
    1090911333va (VaSet
     
    1091611340associable 1
    1091711341)
    10918 windowSize "1276,-4,2564,1028"
    10919 viewArea "50354,105045,134172,174344"
     11342windowSize "0,0,1281,1002"
     11343viewArea "16140,87720,101020,155960"
    1092011344cachedDiagramExtent "0,0,699000,450107"
    1092111345pageSetupInfo (PageSetupInfo
     
    1093011354hasePageBreakOrigin 1
    1093111355pageBreakOrigin "0,0"
    10932 lastUid 10729,0
     11356lastUid 12333,0
    1093311357defaultCommentText (CommentText
    1093411358shape (Rectangle
     
    1099211416stg "VerticalLayoutStrategy"
    1099311417textVec [
    10994 *350 (Text
     11418*365 (Text
    1099511419va (VaSet
    1099611420font "Arial,8,1"
     
    1100111425tm "BdLibraryNameMgr"
    1100211426)
    11003 *351 (Text
     11427*366 (Text
    1100411428va (VaSet
    1100511429font "Arial,8,1"
     
    1101011434tm "BlkNameMgr"
    1101111435)
    11012 *352 (Text
     11436*367 (Text
    1101311437va (VaSet
    1101411438font "Arial,8,1"
     
    1106111485stg "VerticalLayoutStrategy"
    1106211486textVec [
    11063 *353 (Text
     11487*368 (Text
    1106411488va (VaSet
    1106511489font "Arial,8,1"
     
    1106911493blo "550,4300"
    1107011494)
    11071 *354 (Text
     11495*369 (Text
    1107211496va (VaSet
    1107311497font "Arial,8,1"
     
    1107711501blo "550,5300"
    1107811502)
    11079 *355 (Text
     11503*370 (Text
    1108011504va (VaSet
    1108111505font "Arial,8,1"
     
    1112611550stg "VerticalLayoutStrategy"
    1112711551textVec [
    11128 *356 (Text
     11552*371 (Text
    1112911553va (VaSet
    1113011554font "Arial,8,1"
     
    1113511559tm "BdLibraryNameMgr"
    1113611560)
    11137 *357 (Text
     11561*372 (Text
    1113811562va (VaSet
    1113911563font "Arial,8,1"
     
    1114411568tm "CptNameMgr"
    1114511569)
    11146 *358 (Text
     11570*373 (Text
    1114711571va (VaSet
    1114811572font "Arial,8,1"
     
    1119811622stg "VerticalLayoutStrategy"
    1119911623textVec [
    11200 *359 (Text
     11624*374 (Text
    1120111625va (VaSet
    1120211626font "Arial,8,1"
     
    1120611630blo "500,4300"
    1120711631)
    11208 *360 (Text
     11632*375 (Text
    1120911633va (VaSet
    1121011634font "Arial,8,1"
     
    1121411638blo "500,5300"
    1121511639)
    11216 *361 (Text
     11640*376 (Text
    1121711641va (VaSet
    1121811642font "Arial,8,1"
     
    1125911683stg "VerticalLayoutStrategy"
    1126011684textVec [
    11261 *362 (Text
     11685*377 (Text
    1126211686va (VaSet
    1126311687font "Arial,8,1"
     
    1126711691blo "50,4300"
    1126811692)
    11269 *363 (Text
     11693*378 (Text
    1127011694va (VaSet
    1127111695font "Arial,8,1"
     
    1127511699blo "50,5300"
    1127611700)
    11277 *364 (Text
     11701*379 (Text
    1127811702va (VaSet
    1127911703font "Arial,8,1"
     
    1131611740stg "VerticalLayoutStrategy"
    1131711741textVec [
    11318 *365 (Text
     11742*380 (Text
    1131911743va (VaSet
    1132011744font "Arial,8,1"
     
    1132511749tm "HdlTextNameMgr"
    1132611750)
    11327 *366 (Text
     11751*381 (Text
    1132811752va (VaSet
    1132911753font "Arial,8,1"
     
    1172812152stg "VerticalLayoutStrategy"
    1172912153textVec [
    11730 *367 (Text
     12154*382 (Text
    1173112155va (VaSet
    1173212156font "Arial,8,1"
     
    1173612160blo "14100,20800"
    1173712161)
    11738 *368 (MLText
     12162*383 (MLText
    1173912163va (VaSet
    1174012164)
     
    1178812212stg "VerticalLayoutStrategy"
    1178912213textVec [
    11790 *369 (Text
     12214*384 (Text
    1179112215va (VaSet
    1179212216font "Arial,8,1"
     
    1179612220blo "14100,20800"
    1179712221)
    11798 *370 (MLText
     12222*385 (MLText
    1179912223va (VaSet
    1180012224)
     
    1191412338font "Arial,8,1"
    1191512339)
    11916 xt "37000,43000,44100,44000"
     12340xt "37000,47000,44100,48000"
    1191712341st "Diagram Signals:"
    11918 blo "37000,43800"
     12342blo "37000,47800"
    1191912343)
    1192012344postUserLabel (Text
     
    1194012364commonDM (CommonDM
    1194112365ldm (LogicalDM
    11942 suid 196,0
     12366suid 202,0
    1194312367usingSuid 1
    11944 emptyRow *371 (LEmptyRow
     12368emptyRow *386 (LEmptyRow
    1194512369)
    1194612370uid 54,0
    1194712371optionalChildren [
    11948 *372 (RefLabelRowHdr
    11949 )
    11950 *373 (TitleRowHdr
    11951 )
    11952 *374 (FilterRowHdr
    11953 )
    11954 *375 (RefLabelColHdr
     12372*387 (RefLabelRowHdr
     12373)
     12374*388 (TitleRowHdr
     12375)
     12376*389 (FilterRowHdr
     12377)
     12378*390 (RefLabelColHdr
    1195512379tm "RefLabelColHdrMgr"
    1195612380)
    11957 *376 (RowExpandColHdr
     12381*391 (RowExpandColHdr
    1195812382tm "RowExpandColHdrMgr"
    1195912383)
    11960 *377 (GroupColHdr
     12384*392 (GroupColHdr
    1196112385tm "GroupColHdrMgr"
    1196212386)
    11963 *378 (NameColHdr
     12387*393 (NameColHdr
    1196412388tm "BlockDiagramNameColHdrMgr"
    1196512389)
    11966 *379 (ModeColHdr
     12390*394 (ModeColHdr
    1196712391tm "BlockDiagramModeColHdrMgr"
    1196812392)
    11969 *380 (TypeColHdr
     12393*395 (TypeColHdr
    1197012394tm "BlockDiagramTypeColHdrMgr"
    1197112395)
    11972 *381 (BoundsColHdr
     12396*396 (BoundsColHdr
    1197312397tm "BlockDiagramBoundsColHdrMgr"
    1197412398)
    11975 *382 (InitColHdr
     12399*397 (InitColHdr
    1197612400tm "BlockDiagramInitColHdrMgr"
    1197712401)
    11978 *383 (EolColHdr
     12402*398 (EolColHdr
    1197912403tm "BlockDiagramEolColHdrMgr"
    1198012404)
    11981 *384 (LeafLogPort
     12405*399 (LeafLogPort
    1198212406port (LogicalPort
    1198312407m 4
     
    1198812412preAdd 0
    1198912413posAdd 0
    11990 o 55
     12414o 69
    1199112415suid 5,0
    1199212416)
     
    1199412418uid 327,0
    1199512419)
    11996 *385 (LeafLogPort
     12420*400 (LeafLogPort
    1199712421port (LogicalPort
    1199812422m 4
     
    1200112425t "std_logic_vector"
    1200212426b "(1 downto 0)"
    12003 o 56
     12427o 70
    1200412428suid 6,0
    1200512429)
     
    1200712431uid 329,0
    1200812432)
    12009 *386 (LeafLogPort
     12433*401 (LeafLogPort
    1201012434port (LogicalPort
    1201112435m 4
     
    1201312437n "adc_data_array"
    1201412438t "adc_data_array_type"
    12015 o 54
     12439o 68
    1201612440suid 29,0
    1201712441)
     
    1201912443uid 1491,0
    1202012444)
    12021 *387 (LeafLogPort
     12445*402 (LeafLogPort
    1202212446port (LogicalPort
    1202312447m 1
     
    1202512449n "RSRLOAD"
    1202612450t "std_logic"
    12027 o 35
     12451o 37
    1202812452suid 57,0
    1202912453i "'0'"
     
    1203212456uid 2435,0
    1203312457)
    12034 *388 (LeafLogPort
     12458*403 (LeafLogPort
    1203512459port (LogicalPort
    1203612460m 4
     
    1203812462n "SRCLK"
    1203912463t "std_logic"
    12040 o 52
     12464o 66
    1204112465suid 58,0
    1204212466i "'0'"
     
    1204512469uid 2437,0
    1204612470)
    12047 *389 (LeafLogPort
     12471*404 (LeafLogPort
    1204812472port (LogicalPort
    1204912473m 4
     
    1205212476t "std_logic_vector"
    1205312477b "(3 DOWNTO 0)"
    12054 o 59
     12478o 74
    1205512479suid 65,0
    1205612480)
     
    1205812482uid 3037,0
    1205912483)
    12060 *390 (LeafLogPort
     12484*405 (LeafLogPort
    1206112485port (LogicalPort
    1206212486m 1
     
    1206412488n "DAC_CS"
    1206512489t "std_logic"
    12066 o 21
     12490o 22
    1206712491suid 66,0
    1206812492)
     
    1207012494uid 3039,0
    1207112495)
    12072 *391 (LeafLogPort
     12496*406 (LeafLogPort
    1207312497port (LogicalPort
    1207412498decl (Decl
     
    1208312507uid 3276,0
    1208412508)
    12085 *392 (LeafLogPort
     12509*407 (LeafLogPort
    1208612510port (LogicalPort
    1208712511decl (Decl
     
    1209412518uid 3278,0
    1209512519)
    12096 *393 (LeafLogPort
     12520*408 (LeafLogPort
    1209712521port (LogicalPort
    1209812522m 1
     
    1210112525t "std_logic_vector"
    1210212526b "(3 downto 0)"
    12103 o 16
     12527o 17
    1210412528suid 71,0
    1210512529)
     
    1210712531uid 3280,0
    1210812532)
    12109 *394 (LeafLogPort
     12533*409 (LeafLogPort
    1211012534port (LogicalPort
    1211112535m 4
     
    1211312537n "CLK_25_PS"
    1211412538t "std_logic"
    12115 o 50
     12539o 55
    1211612540suid 72,0
    1211712541)
     
    1211912543uid 3282,0
    1212012544)
    12121 *395 (LeafLogPort
     12545*410 (LeafLogPort
    1212212546port (LogicalPort
    1212312547m 1
     
    1212712551preAdd 0
    1212812552posAdd 0
    12129 o 30
     12553o 31
    1213012554suid 73,0
    1213112555)
     
    1213312557uid 3382,0
    1213412558)
    12135 *396 (LeafLogPort
     12559*411 (LeafLogPort
    1213612560port (LogicalPort
    1213712561decl (Decl
     
    1214512569uid 3384,0
    1214612570)
    12147 *397 (LeafLogPort
     12571*412 (LeafLogPort
    1214812572port (LogicalPort
    1214912573decl (Decl
     
    1215712581uid 3386,0
    1215812582)
    12159 *398 (LeafLogPort
     12583*413 (LeafLogPort
    1216012584port (LogicalPort
    1216112585decl (Decl
     
    1216912593uid 3388,0
    1217012594)
    12171 *399 (LeafLogPort
     12595*414 (LeafLogPort
    1217212596port (LogicalPort
    1217312597decl (Decl
     
    1218112605uid 3390,0
    1218212606)
    12183 *400 (LeafLogPort
     12607*415 (LeafLogPort
    1218412608port (LogicalPort
    1218512609decl (Decl
     
    1219312617uid 3392,0
    1219412618)
    12195 *401 (LeafLogPort
     12619*416 (LeafLogPort
    1219612620port (LogicalPort
    1219712621m 1
     
    1219912623n "D0_SRCLK"
    1220012624t "STD_LOGIC"
    12201 o 17
     12625o 18
    1220212626suid 87,0
    1220312627)
     
    1220512629uid 3468,0
    1220612630)
    12207 *402 (LeafLogPort
     12631*417 (LeafLogPort
    1220812632port (LogicalPort
    1220912633m 1
     
    1221112635n "D1_SRCLK"
    1221212636t "STD_LOGIC"
    12213 o 18
     12637o 19
    1221412638suid 88,0
    1221512639)
     
    1221712641uid 3470,0
    1221812642)
    12219 *403 (LeafLogPort
     12643*418 (LeafLogPort
    1222012644port (LogicalPort
    1222112645m 1
     
    1222312647n "D2_SRCLK"
    1222412648t "STD_LOGIC"
    12225 o 19
     12649o 20
    1222612650suid 89,0
    1222712651)
     
    1222912653uid 3472,0
    1223012654)
    12231 *404 (LeafLogPort
     12655*419 (LeafLogPort
    1223212656port (LogicalPort
    1223312657m 1
     
    1223512659n "D3_SRCLK"
    1223612660t "STD_LOGIC"
    12237 o 20
     12661o 21
    1223812662suid 90,0
    1223912663)
     
    1224112665uid 3474,0
    1224212666)
    12243 *405 (LeafLogPort
     12667*420 (LeafLogPort
    1224412668port (LogicalPort
    1224512669decl (Decl
     
    1225212676uid 3524,0
    1225312677)
    12254 *406 (LeafLogPort
     12678*421 (LeafLogPort
    1225512679port (LogicalPort
    1225612680decl (Decl
     
    1226312687uid 3526,0
    1226412688)
    12265 *407 (LeafLogPort
     12689*422 (LeafLogPort
    1226612690port (LogicalPort
    1226712691decl (Decl
     
    1227412698uid 3528,0
    1227512699)
    12276 *408 (LeafLogPort
     12700*423 (LeafLogPort
    1227712701port (LogicalPort
    1227812702decl (Decl
     
    1228512709uid 3530,0
    1228612710)
    12287 *409 (LeafLogPort
     12711*424 (LeafLogPort
    1228812712port (LogicalPort
    1228912713m 1
     
    1229212716t "std_logic_vector"
    1229312717b "(3 DOWNTO 0)"
    12294 o 24
     12718o 25
    1229512719suid 95,0
    1229612720i "(others => '0')"
     
    1229912723uid 3532,0
    1230012724)
    12301 *410 (LeafLogPort
     12725*425 (LeafLogPort
    1230212726port (LogicalPort
    1230312727m 1
     
    1230512729n "DWRITE"
    1230612730t "std_logic"
    12307 o 23
     12731o 24
    1230812732suid 96,0
    1230912733i "'0'"
     
    1231212736uid 3534,0
    1231312737)
    12314 *411 (LeafLogPort
     12738*426 (LeafLogPort
    1231512739port (LogicalPort
    1231612740m 1
     
    1231812742n "T0_CS"
    1231912743t "std_logic"
    12320 o 38
     12744o 40
    1232112745suid 101,0
    1232212746)
     
    1232412748uid 3646,0
    1232512749)
    12326 *412 (LeafLogPort
     12750*427 (LeafLogPort
    1232712751port (LogicalPort
    1232812752m 1
     
    1233012754n "T1_CS"
    1233112755t "std_logic"
    12332 o 39
     12756o 41
    1233312757suid 102,0
    1233412758)
     
    1233612760uid 3648,0
    1233712761)
    12338 *413 (LeafLogPort
     12762*428 (LeafLogPort
    1233912763port (LogicalPort
    1234012764m 1
     
    1234212766n "T2_CS"
    1234312767t "std_logic"
    12344 o 40
     12768o 42
    1234512769suid 103,0
    1234612770)
     
    1234812772uid 3650,0
    1234912773)
    12350 *414 (LeafLogPort
     12774*429 (LeafLogPort
    1235112775port (LogicalPort
    1235212776m 1
     
    1235412778n "T3_CS"
    1235512779t "std_logic"
    12356 o 41
     12780o 43
    1235712781suid 104,0
    1235812782)
     
    1236012784uid 3652,0
    1236112785)
    12362 *415 (LeafLogPort
     12786*430 (LeafLogPort
    1236312787port (LogicalPort
    1236412788m 1
     
    1236612790n "S_CLK"
    1236712791t "std_logic"
    12368 o 37
     12792o 39
    1236912793suid 105,0
    1237012794)
     
    1237212796uid 3654,0
    1237312797)
    12374 *416 (LeafLogPort
     12798*431 (LeafLogPort
    1237512799port (LogicalPort
    1237612800m 1
     
    1237912803t "std_logic_vector"
    1238012804b "(9 DOWNTO 0)"
    12381 o 43
     12805o 45
    1238212806suid 106,0
    1238312807)
     
    1238512809uid 3656,0
    1238612810)
    12387 *417 (LeafLogPort
     12811*432 (LeafLogPort
    1238812812port (LogicalPort
    1238912813m 2
     
    1239212816t "std_logic_vector"
    1239312817b "(15 DOWNTO 0)"
    12394 o 49
     12818o 51
    1239512819suid 107,0
    1239612820)
    1239712821)
    1239812822uid 3658,0
    12399 )
    12400 *418 (LeafLogPort
    12401 port (LogicalPort
    12402 m 1
    12403 decl (Decl
    12404 n "W_RES"
    12405 t "std_logic"
    12406 o 46
    12407 suid 108,0
    12408 i "'1'"
    12409 )
    12410 )
    12411 uid 3660,0
    12412 )
    12413 *419 (LeafLogPort
    12414 port (LogicalPort
    12415 m 1
    12416 decl (Decl
    12417 n "W_RD"
    12418 t "std_logic"
    12419 o 45
    12420 suid 109,0
    12421 i "'1'"
    12422 )
    12423 )
    12424 uid 3662,0
    12425 )
    12426 *420 (LeafLogPort
    12427 port (LogicalPort
    12428 m 1
    12429 decl (Decl
    12430 n "W_WR"
    12431 t "std_logic"
    12432 o 47
    12433 suid 110,0
    12434 i "'1'"
    12435 )
    12436 )
    12437 uid 3664,0
    12438 )
    12439 *421 (LeafLogPort
    12440 port (LogicalPort
    12441 decl (Decl
    12442 n "W_INT"
    12443 t "std_logic"
    12444 o 13
    12445 suid 111,0
    12446 )
    12447 )
    12448 uid 3666,0
    12449 )
    12450 *422 (LeafLogPort
    12451 port (LogicalPort
    12452 m 1
    12453 decl (Decl
    12454 n "W_CS"
    12455 t "std_logic"
    12456 o 44
    12457 suid 112,0
    12458 i "'1'"
    12459 )
    12460 )
    12461 uid 3668,0
    12462 )
    12463 *423 (LeafLogPort
    12464 port (LogicalPort
    12465 m 1
    12466 decl (Decl
    12467 n "MOSI"
    12468 t "std_logic"
    12469 o 29
    12470 suid 113,0
    12471 i "'0'"
    12472 )
    12473 )
    12474 uid 3696,0
    12475 )
    12476 *424 (LeafLogPort
    12477 port (LogicalPort
    12478 m 2
    12479 decl (Decl
    12480 n "MISO"
    12481 t "std_logic"
    12482 preAdd 0
    12483 posAdd 0
    12484 o 48
    12485 suid 114,0
    12486 )
    12487 )
    12488 uid 3698,0
    12489 )
    12490 *425 (LeafLogPort
    12491 port (LogicalPort
    12492 m 1
    12493 decl (Decl
    12494 n "TRG_V"
    12495 t "std_logic"
    12496 o 42
    12497 suid 126,0
    12498 )
    12499 )
    12500 uid 3886,0
    12501 )
    12502 *426 (LeafLogPort
    12503 port (LogicalPort
    12504 m 1
    12505 decl (Decl
    12506 n "RS485_C_RE"
    12507 t "std_logic"
    12508 o 32
    12509 suid 127,0
    12510 )
    12511 )
    12512 uid 3888,0
    12513 )
    12514 *427 (LeafLogPort
    12515 port (LogicalPort
    12516 m 1
    12517 decl (Decl
    12518 n "RS485_C_DE"
    12519 t "std_logic"
    12520 o 31
    12521 suid 128,0
    12522 )
    12523 )
    12524 uid 3890,0
    12525 )
    12526 *428 (LeafLogPort
    12527 port (LogicalPort
    12528 m 1
    12529 decl (Decl
    12530 n "RS485_E_RE"
    12531 t "std_logic"
    12532 o 34
    12533 suid 129,0
    12534 )
    12535 )
    12536 uid 3892,0
    12537 )
    12538 *429 (LeafLogPort
    12539 port (LogicalPort
    12540 m 1
    12541 decl (Decl
    12542 n "RS485_E_DE"
    12543 t "std_logic"
    12544 o 33
    12545 suid 130,0
    12546 )
    12547 )
    12548 uid 3894,0
    12549 )
    12550 *430 (LeafLogPort
    12551 port (LogicalPort
    12552 m 1
    12553 decl (Decl
    12554 n "DENABLE"
    12555 t "std_logic"
    12556 o 22
    12557 suid 131,0
    12558 i "'0'"
    12559 )
    12560 )
    12561 uid 3896,0
    12562 )
    12563 *431 (LeafLogPort
    12564 port (LogicalPort
    12565 m 1
    12566 decl (Decl
    12567 n "SRIN"
    12568 t "std_logic"
    12569 o 36
    12570 suid 132,0
    12571 )
    12572 )
    12573 uid 3898,0
    12574 )
    12575 *432 (LeafLogPort
    12576 port (LogicalPort
    12577 m 1
    12578 decl (Decl
    12579 n "EE_CS"
    12580 t "std_logic"
    12581 o 27
    12582 suid 133,0
    12583 )
    12584 )
    12585 uid 3900,0
    1258612823)
    1258712824*433 (LeafLogPort
     
    1258912826m 1
    1259012827decl (Decl
     12828n "W_RES"
     12829t "std_logic"
     12830o 48
     12831suid 108,0
     12832i "'1'"
     12833)
     12834)
     12835uid 3660,0
     12836)
     12837*434 (LeafLogPort
     12838port (LogicalPort
     12839m 1
     12840decl (Decl
     12841n "W_RD"
     12842t "std_logic"
     12843o 47
     12844suid 109,0
     12845i "'1'"
     12846)
     12847)
     12848uid 3662,0
     12849)
     12850*435 (LeafLogPort
     12851port (LogicalPort
     12852m 1
     12853decl (Decl
     12854n "W_WR"
     12855t "std_logic"
     12856o 49
     12857suid 110,0
     12858i "'1'"
     12859)
     12860)
     12861uid 3664,0
     12862)
     12863*436 (LeafLogPort
     12864port (LogicalPort
     12865decl (Decl
     12866n "W_INT"
     12867t "std_logic"
     12868o 13
     12869suid 111,0
     12870)
     12871)
     12872uid 3666,0
     12873)
     12874*437 (LeafLogPort
     12875port (LogicalPort
     12876m 1
     12877decl (Decl
     12878n "W_CS"
     12879t "std_logic"
     12880o 46
     12881suid 112,0
     12882i "'1'"
     12883)
     12884)
     12885uid 3668,0
     12886)
     12887*438 (LeafLogPort
     12888port (LogicalPort
     12889m 1
     12890decl (Decl
     12891n "MOSI"
     12892t "std_logic"
     12893o 30
     12894suid 113,0
     12895i "'0'"
     12896)
     12897)
     12898uid 3696,0
     12899)
     12900*439 (LeafLogPort
     12901port (LogicalPort
     12902m 2
     12903decl (Decl
     12904n "MISO"
     12905t "std_logic"
     12906preAdd 0
     12907posAdd 0
     12908o 50
     12909suid 114,0
     12910)
     12911)
     12912uid 3698,0
     12913)
     12914*440 (LeafLogPort
     12915port (LogicalPort
     12916m 1
     12917decl (Decl
     12918n "TRG_V"
     12919t "std_logic"
     12920o 44
     12921suid 126,0
     12922)
     12923)
     12924uid 3886,0
     12925)
     12926*441 (LeafLogPort
     12927port (LogicalPort
     12928m 1
     12929decl (Decl
     12930n "RS485_C_RE"
     12931t "std_logic"
     12932o 34
     12933suid 127,0
     12934)
     12935)
     12936uid 3888,0
     12937)
     12938*442 (LeafLogPort
     12939port (LogicalPort
     12940m 1
     12941decl (Decl
     12942n "RS485_C_DE"
     12943t "std_logic"
     12944o 32
     12945suid 128,0
     12946)
     12947)
     12948uid 3890,0
     12949)
     12950*443 (LeafLogPort
     12951port (LogicalPort
     12952m 1
     12953decl (Decl
     12954n "RS485_E_RE"
     12955t "std_logic"
     12956o 36
     12957suid 129,0
     12958)
     12959)
     12960uid 3892,0
     12961)
     12962*444 (LeafLogPort
     12963port (LogicalPort
     12964m 1
     12965decl (Decl
     12966n "RS485_E_DE"
     12967t "std_logic"
     12968o 35
     12969suid 130,0
     12970)
     12971)
     12972uid 3894,0
     12973)
     12974*445 (LeafLogPort
     12975port (LogicalPort
     12976m 1
     12977decl (Decl
     12978n "DENABLE"
     12979t "std_logic"
     12980o 23
     12981suid 131,0
     12982i "'0'"
     12983)
     12984)
     12985uid 3896,0
     12986)
     12987*446 (LeafLogPort
     12988port (LogicalPort
     12989m 1
     12990decl (Decl
     12991n "SRIN"
     12992t "std_logic"
     12993o 38
     12994suid 132,0
     12995)
     12996)
     12997uid 3898,0
     12998)
     12999*447 (LeafLogPort
     13000port (LogicalPort
     13001m 1
     13002decl (Decl
     13003n "EE_CS"
     13004t "std_logic"
     13005o 28
     13006suid 133,0
     13007)
     13008)
     13009uid 3900,0
     13010)
     13011*448 (LeafLogPort
     13012port (LogicalPort
     13013m 1
     13014decl (Decl
    1259113015n "LED"
    1259213016t "std_logic_vector"
    1259313017b "( 2 DOWNTO 0 )"
    12594 o 28
     13018o 29
    1259513019suid 134,0
    1259613020i "(others => '1')"
     
    1259913023uid 3902,0
    1260013024)
    12601 *434 (LeafLogPort
     13025*449 (LeafLogPort
    1260213026port (LogicalPort
    1260313027m 1
     
    1260613030t "std_logic_vector"
    1260713031b "(7 DOWNTO 0)"
    12608 o 25
     13032o 26
    1260913033suid 141,0
    1261013034i "(OTHERS => '0')"
     
    1261313037uid 5322,0
    1261413038)
    12615 *435 (LeafLogPort
     13039*450 (LeafLogPort
    1261613040port (LogicalPort
    1261713041decl (Decl
     
    1262613050scheme 0
    1262713051)
    12628 *436 (LeafLogPort
     13052*451 (LeafLogPort
    1262913053port (LogicalPort
    1263013054m 1
     
    1263313057t "std_logic_vector"
    1263413058b "(3 DOWNTO 0)"
    12635 o 26
     13059o 27
    1263613060suid 154,0
    1263713061i "(others => '0')"
     
    1264113065scheme 0
    1264213066)
    12643 *437 (LeafLogPort
     13067*452 (LeafLogPort
    1264413068port (LogicalPort
    1264513069m 1
     
    1264813072t "std_logic_vector"
    1264913073b "(7 DOWNTO 0)"
    12650 o 15
     13074o 16
    1265113075suid 155,0
    1265213076i "(OTHERS => '0')"
     
    1265613080scheme 0
    1265713081)
    12658 *438 (LeafLogPort
     13082*453 (LeafLogPort
    1265913083port (LogicalPort
    1266013084m 4
     
    1266213086n "dummy"
    1266313087t "std_logic"
    12664 o 58
     13088o 72
    1266513089suid 157,0
    1266613090)
     
    1266913093scheme 0
    1267013094)
    12671 *439 (LeafLogPort
     13095*454 (LeafLogPort
    1267213096port (LogicalPort
    1267313097m 4
     
    1267613100t "std_logic_vector"
    1267713101b "(3 downto 0)"
    12678 o 57
     13102o 71
    1267913103suid 159,0
    1268013104i "(others => '0')"
     
    1268313107uid 8875,0
    1268413108)
    12685 *440 (LeafLogPort
     13109*455 (LeafLogPort
    1268613110port (LogicalPort
    1268713111m 4
     
    1268913113n "CLK_50"
    1269013114t "std_logic"
    12691 o 51
     13115o 57
    1269213116suid 163,0
    1269313117)
     
    1269513119uid 9516,0
    1269613120)
    12697 *441 (LeafLogPort
     13121*456 (LeafLogPort
    1269813122port (LogicalPort
    1269913123m 4
     
    1270113125n "CLK_25_PS1"
    1270213126t "std_logic"
    12703 o 58
     13127o 56
    1270413128suid 164,0
    1270513129)
     
    1270713131uid 10056,0
    1270813132)
    12709 *442 (LeafLogPort
     13133*457 (LeafLogPort
    1271013134port (LogicalPort
    1271113135m 4
     
    1271313137n "adc_clk_en"
    1271413138t "std_logic"
    12715 o 59
     13139o 67
    1271613140suid 165,0
    1271713141i "'0'"
     
    1272013144uid 10058,0
    1272113145)
    12722 *443 (LeafLogPort
     13146*458 (LeafLogPort
    1272313147port (LogicalPort
    1272413148m 1
     
    1272713151t "std_logic_vector"
    1272813152b "(7 DOWNTO 0)"
    12729 o 60
     13153o 15
    1273013154suid 166,0
    1273113155i "(others => '0')"
     
    1273513159scheme 0
    1273613160)
    12737 *444 (LeafLogPort
     13161*459 (LeafLogPort
    1273813162port (LogicalPort
    1273913163m 4
     
    1274113165n "CLK50_OUT"
    1274213166t "std_logic"
    12743 o 61
     13167o 54
    1274413168suid 184,0
    1274513169)
     
    1274713171uid 10704,0
    1274813172)
    12749 *445 (LeafLogPort
     13173*460 (LeafLogPort
    1275013174port (LogicalPort
    1275113175m 4
     
    1275313177n "CLK25_OUT"
    1275413178t "std_logic"
    12755 o 62
     13179o 52
    1275613180suid 185,0
    1275713181)
     
    1275913183uid 10706,0
    1276013184)
    12761 *446 (LeafLogPort
     13185*461 (LeafLogPort
    1276213186port (LogicalPort
    1276313187m 4
     
    1276513189n "CLK25_PSOUT"
    1276613190t "std_logic"
    12767 o 63
     13191o 53
    1276813192suid 186,0
    1276913193)
     
    1277113195uid 10708,0
    1277213196)
    12773 *447 (LeafLogPort
     13197*462 (LeafLogPort
    1277413198port (LogicalPort
    1277513199m 4
     
    1278313207uid 10710,0
    1278413208)
    12785 *448 (LeafLogPort
     13209*463 (LeafLogPort
    1278613210port (LogicalPort
    1278713211m 4
     
    1279513219uid 10712,0
    1279613220)
    12797 *449 (LeafLogPort
     13221*464 (LeafLogPort
    1279813222port (LogicalPort
    1279913223m 4
     
    1280113225n "PSEN_OUT"
    1280213226t "std_logic"
    12803 o 66
     13227o 62
    1280413228suid 189,0
    1280513229)
     
    1280713231uid 10714,0
    1280813232)
    12809 *450 (LeafLogPort
     13233*465 (LeafLogPort
    1281013234port (LogicalPort
    1281113235m 4
     
    1281313237n "PSINCDEC_OUT"
    1281413238t "std_logic"
    12815 o 67
     13239o 63
    1281613240suid 190,0
    1281713241)
     
    1281913243uid 10716,0
    1282013244)
    12821 *451 (LeafLogPort
     13245*466 (LeafLogPort
    1282213246port (LogicalPort
    1282313247m 4
     
    1282713251preAdd 0
    1282813252posAdd 0
    12829 o 68
     13253o 58
    1283013254suid 191,0
    1283113255)
     
    1283313257uid 10718,0
    1283413258)
    12835 *452 (LeafLogPort
     13259*467 (LeafLogPort
    1283613260port (LogicalPort
    1283713261m 4
     
    1284113265preAdd 0
    1284213266posAdd 0
    12843 o 69
     13267o 73
    1284413268suid 192,0
    1284513269i "'0'"
     
    1284813272uid 10720,0
    1284913273)
    12850 *453 (LeafLogPort
     13274*468 (LeafLogPort
    1285113275port (LogicalPort
    1285213276m 4
     
    1285713281preAdd 0
    1285813282posAdd 0
    12859 o 70
     13283o 75
    1286013284suid 193,0
    1286113285i "'0'"
     
    1286413288uid 10722,0
    1286513289)
    12866 *454 (LeafLogPort
     13290*469 (LeafLogPort
    1286713291port (LogicalPort
    1286813292m 4
     
    1287013294n "PSDONE_extraOUT"
    1287113295t "std_logic"
    12872 o 71
     13296o 61
    1287313297suid 194,0
    1287413298)
     
    1287613300uid 10724,0
    1287713301)
    12878 *455 (LeafLogPort
     13302*470 (LeafLogPort
    1287913303port (LogicalPort
    1288013304m 4
     
    1288213306n "PSCLK_OUT"
    1288313307t "std_logic"
    12884 o 72
     13308o 60
    1288513309suid 195,0
    1288613310)
     
    1288813312uid 10726,0
    1288913313)
    12890 *456 (LeafLogPort
     13314*471 (LeafLogPort
    1289113315port (LogicalPort
    1289213316m 4
     
    1289413318n "LOCKED_extraOUT"
    1289513319t "std_logic"
    12896 o 73
     13320o 59
    1289713321suid 196,0
    1289813322)
    1289913323)
    1290013324uid 10728,0
     13325)
     13326*472 (LeafLogPort
     13327port (LogicalPort
     13328decl (Decl
     13329n "RS485_C_DI"
     13330t "std_logic"
     13331o 74
     13332suid 197,0
     13333)
     13334)
     13335uid 11084,0
     13336scheme 0
     13337)
     13338*473 (LeafLogPort
     13339port (LogicalPort
     13340m 1
     13341decl (Decl
     13342n "RS485_C_DO"
     13343t "std_logic"
     13344o 75
     13345suid 198,0
     13346)
     13347)
     13348uid 11086,0
     13349scheme 0
     13350)
     13351*474 (LeafLogPort
     13352port (LogicalPort
     13353decl (Decl
     13354n "RS485_E_DI"
     13355t "std_logic"
     13356o 76
     13357suid 200,0
     13358)
     13359)
     13360uid 11504,0
     13361scheme 0
     13362)
     13363*475 (LeafLogPort
     13364port (LogicalPort
     13365decl (Decl
     13366n "RS485_E_DO"
     13367t "std_logic"
     13368o 77
     13369suid 201,0
     13370)
     13371)
     13372uid 11506,0
     13373scheme 0
     13374)
     13375*476 (LeafLogPort
     13376port (LogicalPort
     13377m 1
     13378decl (Decl
     13379n "SRIN_out"
     13380t "std_logic"
     13381o 78
     13382suid 202,0
     13383i "'0'"
     13384)
     13385)
     13386uid 12332,0
    1290113387)
    1290213388]
     
    1290713393uid 67,0
    1290813394optionalChildren [
    12909 *457 (Sheet
     13395*477 (Sheet
    1291013396sheetRow (SheetRow
    1291113397headerVa (MVa
     
    1292413410font "Tahoma,10,0"
    1292513411)
    12926 emptyMRCItem *458 (MRCItem
    12927 litem &371
    12928 pos 73
     13412emptyMRCItem *478 (MRCItem
     13413litem &386
     13414pos 78
    1292913415dimension 20
    1293013416)
    1293113417uid 69,0
    1293213418optionalChildren [
    12933 *459 (MRCItem
    12934 litem &372
     13419*479 (MRCItem
     13420litem &387
    1293513421pos 0
    1293613422dimension 20
    1293713423uid 70,0
    1293813424)
    12939 *460 (MRCItem
    12940 litem &373
     13425*480 (MRCItem
     13426litem &388
    1294113427pos 1
    1294213428dimension 23
    1294313429uid 71,0
    1294413430)
    12945 *461 (MRCItem
    12946 litem &374
     13431*481 (MRCItem
     13432litem &389
    1294713433pos 2
    1294813434hidden 1
     
    1295013436uid 72,0
    1295113437)
    12952 *462 (MRCItem
    12953 litem &384
     13438*482 (MRCItem
     13439litem &399
    1295413440pos 48
    1295513441dimension 20
    1295613442uid 328,0
    1295713443)
    12958 *463 (MRCItem
    12959 litem &385
     13444*483 (MRCItem
     13445litem &400
    1296013446pos 49
    1296113447dimension 20
    1296213448uid 330,0
    1296313449)
    12964 *464 (MRCItem
    12965 litem &386
     13450*484 (MRCItem
     13451litem &401
    1296613452pos 50
    1296713453dimension 20
    1296813454uid 1492,0
    1296913455)
    12970 *465 (MRCItem
    12971 litem &387
     13456*485 (MRCItem
     13457litem &402
    1297213458pos 0
    1297313459dimension 20
    1297413460uid 2436,0
    1297513461)
    12976 *466 (MRCItem
    12977 litem &388
     13462*486 (MRCItem
     13463litem &403
    1297813464pos 51
    1297913465dimension 20
    1298013466uid 2438,0
    1298113467)
    12982 *467 (MRCItem
    12983 litem &389
     13468*487 (MRCItem
     13469litem &404
    1298413470pos 52
    1298513471dimension 20
    1298613472uid 3038,0
    1298713473)
    12988 *468 (MRCItem
    12989 litem &390
     13474*488 (MRCItem
     13475litem &405
    1299013476pos 1
    1299113477dimension 20
    1299213478uid 3040,0
    1299313479)
    12994 *469 (MRCItem
    12995 litem &391
     13480*489 (MRCItem
     13481litem &406
    1299613482pos 2
    1299713483dimension 20
    1299813484uid 3277,0
    1299913485)
    13000 *470 (MRCItem
    13001 litem &392
     13486*490 (MRCItem
     13487litem &407
    1300213488pos 3
    1300313489dimension 20
    1300413490uid 3279,0
    1300513491)
    13006 *471 (MRCItem
    13007 litem &393
     13492*491 (MRCItem
     13493litem &408
    1300813494pos 4
    1300913495dimension 20
    1301013496uid 3281,0
    1301113497)
    13012 *472 (MRCItem
    13013 litem &394
     13498*492 (MRCItem
     13499litem &409
    1301413500pos 53
    1301513501dimension 20
    1301613502uid 3283,0
    1301713503)
    13018 *473 (MRCItem
    13019 litem &395
     13504*493 (MRCItem
     13505litem &410
    1302013506pos 5
    1302113507dimension 20
    1302213508uid 3383,0
    1302313509)
    13024 *474 (MRCItem
    13025 litem &396
     13510*494 (MRCItem
     13511litem &411
    1302613512pos 6
    1302713513dimension 20
    1302813514uid 3385,0
    1302913515)
    13030 *475 (MRCItem
    13031 litem &397
     13516*495 (MRCItem
     13517litem &412
    1303213518pos 7
    1303313519dimension 20
    1303413520uid 3387,0
    1303513521)
    13036 *476 (MRCItem
    13037 litem &398
     13522*496 (MRCItem
     13523litem &413
    1303813524pos 8
    1303913525dimension 20
    1304013526uid 3389,0
    1304113527)
    13042 *477 (MRCItem
    13043 litem &399
     13528*497 (MRCItem
     13529litem &414
    1304413530pos 9
    1304513531dimension 20
    1304613532uid 3391,0
    1304713533)
    13048 *478 (MRCItem
    13049 litem &400
     13534*498 (MRCItem
     13535litem &415
    1305013536pos 10
    1305113537dimension 20
    1305213538uid 3393,0
    1305313539)
    13054 *479 (MRCItem
    13055 litem &401
     13540*499 (MRCItem
     13541litem &416
    1305613542pos 11
    1305713543dimension 20
    1305813544uid 3469,0
    1305913545)
    13060 *480 (MRCItem
    13061 litem &402
     13546*500 (MRCItem
     13547litem &417
    1306213548pos 12
    1306313549dimension 20
    1306413550uid 3471,0
    1306513551)
    13066 *481 (MRCItem
    13067 litem &403
     13552*501 (MRCItem
     13553litem &418
    1306813554pos 13
    1306913555dimension 20
    1307013556uid 3473,0
    1307113557)
    13072 *482 (MRCItem
    13073 litem &404
     13558*502 (MRCItem
     13559litem &419
    1307413560pos 14
    1307513561dimension 20
    1307613562uid 3475,0
    1307713563)
    13078 *483 (MRCItem
    13079 litem &405
     13564*503 (MRCItem
     13565litem &420
    1308013566pos 15
    1308113567dimension 20
    1308213568uid 3525,0
    1308313569)
    13084 *484 (MRCItem
    13085 litem &406
     13570*504 (MRCItem
     13571litem &421
    1308613572pos 16
    1308713573dimension 20
    1308813574uid 3527,0
    1308913575)
    13090 *485 (MRCItem
    13091 litem &407
     13576*505 (MRCItem
     13577litem &422
    1309213578pos 17
    1309313579dimension 20
    1309413580uid 3529,0
    1309513581)
    13096 *486 (MRCItem
    13097 litem &408
     13582*506 (MRCItem
     13583litem &423
    1309813584pos 18
    1309913585dimension 20
    1310013586uid 3531,0
    1310113587)
    13102 *487 (MRCItem
    13103 litem &409
     13588*507 (MRCItem
     13589litem &424
    1310413590pos 19
    1310513591dimension 20
    1310613592uid 3533,0
    1310713593)
    13108 *488 (MRCItem
    13109 litem &410
     13594*508 (MRCItem
     13595litem &425
    1311013596pos 20
    1311113597dimension 20
    1311213598uid 3535,0
    1311313599)
    13114 *489 (MRCItem
    13115 litem &411
     13600*509 (MRCItem
     13601litem &426
    1311613602pos 21
    1311713603dimension 20
    1311813604uid 3647,0
    1311913605)
    13120 *490 (MRCItem
    13121 litem &412
     13606*510 (MRCItem
     13607litem &427
    1312213608pos 22
    1312313609dimension 20
    1312413610uid 3649,0
    1312513611)
    13126 *491 (MRCItem
    13127 litem &413
     13612*511 (MRCItem
     13613litem &428
    1312813614pos 23
    1312913615dimension 20
    1313013616uid 3651,0
    1313113617)
    13132 *492 (MRCItem
    13133 litem &414
     13618*512 (MRCItem
     13619litem &429
    1313413620pos 24
    1313513621dimension 20
    1313613622uid 3653,0
    1313713623)
    13138 *493 (MRCItem
    13139 litem &415
     13624*513 (MRCItem
     13625litem &430
    1314013626pos 25
    1314113627dimension 20
    1314213628uid 3655,0
    1314313629)
    13144 *494 (MRCItem
    13145 litem &416
     13630*514 (MRCItem
     13631litem &431
    1314613632pos 26
    1314713633dimension 20
    1314813634uid 3657,0
    1314913635)
    13150 *495 (MRCItem
    13151 litem &417
     13636*515 (MRCItem
     13637litem &432
    1315213638pos 27
    1315313639dimension 20
    1315413640uid 3659,0
    1315513641)
    13156 *496 (MRCItem
    13157 litem &418
     13642*516 (MRCItem
     13643litem &433
    1315813644pos 28
    1315913645dimension 20
    1316013646uid 3661,0
    1316113647)
    13162 *497 (MRCItem
    13163 litem &419
     13648*517 (MRCItem
     13649litem &434
    1316413650pos 29
    1316513651dimension 20
    1316613652uid 3663,0
    1316713653)
    13168 *498 (MRCItem
    13169 litem &420
     13654*518 (MRCItem
     13655litem &435
    1317013656pos 30
    1317113657dimension 20
    1317213658uid 3665,0
    1317313659)
    13174 *499 (MRCItem
    13175 litem &421
     13660*519 (MRCItem
     13661litem &436
    1317613662pos 31
    1317713663dimension 20
    1317813664uid 3667,0
    1317913665)
    13180 *500 (MRCItem
    13181 litem &422
     13666*520 (MRCItem
     13667litem &437
    1318213668pos 32
    1318313669dimension 20
    1318413670uid 3669,0
    1318513671)
    13186 *501 (MRCItem
    13187 litem &423
     13672*521 (MRCItem
     13673litem &438
    1318813674pos 33
    1318913675dimension 20
    1319013676uid 3697,0
    1319113677)
    13192 *502 (MRCItem
    13193 litem &424
     13678*522 (MRCItem
     13679litem &439
    1319413680pos 34
    1319513681dimension 20
    1319613682uid 3699,0
    1319713683)
    13198 *503 (MRCItem
    13199 litem &425
     13684*523 (MRCItem
     13685litem &440
    1320013686pos 35
    1320113687dimension 20
    1320213688uid 3887,0
    1320313689)
    13204 *504 (MRCItem
    13205 litem &426
     13690*524 (MRCItem
     13691litem &441
    1320613692pos 36
    1320713693dimension 20
    1320813694uid 3889,0
    1320913695)
    13210 *505 (MRCItem
    13211 litem &427
     13696*525 (MRCItem
     13697litem &442
    1321213698pos 37
    1321313699dimension 20
    1321413700uid 3891,0
    1321513701)
    13216 *506 (MRCItem
    13217 litem &428
     13702*526 (MRCItem
     13703litem &443
    1321813704pos 38
    1321913705dimension 20
    1322013706uid 3893,0
    1322113707)
    13222 *507 (MRCItem
    13223 litem &429
     13708*527 (MRCItem
     13709litem &444
    1322413710pos 39
    1322513711dimension 20
    1322613712uid 3895,0
    1322713713)
    13228 *508 (MRCItem
    13229 litem &430
     13714*528 (MRCItem
     13715litem &445
    1323013716pos 40
    1323113717dimension 20
    1323213718uid 3897,0
    1323313719)
    13234 *509 (MRCItem
    13235 litem &431
     13720*529 (MRCItem
     13721litem &446
    1323613722pos 41
    1323713723dimension 20
    1323813724uid 3899,0
    1323913725)
    13240 *510 (MRCItem
    13241 litem &432
     13726*530 (MRCItem
     13727litem &447
    1324213728pos 42
    1324313729dimension 20
    1324413730uid 3901,0
    1324513731)
    13246 *511 (MRCItem
    13247 litem &433
     13732*531 (MRCItem
     13733litem &448
    1324813734pos 43
    1324913735dimension 20
    1325013736uid 3903,0
    1325113737)
    13252 *512 (MRCItem
    13253 litem &434
     13738*532 (MRCItem
     13739litem &449
    1325413740pos 44
    1325513741dimension 20
    1325613742uid 5323,0
    1325713743)
    13258 *513 (MRCItem
    13259 litem &435
     13744*533 (MRCItem
     13745litem &450
    1326013746pos 45
    1326113747dimension 20
    1326213748uid 6778,0
    1326313749)
    13264 *514 (MRCItem
    13265 litem &436
     13750*534 (MRCItem
     13751litem &451
    1326613752pos 46
    1326713753dimension 20
    1326813754uid 6873,0
    1326913755)
    13270 *515 (MRCItem
    13271 litem &437
     13756*535 (MRCItem
     13757litem &452
    1327213758pos 47
    1327313759dimension 20
    1327413760uid 7135,0
    1327513761)
    13276 *516 (MRCItem
    13277 litem &438
     13762*536 (MRCItem
     13763litem &453
    1327813764pos 54
    1327913765dimension 20
    1328013766uid 7474,0
    1328113767)
    13282 *517 (MRCItem
    13283 litem &439
     13768*537 (MRCItem
     13769litem &454
    1328413770pos 55
    1328513771dimension 20
    1328613772uid 8876,0
    1328713773)
    13288 *518 (MRCItem
    13289 litem &440
     13774*538 (MRCItem
     13775litem &455
    1329013776pos 56
    1329113777dimension 20
    1329213778uid 9517,0
    1329313779)
    13294 *519 (MRCItem
    13295 litem &441
     13780*539 (MRCItem
     13781litem &456
    1329613782pos 57
    1329713783dimension 20
    1329813784uid 10057,0
    1329913785)
    13300 *520 (MRCItem
    13301 litem &442
     13786*540 (MRCItem
     13787litem &457
    1330213788pos 58
    1330313789dimension 20
    1330413790uid 10059,0
    1330513791)
    13306 *521 (MRCItem
    13307 litem &443
     13792*541 (MRCItem
     13793litem &458
    1330813794pos 59
    1330913795dimension 20
    1331013796uid 10295,0
    1331113797)
    13312 *522 (MRCItem
    13313 litem &444
     13798*542 (MRCItem
     13799litem &459
    1331413800pos 60
    1331513801dimension 20
    1331613802uid 10705,0
    1331713803)
    13318 *523 (MRCItem
    13319 litem &445
     13804*543 (MRCItem
     13805litem &460
    1332013806pos 61
    1332113807dimension 20
    1332213808uid 10707,0
    1332313809)
    13324 *524 (MRCItem
    13325 litem &446
     13810*544 (MRCItem
     13811litem &461
    1332613812pos 62
    1332713813dimension 20
    1332813814uid 10709,0
    1332913815)
    13330 *525 (MRCItem
    13331 litem &447
     13816*545 (MRCItem
     13817litem &462
    1333213818pos 63
    1333313819dimension 20
    1333413820uid 10711,0
    1333513821)
    13336 *526 (MRCItem
    13337 litem &448
     13822*546 (MRCItem
     13823litem &463
    1333813824pos 64
    1333913825dimension 20
    1334013826uid 10713,0
    1334113827)
    13342 *527 (MRCItem
    13343 litem &449
     13828*547 (MRCItem
     13829litem &464
    1334413830pos 65
    1334513831dimension 20
    1334613832uid 10715,0
    1334713833)
    13348 *528 (MRCItem
    13349 litem &450
     13834*548 (MRCItem
     13835litem &465
    1335013836pos 66
    1335113837dimension 20
    1335213838uid 10717,0
    1335313839)
    13354 *529 (MRCItem
    13355 litem &451
     13840*549 (MRCItem
     13841litem &466
    1335613842pos 67
    1335713843dimension 20
    1335813844uid 10719,0
    1335913845)
    13360 *530 (MRCItem
    13361 litem &452
     13846*550 (MRCItem
     13847litem &467
    1336213848pos 68
    1336313849dimension 20
    1336413850uid 10721,0
    1336513851)
    13366 *531 (MRCItem
    13367 litem &453
     13852*551 (MRCItem
     13853litem &468
    1336813854pos 69
    1336913855dimension 20
    1337013856uid 10723,0
    1337113857)
    13372 *532 (MRCItem
    13373 litem &454
     13858*552 (MRCItem
     13859litem &469
    1337413860pos 70
    1337513861dimension 20
    1337613862uid 10725,0
    1337713863)
    13378 *533 (MRCItem
    13379 litem &455
     13864*553 (MRCItem
     13865litem &470
    1338013866pos 71
    1338113867dimension 20
    1338213868uid 10727,0
    1338313869)
    13384 *534 (MRCItem
    13385 litem &456
     13870*554 (MRCItem
     13871litem &471
    1338613872pos 72
    1338713873dimension 20
    1338813874uid 10729,0
     13875)
     13876*555 (MRCItem
     13877litem &472
     13878pos 73
     13879dimension 20
     13880uid 11085,0
     13881)
     13882*556 (MRCItem
     13883litem &473
     13884pos 74
     13885dimension 20
     13886uid 11087,0
     13887)
     13888*557 (MRCItem
     13889litem &474
     13890pos 75
     13891dimension 20
     13892uid 11505,0
     13893)
     13894*558 (MRCItem
     13895litem &475
     13896pos 76
     13897dimension 20
     13898uid 11507,0
     13899)
     13900*559 (MRCItem
     13901litem &476
     13902pos 77
     13903dimension 20
     13904uid 12333,0
    1338913905)
    1339013906]
     
    1339913915uid 73,0
    1340013916optionalChildren [
    13401 *535 (MRCItem
    13402 litem &375
     13917*560 (MRCItem
     13918litem &390
    1340313919pos 0
    1340413920dimension 20
    1340513921uid 74,0
    1340613922)
    13407 *536 (MRCItem
    13408 litem &377
     13923*561 (MRCItem
     13924litem &392
    1340913925pos 1
    1341013926dimension 50
    1341113927uid 75,0
    1341213928)
    13413 *537 (MRCItem
    13414 litem &378
     13929*562 (MRCItem
     13930litem &393
    1341513931pos 2
    1341613932dimension 100
    1341713933uid 76,0
    1341813934)
    13419 *538 (MRCItem
    13420 litem &379
     13935*563 (MRCItem
     13936litem &394
    1342113937pos 3
    1342213938dimension 50
    1342313939uid 77,0
    1342413940)
    13425 *539 (MRCItem
    13426 litem &380
     13941*564 (MRCItem
     13942litem &395
    1342713943pos 4
    1342813944dimension 100
    1342913945uid 78,0
    1343013946)
    13431 *540 (MRCItem
    13432 litem &381
     13947*565 (MRCItem
     13948litem &396
    1343313949pos 5
    1343413950dimension 100
    1343513951uid 79,0
    1343613952)
    13437 *541 (MRCItem
    13438 litem &382
     13953*566 (MRCItem
     13954litem &397
    1343913955pos 6
    1344013956dimension 92
    1344113957uid 80,0
    1344213958)
    13443 *542 (MRCItem
    13444 litem &383
     13959*567 (MRCItem
     13960litem &398
    1344513961pos 7
    1344613962dimension 80
     
    1346213978genericsCommonDM (CommonDM
    1346313979ldm (LogicalDM
    13464 emptyRow *543 (LEmptyRow
     13980emptyRow *568 (LEmptyRow
    1346513981)
    1346613982uid 83,0
    1346713983optionalChildren [
    13468 *544 (RefLabelRowHdr
    13469 )
    13470 *545 (TitleRowHdr
    13471 )
    13472 *546 (FilterRowHdr
    13473 )
    13474 *547 (RefLabelColHdr
     13984*569 (RefLabelRowHdr
     13985)
     13986*570 (TitleRowHdr
     13987)
     13988*571 (FilterRowHdr
     13989)
     13990*572 (RefLabelColHdr
    1347513991tm "RefLabelColHdrMgr"
    1347613992)
    13477 *548 (RowExpandColHdr
     13993*573 (RowExpandColHdr
    1347813994tm "RowExpandColHdrMgr"
    1347913995)
    13480 *549 (GroupColHdr
     13996*574 (GroupColHdr
    1348113997tm "GroupColHdrMgr"
    1348213998)
    13483 *550 (NameColHdr
     13999*575 (NameColHdr
    1348414000tm "GenericNameColHdrMgr"
    1348514001)
    13486 *551 (TypeColHdr
     14002*576 (TypeColHdr
    1348714003tm "GenericTypeColHdrMgr"
    1348814004)
    13489 *552 (InitColHdr
     14005*577 (InitColHdr
    1349014006tm "GenericValueColHdrMgr"
    1349114007)
    13492 *553 (PragmaColHdr
     14008*578 (PragmaColHdr
    1349314009tm "GenericPragmaColHdrMgr"
    1349414010)
    13495 *554 (EolColHdr
     14011*579 (EolColHdr
    1349614012tm "GenericEolColHdrMgr"
    1349714013)
     
    1350314019uid 95,0
    1350414020optionalChildren [
    13505 *555 (Sheet
     14021*580 (Sheet
    1350614022sheetRow (SheetRow
    1350714023headerVa (MVa
     
    1352014036font "Tahoma,10,0"
    1352114037)
    13522 emptyMRCItem *556 (MRCItem
    13523 litem &543
     14038emptyMRCItem *581 (MRCItem
     14039litem &568
    1352414040pos 0
    1352514041dimension 20
     
    1352714043uid 97,0
    1352814044optionalChildren [
    13529 *557 (MRCItem
    13530 litem &544
     14045*582 (MRCItem
     14046litem &569
    1353114047pos 0
    1353214048dimension 20
    1353314049uid 98,0
    1353414050)
    13535 *558 (MRCItem
    13536 litem &545
     14051*583 (MRCItem
     14052litem &570
    1353714053pos 1
    1353814054dimension 23
    1353914055uid 99,0
    1354014056)
    13541 *559 (MRCItem
    13542 litem &546
     14057*584 (MRCItem
     14058litem &571
    1354314059pos 2
    1354414060hidden 1
     
    1355714073uid 101,0
    1355814074optionalChildren [
    13559 *560 (MRCItem
    13560 litem &547
     14075*585 (MRCItem
     14076litem &572
    1356114077pos 0
    1356214078dimension 20
    1356314079uid 102,0
    1356414080)
    13565 *561 (MRCItem
    13566 litem &549
     14081*586 (MRCItem
     14082litem &574
    1356714083pos 1
    1356814084dimension 50
    1356914085uid 103,0
    1357014086)
    13571 *562 (MRCItem
    13572 litem &550
     14087*587 (MRCItem
     14088litem &575
    1357314089pos 2
    1357414090dimension 100
    1357514091uid 104,0
    1357614092)
    13577 *563 (MRCItem
    13578 litem &551
     14093*588 (MRCItem
     14094litem &576
    1357914095pos 3
    1358014096dimension 100
    1358114097uid 105,0
    1358214098)
    13583 *564 (MRCItem
    13584 litem &552
     14099*589 (MRCItem
     14100litem &577
    1358514101pos 4
    1358614102dimension 50
    1358714103uid 106,0
    1358814104)
    13589 *565 (MRCItem
    13590 litem &553
     14105*590 (MRCItem
     14106litem &578
    1359114107pos 5
    1359214108dimension 50
    1359314109uid 107,0
    1359414110)
    13595 *566 (MRCItem
    13596 litem &554
     14111*591 (MRCItem
     14112litem &579
    1359714113pos 6
    1359814114dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/symbol.sb

    r9912 r10073  
    2121commonDM (CommonDM
    2222ldm (LogicalDM
    23 suid 68,0
     23suid 73,0
    2424usingSuid 1
    2525emptyRow *1 (LEmptyRow
     
    6666n "RSRLOAD"
    6767t "std_logic"
    68 o 35
     68o 39
    6969suid 11,0
    7070i "'0'"
     
    8080preAdd 0
    8181posAdd 0
    82 o 13
     82o 16
    8383suid 15,0
    8484)
     
    9191n "TRG"
    9292t "STD_LOGIC"
    93 o 11
     93o 14
    9494suid 16,0
    9595)
     
    104104t "std_logic_vector"
    105105b "(3 downto 0)"
    106 o 16
     106o 19
    107107suid 17,0
    108108)
     
    118118preAdd 0
    119119posAdd 0
    120 o 30
     120o 33
    121121suid 18,0
    122122)
     
    190190n "D0_SRCLK"
    191191t "STD_LOGIC"
    192 o 17
     192o 20
    193193suid 24,0
    194194)
     
    202202n "D1_SRCLK"
    203203t "STD_LOGIC"
    204 o 18
     204o 21
    205205suid 25,0
    206206)
     
    214214n "D2_SRCLK"
    215215t "STD_LOGIC"
    216 o 19
     216o 22
    217217suid 26,0
    218218)
     
    226226n "D3_SRCLK"
    227227t "STD_LOGIC"
    228 o 20
     228o 23
    229229suid 27,0
    230230)
     
    283283t "std_logic_vector"
    284284b "(3 DOWNTO 0)"
    285 o 24
     285o 27
    286286suid 32,0
    287287i "(others => '0')"
     
    296296n "DWRITE"
    297297t "std_logic"
    298 o 23
     298o 26
    299299suid 33,0
    300300i "'0'"
     
    309309n "DAC_CS"
    310310t "std_logic"
    311 o 21
     311o 24
    312312suid 34,0
    313313)
     
    321321n "T0_CS"
    322322t "std_logic"
    323 o 38
     323o 42
    324324suid 35,0
    325325)
     
    333333n "T1_CS"
    334334t "std_logic"
    335 o 39
     335o 43
    336336suid 36,0
    337337)
     
    345345n "T2_CS"
    346346t "std_logic"
    347 o 40
     347o 44
    348348suid 37,0
    349349)
     
    357357n "T3_CS"
    358358t "std_logic"
     359o 45
     360suid 38,0
     361)
     362)
     363uid 1346,0
     364)
     365*39 (LogPort
     366port (LogicalPort
     367m 1
     368decl (Decl
     369n "S_CLK"
     370t "std_logic"
    359371o 41
    360 suid 38,0
    361 )
    362 )
    363 uid 1346,0
    364 )
    365 *39 (LogPort
    366 port (LogicalPort
    367 m 1
    368 decl (Decl
    369 n "S_CLK"
    370 t "std_logic"
    371 o 37
    372372suid 39,0
    373373)
     
    382382t "std_logic_vector"
    383383b "(9 DOWNTO 0)"
    384 o 43
     384o 47
    385385suid 40,0
    386386)
     
    395395t "std_logic_vector"
    396396b "(15 DOWNTO 0)"
    397 o 49
     397o 53
    398398suid 41,0
    399399)
     
    407407n "W_RES"
    408408t "std_logic"
    409 o 46
     409o 50
    410410suid 42,0
    411411i "'1'"
     
    420420n "W_RD"
    421421t "std_logic"
    422 o 45
     422o 49
    423423suid 43,0
    424424i "'1'"
     
    433433n "W_WR"
    434434t "std_logic"
    435 o 47
     435o 51
    436436suid 44,0
    437437i "'1'"
     
    445445n "W_INT"
    446446t "std_logic"
    447 o 12
     447o 15
    448448suid 45,0
    449449)
     
    457457n "W_CS"
    458458t "std_logic"
    459 o 44
     459o 48
    460460suid 46,0
    461461i "'1'"
     
    470470n "MOSI"
    471471t "std_logic"
    472 o 29
     472o 32
    473473suid 47,0
    474474i "'0'"
     
    485485preAdd 0
    486486posAdd 0
    487 o 48
     487o 52
    488488suid 48,0
    489489)
     
    497497n "TRG_V"
    498498t "std_logic"
    499 o 42
     499o 46
    500500suid 49,0
    501501)
     
    509509n "RS485_C_RE"
    510510t "std_logic"
    511 o 32
     511o 36
    512512suid 50,0
    513513)
     
    521521n "RS485_C_DE"
    522522t "std_logic"
    523 o 31
     523o 34
    524524suid 51,0
    525525)
     
    533533n "RS485_E_RE"
    534534t "std_logic"
    535 o 34
     535o 38
    536536suid 52,0
    537537)
     
    545545n "RS485_E_DE"
    546546t "std_logic"
    547 o 33
     547o 37
    548548suid 53,0
    549549)
     
    557557n "DENABLE"
    558558t "std_logic"
    559 o 22
     559o 25
    560560suid 54,0
    561561i "'0'"
     
    570570n "SRIN"
    571571t "std_logic"
    572 o 36
     572o 40
    573573suid 55,0
     574i "'0'"
    574575)
    575576)
     
    582583n "EE_CS"
    583584t "std_logic"
    584 o 27
     585o 30
    585586suid 56,0
    586587)
     
    595596t "std_logic_vector"
    596597b "(7 DOWNTO 0)"
    597 o 25
     598o 28
    598599suid 61,0
    599600i "(OTHERS => '0')"
     
    609610t "std_logic_vector"
    610611b "( 2 DOWNTO 0 )"
    611 o 28
     612o 31
    612613suid 62,0
    613614i "(others => '1')"
     
    635636t "std_logic_vector"
    636637b "(3 DOWNTO 0)"
    637 o 26
     638o 29
    638639suid 65,0
    639640i "(others => '0')"
     
    649650t "std_logic_vector"
    650651b "(7 DOWNTO 0)"
    651 o 15
     652o 18
    652653suid 66,0
    653654i "(OTHERS => '0')"
     
    663664t "std_logic_vector"
    664665b "(7 DOWNTO 0)"
    665 o 14
     666o 17
    666667suid 68,0
    667668i "(others => '0')"
     
    669670)
    670671uid 3455,0
     672)
     673*63 (LogPort
     674port (LogicalPort
     675decl (Decl
     676n "RS485_C_DI"
     677t "std_logic"
     678o 11
     679suid 69,0
     680)
     681)
     682uid 3578,0
     683)
     684*64 (LogPort
     685port (LogicalPort
     686m 1
     687decl (Decl
     688n "RS485_C_DO"
     689t "std_logic"
     690o 35
     691suid 70,0
     692)
     693)
     694uid 3580,0
     695)
     696*65 (LogPort
     697port (LogicalPort
     698decl (Decl
     699n "RS485_E_DI"
     700t "std_logic"
     701o 12
     702suid 71,0
     703)
     704)
     705uid 3684,0
     706)
     707*66 (LogPort
     708port (LogicalPort
     709decl (Decl
     710n "RS485_E_DO"
     711t "std_logic"
     712o 13
     713suid 72,0
     714)
     715)
     716uid 3686,0
    671717)
    672718]
     
    677723uid 66,0
    678724optionalChildren [
    679 *63 (Sheet
     725*67 (Sheet
    680726sheetRow (SheetRow
    681727headerVa (MVa
     
    694740font "Tahoma,10,0"
    695741)
    696 emptyMRCItem *64 (MRCItem
     742emptyMRCItem *68 (MRCItem
    697743litem &1
    698744pos 3
     
    701747uid 68,0
    702748optionalChildren [
    703 *65 (MRCItem
     749*69 (MRCItem
    704750litem &2
    705751pos 0
     
    707753uid 69,0
    708754)
    709 *66 (MRCItem
     755*70 (MRCItem
    710756litem &3
    711757pos 1
     
    713759uid 70,0
    714760)
    715 *67 (MRCItem
     761*71 (MRCItem
    716762litem &4
    717763pos 2
     
    720766uid 71,0
    721767)
    722 *68 (MRCItem
     768*72 (MRCItem
    723769litem &14
    724770pos 0
     
    726772uid 689,0
    727773)
    728 *69 (MRCItem
     774*73 (MRCItem
    729775litem &15
    730776pos 2
     
    732778uid 1110,0
    733779)
    734 *70 (MRCItem
     780*74 (MRCItem
    735781litem &16
    736782pos 3
     
    738784uid 1112,0
    739785)
    740 *71 (MRCItem
     786*75 (MRCItem
    741787litem &17
    742788pos 4
     
    744790uid 1114,0
    745791)
    746 *72 (MRCItem
     792*76 (MRCItem
    747793litem &18
    748794pos 5
     
    750796uid 1154,0
    751797)
    752 *73 (MRCItem
     798*77 (MRCItem
    753799litem &19
    754800pos 6
     
    756802uid 1156,0
    757803)
    758 *74 (MRCItem
     804*78 (MRCItem
    759805litem &20
    760806pos 7
     
    762808uid 1158,0
    763809)
    764 *75 (MRCItem
     810*79 (MRCItem
    765811litem &21
    766812pos 8
     
    768814uid 1160,0
    769815)
    770 *76 (MRCItem
     816*80 (MRCItem
    771817litem &22
    772818pos 9
     
    774820uid 1162,0
    775821)
    776 *77 (MRCItem
     822*81 (MRCItem
    777823litem &23
    778824pos 10
     
    780826uid 1164,0
    781827)
    782 *78 (MRCItem
     828*82 (MRCItem
    783829litem &24
    784830pos 11
     
    786832uid 1219,0
    787833)
    788 *79 (MRCItem
     834*83 (MRCItem
    789835litem &25
    790836pos 12
     
    792838uid 1221,0
    793839)
    794 *80 (MRCItem
     840*84 (MRCItem
    795841litem &26
    796842pos 13
     
    798844uid 1223,0
    799845)
    800 *81 (MRCItem
     846*85 (MRCItem
    801847litem &27
    802848pos 14
     
    804850uid 1225,0
    805851)
    806 *82 (MRCItem
     852*86 (MRCItem
    807853litem &28
    808854pos 15
     
    810856uid 1270,0
    811857)
    812 *83 (MRCItem
     858*87 (MRCItem
    813859litem &29
    814860pos 16
     
    816862uid 1272,0
    817863)
    818 *84 (MRCItem
     864*88 (MRCItem
    819865litem &30
    820866pos 17
     
    822868uid 1274,0
    823869)
    824 *85 (MRCItem
     870*89 (MRCItem
    825871litem &31
    826872pos 18
     
    828874uid 1276,0
    829875)
    830 *86 (MRCItem
     876*90 (MRCItem
    831877litem &32
    832878pos 19
     
    834880uid 1278,0
    835881)
    836 *87 (MRCItem
     882*91 (MRCItem
    837883litem &33
    838884pos 20
     
    840886uid 1280,0
    841887)
    842 *88 (MRCItem
     888*92 (MRCItem
    843889litem &34
    844890pos 1
     
    846892uid 1337,0
    847893)
    848 *89 (MRCItem
     894*93 (MRCItem
    849895litem &35
    850896pos 21
     
    852898uid 1339,0
    853899)
    854 *90 (MRCItem
     900*94 (MRCItem
    855901litem &36
    856902pos 22
     
    858904uid 1341,0
    859905)
    860 *91 (MRCItem
     906*95 (MRCItem
    861907litem &37
    862908pos 23
     
    864910uid 1343,0
    865911)
    866 *92 (MRCItem
     912*96 (MRCItem
    867913litem &38
    868914pos 24
     
    870916uid 1345,0
    871917)
    872 *93 (MRCItem
     918*97 (MRCItem
    873919litem &39
    874920pos 25
     
    876922uid 1347,0
    877923)
    878 *94 (MRCItem
     924*98 (MRCItem
    879925litem &40
    880926pos 26
     
    882928uid 1349,0
    883929)
    884 *95 (MRCItem
     930*99 (MRCItem
    885931litem &41
    886932pos 27
     
    888934uid 1351,0
    889935)
    890 *96 (MRCItem
     936*100 (MRCItem
    891937litem &42
    892938pos 28
     
    894940uid 1353,0
    895941)
    896 *97 (MRCItem
     942*101 (MRCItem
    897943litem &43
    898944pos 29
     
    900946uid 1355,0
    901947)
    902 *98 (MRCItem
     948*102 (MRCItem
    903949litem &44
    904950pos 30
     
    906952uid 1357,0
    907953)
    908 *99 (MRCItem
     954*103 (MRCItem
    909955litem &45
    910956pos 31
     
    912958uid 1359,0
    913959)
    914 *100 (MRCItem
     960*104 (MRCItem
    915961litem &46
    916962pos 32
     
    918964uid 1361,0
    919965)
    920 *101 (MRCItem
     966*105 (MRCItem
    921967litem &47
    922968pos 33
     
    924970uid 1616,0
    925971)
    926 *102 (MRCItem
     972*106 (MRCItem
    927973litem &48
    928974pos 34
     
    930976uid 1618,0
    931977)
    932 *103 (MRCItem
     978*107 (MRCItem
    933979litem &49
    934980pos 35
     
    936982uid 1654,0
    937983)
    938 *104 (MRCItem
     984*108 (MRCItem
    939985litem &50
    940986pos 36
     
    942988uid 1656,0
    943989)
    944 *105 (MRCItem
     990*109 (MRCItem
    945991litem &51
    946992pos 37
     
    948994uid 1658,0
    949995)
    950 *106 (MRCItem
     996*110 (MRCItem
    951997litem &52
    952998pos 38
     
    9541000uid 1660,0
    9551001)
    956 *107 (MRCItem
     1002*111 (MRCItem
    9571003litem &53
    9581004pos 39
     
    9601006uid 1662,0
    9611007)
    962 *108 (MRCItem
     1008*112 (MRCItem
    9631009litem &54
    9641010pos 40
     
    9661012uid 1664,0
    9671013)
    968 *109 (MRCItem
     1014*113 (MRCItem
    9691015litem &55
     1016pos 52
     1017dimension 20
     1018uid 1666,0
     1019)
     1020*114 (MRCItem
     1021litem &56
    9701022pos 41
    9711023dimension 20
    972 uid 1666,0
    973 )
    974 *110 (MRCItem
    975 litem &56
     1024uid 1668,0
     1025)
     1026*115 (MRCItem
     1027litem &57
     1028pos 43
     1029dimension 20
     1030uid 2066,0
     1031)
     1032*116 (MRCItem
     1033litem &58
    9761034pos 42
    9771035dimension 20
    978 uid 1668,0
    979 )
    980 *111 (MRCItem
    981 litem &57
     1036uid 2373,0
     1037)
     1038*117 (MRCItem
     1039litem &59
    9821040pos 44
    9831041dimension 20
    984 uid 2066,0
    985 )
    986 *112 (MRCItem
    987 litem &58
    988 pos 43
    989 dimension 20
    990 uid 2373,0
    991 )
    992 *113 (MRCItem
    993 litem &59
     1042uid 2917,0
     1043)
     1044*118 (MRCItem
     1045litem &60
    9941046pos 45
    9951047dimension 20
    996 uid 2917,0
    997 )
    998 *114 (MRCItem
    999 litem &60
     1048uid 2947,0
     1049)
     1050*119 (MRCItem
     1051litem &61
    10001052pos 46
    10011053dimension 20
    1002 uid 2947,0
    1003 )
    1004 *115 (MRCItem
    1005 litem &61
     1054uid 3024,0
     1055)
     1056*120 (MRCItem
     1057litem &62
    10061058pos 47
    10071059dimension 20
    1008 uid 3024,0
    1009 )
    1010 *116 (MRCItem
    1011 litem &62
     1060uid 3454,0
     1061)
     1062*121 (MRCItem
     1063litem &63
    10121064pos 48
    10131065dimension 20
    1014 uid 3454,0
     1066uid 3577,0
     1067)
     1068*122 (MRCItem
     1069litem &64
     1070pos 49
     1071dimension 20
     1072uid 3579,0
     1073)
     1074*123 (MRCItem
     1075litem &65
     1076pos 50
     1077dimension 20
     1078uid 3683,0
     1079)
     1080*124 (MRCItem
     1081litem &66
     1082pos 51
     1083dimension 20
     1084uid 3685,0
    10151085)
    10161086]
     
    10251095uid 72,0
    10261096optionalChildren [
    1027 *117 (MRCItem
     1097*125 (MRCItem
    10281098litem &5
    10291099pos 0
     
    10311101uid 73,0
    10321102)
    1033 *118 (MRCItem
     1103*126 (MRCItem
    10341104litem &7
    10351105pos 1
     
    10371107uid 74,0
    10381108)
    1039 *119 (MRCItem
     1109*127 (MRCItem
    10401110litem &8
    10411111pos 2
     
    10431113uid 75,0
    10441114)
    1045 *120 (MRCItem
     1115*128 (MRCItem
    10461116litem &9
    10471117pos 3
     
    10491119uid 76,0
    10501120)
    1051 *121 (MRCItem
     1121*129 (MRCItem
    10521122litem &10
    10531123pos 4
     
    10551125uid 77,0
    10561126)
    1057 *122 (MRCItem
     1127*130 (MRCItem
    10581128litem &11
    10591129pos 5
     
    10611131uid 78,0
    10621132)
    1063 *123 (MRCItem
     1133*131 (MRCItem
    10641134litem &12
    10651135pos 6
     
    10671137uid 79,0
    10681138)
    1069 *124 (MRCItem
     1139*132 (MRCItem
    10701140litem &13
    10711141pos 7
     
    10881158genericsCommonDM (CommonDM
    10891159ldm (LogicalDM
    1090 emptyRow *125 (LEmptyRow
     1160emptyRow *133 (LEmptyRow
    10911161)
    10921162uid 82,0
    10931163optionalChildren [
    1094 *126 (RefLabelRowHdr
    1095 )
    1096 *127 (TitleRowHdr
    1097 )
    1098 *128 (FilterRowHdr
    1099 )
    1100 *129 (RefLabelColHdr
     1164*134 (RefLabelRowHdr
     1165)
     1166*135 (TitleRowHdr
     1167)
     1168*136 (FilterRowHdr
     1169)
     1170*137 (RefLabelColHdr
    11011171tm "RefLabelColHdrMgr"
    11021172)
    1103 *130 (RowExpandColHdr
     1173*138 (RowExpandColHdr
    11041174tm "RowExpandColHdrMgr"
    11051175)
    1106 *131 (GroupColHdr
     1176*139 (GroupColHdr
    11071177tm "GroupColHdrMgr"
    11081178)
    1109 *132 (NameColHdr
     1179*140 (NameColHdr
    11101180tm "GenericNameColHdrMgr"
    11111181)
    1112 *133 (TypeColHdr
     1182*141 (TypeColHdr
    11131183tm "GenericTypeColHdrMgr"
    11141184)
    1115 *134 (InitColHdr
     1185*142 (InitColHdr
    11161186tm "GenericValueColHdrMgr"
    11171187)
    1118 *135 (PragmaColHdr
     1188*143 (PragmaColHdr
    11191189tm "GenericPragmaColHdrMgr"
    11201190)
    1121 *136 (EolColHdr
     1191*144 (EolColHdr
    11221192tm "GenericEolColHdrMgr"
    11231193)
     
    11291199uid 94,0
    11301200optionalChildren [
    1131 *137 (Sheet
     1201*145 (Sheet
    11321202sheetRow (SheetRow
    11331203headerVa (MVa
     
    11461216font "Tahoma,10,0"
    11471217)
    1148 emptyMRCItem *138 (MRCItem
    1149 litem &125
     1218emptyMRCItem *146 (MRCItem
     1219litem &133
    11501220pos 3
    11511221dimension 20
     
    11531223uid 96,0
    11541224optionalChildren [
    1155 *139 (MRCItem
    1156 litem &126
     1225*147 (MRCItem
     1226litem &134
    11571227pos 0
    11581228dimension 20
    11591229uid 97,0
    11601230)
    1161 *140 (MRCItem
    1162 litem &127
     1231*148 (MRCItem
     1232litem &135
    11631233pos 1
    11641234dimension 23
    11651235uid 98,0
    11661236)
    1167 *141 (MRCItem
    1168 litem &128
     1237*149 (MRCItem
     1238litem &136
    11691239pos 2
    11701240hidden 1
     
    11831253uid 100,0
    11841254optionalChildren [
    1185 *142 (MRCItem
    1186 litem &129
     1255*150 (MRCItem
     1256litem &137
    11871257pos 0
    11881258dimension 20
    11891259uid 101,0
    11901260)
    1191 *143 (MRCItem
    1192 litem &131
     1261*151 (MRCItem
     1262litem &139
    11931263pos 1
    11941264dimension 50
    11951265uid 102,0
    11961266)
    1197 *144 (MRCItem
    1198 litem &132
     1267*152 (MRCItem
     1268litem &140
    11991269pos 2
    12001270dimension 100
    12011271uid 103,0
    12021272)
    1203 *145 (MRCItem
    1204 litem &133
     1273*153 (MRCItem
     1274litem &141
    12051275pos 3
    12061276dimension 100
    12071277uid 104,0
    12081278)
    1209 *146 (MRCItem
    1210 litem &134
     1279*154 (MRCItem
     1280litem &142
    12111281pos 4
    12121282dimension 50
    12131283uid 105,0
    12141284)
    1215 *147 (MRCItem
    1216 litem &135
     1285*155 (MRCItem
     1286litem &143
    12171287pos 5
    12181288dimension 50
    12191289uid 106,0
    12201290)
    1221 *148 (MRCItem
    1222 litem &136
     1291*156 (MRCItem
     1292litem &144
    12231293pos 6
    12241294dimension 80
     
    12431313(vvPair
    12441314variable "HDLDir"
    1245 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hdl"
     1315value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    12461316)
    12471317(vvPair
    12481318variable "HDSDir"
    1249 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     1319value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    12501320)
    12511321(vvPair
    12521322variable "SideDataDesignDir"
    1253 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
     1323value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
    12541324)
    12551325(vvPair
    12561326variable "SideDataUserDir"
    1257 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
     1327value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
    12581328)
    12591329(vvPair
    12601330variable "SourceDir"
    1261 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     1331value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    12621332)
    12631333(vvPair
     
    12751345(vvPair
    12761346variable "d"
    1277 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     1347value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    12781348)
    12791349(vvPair
    12801350variable "d_logical"
    1281 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_Board"
     1351value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
    12821352)
    12831353(vvPair
    12841354variable "date"
    1285 value "27.08.2010"
     1355value "03.01.2011"
    12861356)
    12871357(vvPair
    12881358variable "day"
    1289 value "Fr"
     1359value "Mo"
    12901360)
    12911361(vvPair
    12921362variable "day_long"
    1293 value "Freitag"
     1363value "Montag"
    12941364)
    12951365(vvPair
    12961366variable "dd"
    1297 value "27"
     1367value "03"
    12981368)
    12991369(vvPair
     
    13551425(vvPair
    13561426variable "mm"
    1357 value "08"
     1427value "01"
    13581428)
    13591429(vvPair
     
    13631433(vvPair
    13641434variable "month"
    1365 value "Aug"
     1435value "Jan"
    13661436)
    13671437(vvPair
    13681438variable "month_long"
    1369 value "August"
     1439value "Januar"
    13701440)
    13711441(vvPair
    13721442variable "p"
    1373 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
     1443value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
    13741444)
    13751445(vvPair
    13761446variable "p_logical"
    1377 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
     1447value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
    13781448)
    13791449(vvPair
     
    14311501(vvPair
    14321502variable "time"
    1433 value "17:10:56"
     1503value "16:58:24"
    14341504)
    14351505(vvPair
     
    14511521(vvPair
    14521522variable "year"
    1453 value "2010"
     1523value "2011"
    14541524)
    14551525(vvPair
    14561526variable "yy"
    1457 value "10"
     1527value "11"
    14581528)
    14591529]
     
    14621532uid 51,0
    14631533optionalChildren [
    1464 *149 (SymbolBody
     1534*157 (SymbolBody
    14651535uid 8,0
    14661536optionalChildren [
    1467 *150 (CptPort
     1537*158 (CptPort
    14681538uid 693,0
    14691539ps "OnEdgeStrategy"
     
    15071577font "Courier New,8,0"
    15081578)
    1509 xt "44000,29200,76000,30000"
    1510 st "RSRLOAD    : OUT    std_logic                       := '0' ;"
     1579xt "44000,32400,76000,33200"
     1580st "RSRLOAD    : OUT    std_logic                       := '0' ;
     1581"
    15111582)
    15121583thePort (LogicalPort
     
    15151586n "RSRLOAD"
    15161587t "std_logic"
    1517 o 35
     1588o 39
    15181589suid 11,0
    15191590i "'0'"
     
    15211592)
    15221593)
    1523 *151 (CptPort
     1594*159 (CptPort
    15241595uid 1116,0
    15251596ps "OnEdgeStrategy"
     
    15521623font "Courier New,8,0"
    15531624)
    1554 xt "44000,11600,61500,12400"
    1555 st "X_50M      : IN     STD_LOGIC  ;"
     1625xt "44000,14000,61500,14800"
     1626st "X_50M      : IN     STD_LOGIC  ;
     1627"
    15561628)
    15571629thePort (LogicalPort
     
    15611633preAdd 0
    15621634posAdd 0
    1563 o 13
     1635o 16
    15641636suid 15,0
    15651637)
    15661638)
    15671639)
    1568 *152 (CptPort
     1640*160 (CptPort
    15691641uid 1121,0
    15701642ps "OnEdgeStrategy"
     
    15971669font "Courier New,8,0"
    15981670)
    1599 xt "44000,10000,61500,10800"
    1600 st "TRG        : IN     STD_LOGIC  ;"
     1671xt "44000,12400,61500,13200"
     1672st "TRG        : IN     STD_LOGIC  ;
     1673"
    16011674)
    16021675thePort (LogicalPort
     
    16041677n "TRG"
    16051678t "STD_LOGIC"
    1606 o 11
     1679o 14
    16071680suid 16,0
    16081681)
    16091682)
    16101683)
    1611 *153 (CptPort
     1684*161 (CptPort
    16121685uid 1126,0
    16131686ps "OnEdgeStrategy"
     
    16411714font "Courier New,8,0"
    16421715)
    1643 xt "44000,14000,71500,14800"
    1644 st "A_CLK      : OUT    std_logic_vector (3 downto 0) ;"
     1716xt "44000,16400,71500,17200"
     1717st "A_CLK      : OUT    std_logic_vector (3 downto 0) ;
     1718"
    16451719)
    16461720thePort (LogicalPort
     
    16501724t "std_logic_vector"
    16511725b "(3 downto 0)"
    1652 o 16
     1726o 19
    16531727suid 17,0
    16541728)
    16551729)
    16561730)
    1657 *154 (CptPort
     1731*162 (CptPort
    16581732uid 1166,0
    16591733ps "OnEdgeStrategy"
     
    16871761font "Courier New,8,0"
    16881762)
    1689 xt "44000,25200,61500,26000"
    1690 st "OE_ADC     : OUT    STD_LOGIC  ;"
     1763xt "44000,27600,61500,28400"
     1764st "OE_ADC     : OUT    STD_LOGIC  ;
     1765"
    16911766)
    16921767thePort (LogicalPort
     
    16971772preAdd 0
    16981773posAdd 0
    1699 o 30
     1774o 33
    17001775suid 18,0
    17011776)
    17021777)
    17031778)
    1704 *155 (CptPort
     1779*163 (CptPort
    17051780uid 1171,0
    17061781ps "OnEdgeStrategy"
     
    17341809)
    17351810xt "44000,5200,71500,6000"
    1736 st "A_OTR      : IN     std_logic_vector (3 DOWNTO 0) ;"
     1811st "A_OTR      : IN     std_logic_vector (3 DOWNTO 0) ;
     1812"
    17371813)
    17381814thePort (LogicalPort
     
    17461822)
    17471823)
    1748 *156 (CptPort
     1824*164 (CptPort
    17491825uid 1176,0
    17501826ps "OnEdgeStrategy"
     
    17781854)
    17791855xt "44000,2000,72000,2800"
    1780 st "A0_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
     1856st "A0_D       : IN     std_logic_vector (11 DOWNTO 0) ;
     1857"
    17811858)
    17821859thePort (LogicalPort
     
    17901867)
    17911868)
    1792 *157 (CptPort
     1869*165 (CptPort
    17931870uid 1181,0
    17941871ps "OnEdgeStrategy"
     
    18221899)
    18231900xt "44000,2800,72000,3600"
    1824 st "A1_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
     1901st "A1_D       : IN     std_logic_vector (11 DOWNTO 0) ;
     1902"
    18251903)
    18261904thePort (LogicalPort
     
    18341912)
    18351913)
    1836 *158 (CptPort
     1914*166 (CptPort
    18371915uid 1186,0
    18381916ps "OnEdgeStrategy"
     
    18661944)
    18671945xt "44000,3600,72000,4400"
    1868 st "A2_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
     1946st "A2_D       : IN     std_logic_vector (11 DOWNTO 0) ;
     1947"
    18691948)
    18701949thePort (LogicalPort
     
    18781957)
    18791958)
    1880 *159 (CptPort
     1959*167 (CptPort
    18811960uid 1191,0
    18821961ps "OnEdgeStrategy"
     
    19101989)
    19111990xt "44000,4400,72000,5200"
    1912 st "A3_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
     1991st "A3_D       : IN     std_logic_vector (11 DOWNTO 0) ;
     1992"
    19131993)
    19141994thePort (LogicalPort
     
    19222002)
    19232003)
    1924 *160 (CptPort
     2004*168 (CptPort
    19252005uid 1227,0
    19262006ps "OnEdgeStrategy"
     
    19542034font "Courier New,8,0"
    19552035)
    1956 xt "44000,14800,61500,15600"
    1957 st "D0_SRCLK   : OUT    STD_LOGIC  ;"
     2036xt "44000,17200,61500,18000"
     2037st "D0_SRCLK   : OUT    STD_LOGIC  ;
     2038"
    19582039)
    19592040thePort (LogicalPort
     
    19622043n "D0_SRCLK"
    19632044t "STD_LOGIC"
    1964 o 17
     2045o 20
    19652046suid 24,0
    19662047)
    19672048)
    19682049)
    1969 *161 (CptPort
     2050*169 (CptPort
    19702051uid 1232,0
    19712052ps "OnEdgeStrategy"
     
    19992080font "Courier New,8,0"
    20002081)
    2001 xt "44000,15600,61500,16400"
    2002 st "D1_SRCLK   : OUT    STD_LOGIC  ;"
     2082xt "44000,18000,61500,18800"
     2083st "D1_SRCLK   : OUT    STD_LOGIC  ;
     2084"
    20032085)
    20042086thePort (LogicalPort
     
    20072089n "D1_SRCLK"
    20082090t "STD_LOGIC"
    2009 o 18
     2091o 21
    20102092suid 25,0
    20112093)
    20122094)
    20132095)
    2014 *162 (CptPort
     2096*170 (CptPort
    20152097uid 1237,0
    20162098ps "OnEdgeStrategy"
     
    20442126font "Courier New,8,0"
    20452127)
    2046 xt "44000,16400,61500,17200"
    2047 st "D2_SRCLK   : OUT    STD_LOGIC  ;"
     2128xt "44000,18800,61500,19600"
     2129st "D2_SRCLK   : OUT    STD_LOGIC  ;
     2130"
    20482131)
    20492132thePort (LogicalPort
     
    20522135n "D2_SRCLK"
    20532136t "STD_LOGIC"
    2054 o 19
     2137o 22
    20552138suid 26,0
    20562139)
    20572140)
    20582141)
    2059 *163 (CptPort
     2142*171 (CptPort
    20602143uid 1242,0
    20612144ps "OnEdgeStrategy"
     
    20892172font "Courier New,8,0"
    20902173)
    2091 xt "44000,17200,61500,18000"
    2092 st "D3_SRCLK   : OUT    STD_LOGIC  ;"
     2174xt "44000,19600,61500,20400"
     2175st "D3_SRCLK   : OUT    STD_LOGIC  ;
     2176"
    20932177)
    20942178thePort (LogicalPort
     
    20972181n "D3_SRCLK"
    20982182t "STD_LOGIC"
    2099 o 20
     2183o 23
    21002184suid 27,0
    21012185)
    21022186)
    21032187)
    2104 *164 (CptPort
     2188*172 (CptPort
    21052189uid 1282,0
    21062190ps "OnEdgeStrategy"
     
    21342218)
    21352219xt "44000,6000,61500,6800"
    2136 st "D0_SROUT   : IN     std_logic  ;"
     2220st "D0_SROUT   : IN     std_logic  ;
     2221"
    21372222)
    21382223thePort (LogicalPort
     
    21452230)
    21462231)
    2147 *165 (CptPort
     2232*173 (CptPort
    21482233uid 1287,0
    21492234ps "OnEdgeStrategy"
     
    21772262)
    21782263xt "44000,6800,61500,7600"
    2179 st "D1_SROUT   : IN     std_logic  ;"
     2264st "D1_SROUT   : IN     std_logic  ;
     2265"
    21802266)
    21812267thePort (LogicalPort
     
    21882274)
    21892275)
    2190 *166 (CptPort
     2276*174 (CptPort
    21912277uid 1292,0
    21922278ps "OnEdgeStrategy"
     
    22202306)
    22212307xt "44000,7600,61500,8400"
    2222 st "D2_SROUT   : IN     std_logic  ;"
     2308st "D2_SROUT   : IN     std_logic  ;
     2309"
    22232310)
    22242311thePort (LogicalPort
     
    22312318)
    22322319)
    2233 *167 (CptPort
     2320*175 (CptPort
    22342321uid 1297,0
    22352322ps "OnEdgeStrategy"
     
    22632350)
    22642351xt "44000,8400,61500,9200"
    2265 st "D3_SROUT   : IN     std_logic  ;"
     2352st "D3_SROUT   : IN     std_logic  ;
     2353"
    22662354)
    22672355thePort (LogicalPort
     
    22742362)
    22752363)
    2276 *168 (CptPort
     2364*176 (CptPort
    22772365uid 1302,0
    22782366ps "OnEdgeStrategy"
     
    23162404font "Courier New,8,0"
    23172405)
    2318 xt "44000,20400,82000,21200"
    2319 st "D_A        : OUT    std_logic_vector (3 DOWNTO 0)   := (others => '0') ;"
     2406xt "44000,22800,82000,23600"
     2407st "D_A        : OUT    std_logic_vector (3 DOWNTO 0)   := (others => '0') ;
     2408"
    23202409)
    23212410thePort (LogicalPort
     
    23252414t "std_logic_vector"
    23262415b "(3 DOWNTO 0)"
    2327 o 24
     2416o 27
    23282417suid 32,0
    23292418i "(others => '0')"
     
    23312420)
    23322421)
    2333 *169 (CptPort
     2422*177 (CptPort
    23342423uid 1308,0
    23352424ps "OnEdgeStrategy"
     
    23732462font "Courier New,8,0"
    23742463)
    2375 xt "44000,19600,76000,20400"
    2376 st "DWRITE     : OUT    std_logic                       := '0' ;"
     2464xt "44000,22000,76000,22800"
     2465st "DWRITE     : OUT    std_logic                       := '0' ;
     2466"
    23772467)
    23782468thePort (LogicalPort
     
    23812471n "DWRITE"
    23822472t "std_logic"
    2383 o 23
     2473o 26
    23842474suid 33,0
    23852475i "'0'"
     
    23872477)
    23882478)
    2389 *170 (CptPort
     2479*178 (CptPort
    23902480uid 1363,0
    23912481ps "OnEdgeStrategy"
     
    24192509font "Courier New,8,0"
    24202510)
    2421 xt "44000,18000,61500,18800"
    2422 st "DAC_CS     : OUT    std_logic  ;"
     2511xt "44000,20400,61500,21200"
     2512st "DAC_CS     : OUT    std_logic  ;
     2513"
    24232514)
    24242515thePort (LogicalPort
     
    24272518n "DAC_CS"
    24282519t "std_logic"
    2429 o 21
     2520o 24
    24302521suid 34,0
    24312522)
    24322523)
    24332524)
    2434 *171 (CptPort
     2525*179 (CptPort
    24352526uid 1368,0
    24362527ps "OnEdgeStrategy"
     
    24642555font "Courier New,8,0"
    24652556)
    2466 xt "44000,31600,61500,32400"
    2467 st "T0_CS      : OUT    std_logic  ;"
     2557xt "44000,34800,61500,35600"
     2558st "T0_CS      : OUT    std_logic  ;
     2559"
    24682560)
    24692561thePort (LogicalPort
     
    24722564n "T0_CS"
    24732565t "std_logic"
    2474 o 38
     2566o 42
    24752567suid 35,0
    24762568)
    24772569)
    24782570)
    2479 *172 (CptPort
     2571*180 (CptPort
    24802572uid 1373,0
    24812573ps "OnEdgeStrategy"
     
    25092601font "Courier New,8,0"
    25102602)
    2511 xt "44000,32400,61500,33200"
    2512 st "T1_CS      : OUT    std_logic  ;"
     2603xt "44000,35600,61500,36400"
     2604st "T1_CS      : OUT    std_logic  ;
     2605"
    25132606)
    25142607thePort (LogicalPort
     
    25172610n "T1_CS"
    25182611t "std_logic"
    2519 o 39
     2612o 43
    25202613suid 36,0
    25212614)
    25222615)
    25232616)
    2524 *173 (CptPort
     2617*181 (CptPort
    25252618uid 1378,0
    25262619ps "OnEdgeStrategy"
     
    25542647font "Courier New,8,0"
    25552648)
    2556 xt "44000,33200,61500,34000"
    2557 st "T2_CS      : OUT    std_logic  ;"
     2649xt "44000,36400,61500,37200"
     2650st "T2_CS      : OUT    std_logic  ;
     2651"
    25582652)
    25592653thePort (LogicalPort
     
    25622656n "T2_CS"
    25632657t "std_logic"
    2564 o 40
     2658o 44
    25652659suid 37,0
    25662660)
    25672661)
    25682662)
    2569 *174 (CptPort
     2663*182 (CptPort
    25702664uid 1383,0
    25712665ps "OnEdgeStrategy"
     
    25992693font "Courier New,8,0"
    26002694)
    2601 xt "44000,34000,61500,34800"
    2602 st "T3_CS      : OUT    std_logic  ;"
     2695xt "44000,37200,61500,38000"
     2696st "T3_CS      : OUT    std_logic  ;
     2697"
    26032698)
    26042699thePort (LogicalPort
     
    26072702n "T3_CS"
    26082703t "std_logic"
    2609 o 41
     2704o 45
    26102705suid 38,0
    26112706)
    26122707)
    26132708)
    2614 *175 (CptPort
     2709*183 (CptPort
    26152710uid 1388,0
    26162711ps "OnEdgeStrategy"
     
    26442739font "Courier New,8,0"
    26452740)
    2646 xt "44000,30800,61500,31600"
    2647 st "S_CLK      : OUT    std_logic  ;"
     2741xt "44000,34000,61500,34800"
     2742st "S_CLK      : OUT    std_logic  ;
     2743"
    26482744)
    26492745thePort (LogicalPort
     
    26522748n "S_CLK"
    26532749t "std_logic"
    2654 o 37
     2750o 41
    26552751suid 39,0
    26562752)
    26572753)
    26582754)
    2659 *176 (CptPort
     2755*184 (CptPort
    26602756uid 1393,0
    26612757ps "OnEdgeStrategy"
     
    26892785font "Courier New,8,0"
    26902786)
    2691 xt "44000,35600,71500,36400"
    2692 st "W_A        : OUT    std_logic_vector (9 DOWNTO 0) ;"
     2787xt "44000,38800,71500,39600"
     2788st "W_A        : OUT    std_logic_vector (9 DOWNTO 0) ;
     2789"
    26932790)
    26942791thePort (LogicalPort
     
    26982795t "std_logic_vector"
    26992796b "(9 DOWNTO 0)"
    2700 o 43
     2797o 47
    27012798suid 40,0
    27022799)
    27032800)
    27042801)
    2705 *177 (CptPort
     2802*185 (CptPort
    27062803uid 1398,0
    27072804ps "OnEdgeStrategy"
     
    27352832font "Courier New,8,0"
    27362833)
    2737 xt "44000,40400,71000,41200"
    2738 st "W_D        : INOUT  std_logic_vector (15 DOWNTO 0)"
     2834xt "44000,43600,71000,44400"
     2835st "W_D        : INOUT  std_logic_vector (15 DOWNTO 0)
     2836"
    27392837)
    27402838thePort (LogicalPort
     
    27442842t "std_logic_vector"
    27452843b "(15 DOWNTO 0)"
    2746 o 49
     2844o 53
    27472845suid 41,0
    27482846)
    27492847)
    27502848)
    2751 *178 (CptPort
     2849*186 (CptPort
    27522850uid 1403,0
    27532851ps "OnEdgeStrategy"
     
    27912889font "Courier New,8,0"
    27922890)
    2793 xt "44000,38000,76000,38800"
    2794 st "W_RES      : OUT    std_logic                       := '1' ;"
     2891xt "44000,41200,76000,42000"
     2892st "W_RES      : OUT    std_logic                       := '1' ;
     2893"
    27952894)
    27962895thePort (LogicalPort
     
    27992898n "W_RES"
    28002899t "std_logic"
    2801 o 46
     2900o 50
    28022901suid 42,0
    28032902i "'1'"
     
    28052904)
    28062905)
    2807 *179 (CptPort
     2906*187 (CptPort
    28082907uid 1409,0
    28092908ps "OnEdgeStrategy"
     
    28472946font "Courier New,8,0"
    28482947)
    2849 xt "44000,37200,76000,38000"
    2850 st "W_RD       : OUT    std_logic                       := '1' ;"
     2948xt "44000,40400,76000,41200"
     2949st "W_RD       : OUT    std_logic                       := '1' ;
     2950"
    28512951)
    28522952thePort (LogicalPort
     
    28552955n "W_RD"
    28562956t "std_logic"
    2857 o 45
     2957o 49
    28582958suid 43,0
    28592959i "'1'"
     
    28612961)
    28622962)
    2863 *180 (CptPort
     2963*188 (CptPort
    28642964uid 1415,0
    28652965ps "OnEdgeStrategy"
     
    29033003font "Courier New,8,0"
    29043004)
    2905 xt "44000,38800,76000,39600"
    2906 st "W_WR       : OUT    std_logic                       := '1' ;"
     3005xt "44000,42000,76000,42800"
     3006st "W_WR       : OUT    std_logic                       := '1' ;
     3007"
    29073008)
    29083009thePort (LogicalPort
     
    29113012n "W_WR"
    29123013t "std_logic"
    2913 o 47
     3014o 51
    29143015suid 44,0
    29153016i "'1'"
     
    29173018)
    29183019)
    2919 *181 (CptPort
     3020*189 (CptPort
    29203021uid 1421,0
    29213022ps "OnEdgeStrategy"
     
    29483049font "Courier New,8,0"
    29493050)
    2950 xt "44000,10800,61500,11600"
    2951 st "W_INT      : IN     std_logic  ;"
     3051xt "44000,13200,61500,14000"
     3052st "W_INT      : IN     std_logic  ;
     3053"
    29523054)
    29533055thePort (LogicalPort
     
    29553057n "W_INT"
    29563058t "std_logic"
    2957 o 12
     3059o 15
    29583060suid 45,0
    29593061)
    29603062)
    29613063)
    2962 *182 (CptPort
     3064*190 (CptPort
    29633065uid 1426,0
    29643066ps "OnEdgeStrategy"
     
    30023104font "Courier New,8,0"
    30033105)
    3004 xt "44000,36400,76000,37200"
    3005 st "W_CS       : OUT    std_logic                       := '1' ;"
     3106xt "44000,39600,76000,40400"
     3107st "W_CS       : OUT    std_logic                       := '1' ;
     3108"
    30063109)
    30073110thePort (LogicalPort
     
    30103113n "W_CS"
    30113114t "std_logic"
    3012 o 44
     3115o 48
    30133116suid 46,0
    30143117i "'1'"
     
    30163119)
    30173120)
    3018 *183 (CptPort
     3121*191 (CptPort
    30193122uid 1620,0
    30203123ps "OnEdgeStrategy"
     
    30583161font "Courier New,8,0"
    30593162)
    3060 xt "44000,24400,76000,25200"
    3061 st "MOSI       : OUT    std_logic                       := '0' ;"
     3163xt "44000,26800,76000,27600"
     3164st "MOSI       : OUT    std_logic                       := '0' ;
     3165"
    30623166)
    30633167thePort (LogicalPort
     
    30663170n "MOSI"
    30673171t "std_logic"
    3068 o 29
     3172o 32
    30693173suid 47,0
    30703174i "'0'"
     
    30723176)
    30733177)
    3074 *184 (CptPort
     3178*192 (CptPort
    30753179uid 1626,0
    30763180ps "OnEdgeStrategy"
     
    31043208font "Courier New,8,0"
    31053209)
    3106 xt "44000,39600,61500,40400"
    3107 st "MISO       : INOUT  std_logic  ;"
     3210xt "44000,42800,61500,43600"
     3211st "MISO       : INOUT  std_logic  ;
     3212"
    31083213)
    31093214thePort (LogicalPort
     
    31143219preAdd 0
    31153220posAdd 0
    3116 o 48
     3221o 52
    31173222suid 48,0
    31183223)
    31193224)
    31203225)
    3121 *185 (CptPort
     3226*193 (CptPort
    31223227uid 1676,0
    31233228ps "OnEdgeStrategy"
     
    31513256font "Courier New,8,0"
    31523257)
    3153 xt "44000,34800,61500,35600"
    3154 st "TRG_V      : OUT    std_logic  ;"
     3258xt "44000,38000,61500,38800"
     3259st "TRG_V      : OUT    std_logic  ;
     3260"
    31553261)
    31563262thePort (LogicalPort
     
    31593265n "TRG_V"
    31603266t "std_logic"
    3161 o 42
     3267o 46
    31623268suid 49,0
    31633269)
    31643270)
    31653271)
    3166 *186 (CptPort
     3272*194 (CptPort
    31673273uid 1681,0
    31683274ps "OnEdgeStrategy"
     
    31963302font "Courier New,8,0"
    31973303)
    3198 xt "44000,26800,61500,27600"
    3199 st "RS485_C_RE : OUT    std_logic  ;"
     3304xt "44000,30000,61500,30800"
     3305st "RS485_C_RE : OUT    std_logic  ;
     3306"
    32003307)
    32013308thePort (LogicalPort
     
    32043311n "RS485_C_RE"
    32053312t "std_logic"
    3206 o 32
     3313o 36
    32073314suid 50,0
    32083315)
    32093316)
    32103317)
    3211 *187 (CptPort
     3318*195 (CptPort
    32123319uid 1686,0
    32133320ps "OnEdgeStrategy"
     
    32413348font "Courier New,8,0"
    32423349)
    3243 xt "44000,26000,61500,26800"
    3244 st "RS485_C_DE : OUT    std_logic  ;"
     3350xt "44000,28400,61500,29200"
     3351st "RS485_C_DE : OUT    std_logic  ;
     3352"
    32453353)
    32463354thePort (LogicalPort
     
    32493357n "RS485_C_DE"
    32503358t "std_logic"
    3251 o 31
     3359o 34
    32523360suid 51,0
    32533361)
    32543362)
    32553363)
    3256 *188 (CptPort
     3364*196 (CptPort
    32573365uid 1691,0
    32583366ps "OnEdgeStrategy"
     
    32863394font "Courier New,8,0"
    32873395)
    3288 xt "44000,28400,61500,29200"
    3289 st "RS485_E_RE : OUT    std_logic  ;"
     3396xt "44000,31600,61500,32400"
     3397st "RS485_E_RE : OUT    std_logic  ;
     3398"
    32903399)
    32913400thePort (LogicalPort
     
    32943403n "RS485_E_RE"
    32953404t "std_logic"
    3296 o 34
     3405o 38
    32973406suid 52,0
    32983407)
    32993408)
    33003409)
    3301 *189 (CptPort
     3410*197 (CptPort
    33023411uid 1696,0
    33033412ps "OnEdgeStrategy"
     
    33313440font "Courier New,8,0"
    33323441)
    3333 xt "44000,27600,61500,28400"
    3334 st "RS485_E_DE : OUT    std_logic  ;"
     3442xt "44000,30800,61500,31600"
     3443st "RS485_E_DE : OUT    std_logic  ;
     3444"
    33353445)
    33363446thePort (LogicalPort
     
    33393449n "RS485_E_DE"
    33403450t "std_logic"
    3341 o 33
     3451o 37
    33423452suid 53,0
    33433453)
    33443454)
    33453455)
    3346 *190 (CptPort
     3456*198 (CptPort
    33473457uid 1701,0
    33483458ps "OnEdgeStrategy"
     
    33863496font "Courier New,8,0"
    33873497)
    3388 xt "44000,18800,76000,19600"
    3389 st "DENABLE    : OUT    std_logic                       := '0' ;"
     3498xt "44000,21200,76000,22000"
     3499st "DENABLE    : OUT    std_logic                       := '0' ;
     3500"
    33903501)
    33913502thePort (LogicalPort
     
    33943505n "DENABLE"
    33953506t "std_logic"
    3396 o 22
     3507o 25
    33973508suid 54,0
    33983509i "'0'"
     
    34003511)
    34013512)
    3402 *191 (CptPort
     3513*199 (CptPort
    34033514uid 1706,0
    34043515ps "OnEdgeStrategy"
     
    34263537tm "CptPortNameMgr"
    34273538)
     3539t (Text
     3540va (VaSet
     3541)
     3542xt "30800,74500,32000,75500"
     3543st "'0'"
     3544ju 2
     3545blo "32000,75300"
     3546tm "InitValueDelayMgr"
     3547)
    34283548)
    34293549dt (MLText
     
    34323552font "Courier New,8,0"
    34333553)
    3434 xt "44000,30000,61500,30800"
    3435 st "SRIN       : OUT    std_logic  ;"
     3554xt "44000,33200,76000,34000"
     3555st "SRIN       : OUT    std_logic                       := '0' ;
     3556"
    34363557)
    34373558thePort (LogicalPort
     
    34403561n "SRIN"
    34413562t "std_logic"
    3442 o 36
     3563o 40
    34433564suid 55,0
    3444 )
    3445 )
    3446 )
    3447 *192 (CptPort
     3565i "'0'"
     3566)
     3567)
     3568)
     3569*200 (CptPort
    34483570uid 1711,0
    34493571ps "OnEdgeStrategy"
     
    34773599font "Courier New,8,0"
    34783600)
    3479 xt "44000,22800,61500,23600"
    3480 st "EE_CS      : OUT    std_logic  ;"
     3601xt "44000,25200,61500,26000"
     3602st "EE_CS      : OUT    std_logic  ;
     3603"
    34813604)
    34823605thePort (LogicalPort
     
    34853608n "EE_CS"
    34863609t "std_logic"
    3487 o 27
     3610o 30
    34883611suid 56,0
    34893612)
    34903613)
    34913614)
    3492 *193 (CptPort
     3615*201 (CptPort
    34933616uid 2068,0
    34943617ps "OnEdgeStrategy"
     
    35323655font "Courier New,8,0"
    35333656)
    3534 xt "44000,21200,82000,22000"
    3535 st "D_T        : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0') ;"
     3657xt "44000,23600,82000,24400"
     3658st "D_T        : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0') ;
     3659"
    35363660)
    35373661thePort (LogicalPort
     
    35413665t "std_logic_vector"
    35423666b "(7 DOWNTO 0)"
    3543 o 25
     3667o 28
    35443668suid 61,0
    35453669i "(OTHERS => '0')"
     
    35473671)
    35483672)
    3549 *194 (CptPort
     3673*202 (CptPort
    35503674uid 2375,0
    35513675ps "OnEdgeStrategy"
     
    35893713font "Courier New,8,0"
    35903714)
    3591 xt "44000,23600,82000,24400"
    3592 st "LED        : OUT    std_logic_vector ( 2 DOWNTO 0 ) := (others => '1') ;"
     3715xt "44000,26000,82000,26800"
     3716st "LED        : OUT    std_logic_vector ( 2 DOWNTO 0 ) := (others => '1') ;
     3717"
    35933718)
    35943719thePort (LogicalPort
     
    35983723t "std_logic_vector"
    35993724b "( 2 DOWNTO 0 )"
    3600 o 28
     3725o 31
    36013726suid 62,0
    36023727i "(others => '1')"
     
    36043729)
    36053730)
    3606 *195 (CptPort
     3731*203 (CptPort
    36073732uid 2919,0
    36083733ps "OnEdgeStrategy"
     
    36363761)
    36373762xt "44000,9200,71500,10000"
    3638 st "D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0) ;"
     3763st "D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0) ;
     3764"
    36393765)
    36403766thePort (LogicalPort
     
    36483774)
    36493775)
    3650 *196 (CptPort
     3776*204 (CptPort
    36513777uid 2949,0
    36523778ps "OnEdgeStrategy"
     
    36903816font "Courier New,8,0"
    36913817)
    3692 xt "44000,22000,82000,22800"
    3693 st "D_T2       : OUT    std_logic_vector (3 DOWNTO 0)   := (others => '0') ;"
     3818xt "44000,24400,82000,25200"
     3819st "D_T2       : OUT    std_logic_vector (3 DOWNTO 0)   := (others => '0') ;
     3820"
    36943821)
    36953822thePort (LogicalPort
     
    36993826t "std_logic_vector"
    37003827b "(3 DOWNTO 0)"
    3701 o 26
     3828o 29
    37023829suid 65,0
    37033830i "(others => '0')"
     
    37053832)
    37063833)
    3707 *197 (CptPort
     3834*205 (CptPort
    37083835uid 3026,0
    37093836ps "OnEdgeStrategy"
     
    37473874font "Courier New,8,0"
    37483875)
    3749 xt "44000,13200,82000,14000"
    3750 st "A1_T       : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0') ;"
     3876xt "44000,15600,82000,16400"
     3877st "A1_T       : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0') ;
     3878"
    37513879)
    37523880thePort (LogicalPort
     
    37563884t "std_logic_vector"
    37573885b "(7 DOWNTO 0)"
    3758 o 15
     3886o 18
    37593887suid 66,0
    37603888i "(OTHERS => '0')"
     
    37623890)
    37633891)
    3764 *198 (CptPort
     3892*206 (CptPort
    37653893uid 3456,0
    37663894ps "OnEdgeStrategy"
     
    38043932font "Courier New,8,0"
    38053933)
    3806 xt "44000,12400,82000,13200"
    3807 st "A0_T       : OUT    std_logic_vector (7 DOWNTO 0)   := (others => '0') ;"
     3934xt "44000,14800,82000,15600"
     3935st "A0_T       : OUT    std_logic_vector (7 DOWNTO 0)   := (others => '0') ;
     3936"
    38083937)
    38093938thePort (LogicalPort
     
    38133942t "std_logic_vector"
    38143943b "(7 DOWNTO 0)"
    3815 o 14
     3944o 17
    38163945suid 68,0
    38173946i "(others => '0')"
     3947)
     3948)
     3949)
     3950*207 (CptPort
     3951uid 3581,0
     3952ps "OnEdgeStrategy"
     3953shape (Triangle
     3954uid 3582,0
     3955ro 90
     3956va (VaSet
     3957vasetType 1
     3958fg "0,65535,0"
     3959)
     3960xt "14250,47625,15000,48375"
     3961)
     3962tg (CPTG
     3963uid 3583,0
     3964ps "CptPortTextPlaceStrategy"
     3965stg "VerticalLayoutStrategy"
     3966f (Text
     3967uid 3584,0
     3968va (VaSet
     3969)
     3970xt "16000,47500,21300,48500"
     3971st "RS485_C_DI"
     3972blo "16000,48300"
     3973tm "CptPortNameMgr"
     3974)
     3975)
     3976dt (MLText
     3977uid 3585,0
     3978va (VaSet
     3979font "Courier New,8,0"
     3980)
     3981xt "44000,10000,61500,10800"
     3982st "RS485_C_DI : IN     std_logic  ;
     3983"
     3984)
     3985thePort (LogicalPort
     3986decl (Decl
     3987n "RS485_C_DI"
     3988t "std_logic"
     3989o 11
     3990suid 69,0
     3991)
     3992)
     3993)
     3994*208 (CptPort
     3995uid 3586,0
     3996ps "OnEdgeStrategy"
     3997shape (Triangle
     3998uid 3587,0
     3999ro 90
     4000va (VaSet
     4001vasetType 1
     4002fg "0,65535,0"
     4003)
     4004xt "33000,101625,33750,102375"
     4005)
     4006tg (CPTG
     4007uid 3588,0
     4008ps "CptPortTextPlaceStrategy"
     4009stg "RightVerticalLayoutStrategy"
     4010f (Text
     4011uid 3589,0
     4012va (VaSet
     4013)
     4014xt "26300,101500,32000,102500"
     4015st "RS485_C_DO"
     4016ju 2
     4017blo "32000,102300"
     4018tm "CptPortNameMgr"
     4019)
     4020)
     4021dt (MLText
     4022uid 3590,0
     4023va (VaSet
     4024font "Courier New,8,0"
     4025)
     4026xt "44000,29200,61500,30000"
     4027st "RS485_C_DO : OUT    std_logic  ;
     4028"
     4029)
     4030thePort (LogicalPort
     4031m 1
     4032decl (Decl
     4033n "RS485_C_DO"
     4034t "std_logic"
     4035o 35
     4036suid 70,0
     4037)
     4038)
     4039)
     4040*209 (CptPort
     4041uid 3687,0
     4042ps "OnEdgeStrategy"
     4043shape (Triangle
     4044uid 3688,0
     4045ro 90
     4046va (VaSet
     4047vasetType 1
     4048fg "0,65535,0"
     4049)
     4050xt "14250,49625,15000,50375"
     4051)
     4052tg (CPTG
     4053uid 3689,0
     4054ps "CptPortTextPlaceStrategy"
     4055stg "VerticalLayoutStrategy"
     4056f (Text
     4057uid 3690,0
     4058va (VaSet
     4059)
     4060xt "16000,49500,21200,50500"
     4061st "RS485_E_DI"
     4062blo "16000,50300"
     4063tm "CptPortNameMgr"
     4064)
     4065)
     4066dt (MLText
     4067uid 3691,0
     4068va (VaSet
     4069font "Courier New,8,0"
     4070)
     4071xt "44000,10800,61500,11600"
     4072st "RS485_E_DI : IN     std_logic  ;
     4073"
     4074)
     4075thePort (LogicalPort
     4076decl (Decl
     4077n "RS485_E_DI"
     4078t "std_logic"
     4079o 12
     4080suid 71,0
     4081)
     4082)
     4083)
     4084*210 (CptPort
     4085uid 3692,0
     4086ps "OnEdgeStrategy"
     4087shape (Triangle
     4088uid 3789,0
     4089ro 90
     4090va (VaSet
     4091vasetType 1
     4092fg "0,65535,0"
     4093)
     4094xt "14250,51625,15000,52375"
     4095)
     4096tg (CPTG
     4097uid 3694,0
     4098ps "CptPortTextPlaceStrategy"
     4099stg "VerticalLayoutStrategy"
     4100f (Text
     4101uid 3695,0
     4102va (VaSet
     4103)
     4104xt "16000,51500,21600,52500"
     4105st "RS485_E_DO"
     4106blo "16000,52300"
     4107tm "CptPortNameMgr"
     4108)
     4109)
     4110dt (MLText
     4111uid 3696,0
     4112va (VaSet
     4113font "Courier New,8,0"
     4114)
     4115xt "44000,11600,61500,12400"
     4116st "RS485_E_DO : IN     std_logic  ;
     4117"
     4118)
     4119thePort (LogicalPort
     4120decl (Decl
     4121n "RS485_E_DO"
     4122t "std_logic"
     4123o 13
     4124suid 72,0
    38184125)
    38194126)
     
    38284135lineWidth 2
    38294136)
    3830 xt "15000,6000,33000,101000"
     4137xt "15000,6000,33000,105000"
    38314138)
    38324139oxt "15000,6000,33000,26000"
     
    38544161)
    38554162)
    3856 gi *199 (GenericInterface
     4163gi *211 (GenericInterface
    38574164uid 13,0
    38584165ps "CenterOffsetStrategy"
     
    38814188)
    38824189)
    3883 *200 (Grouping
     4190*212 (Grouping
    38844191uid 16,0
    38854192optionalChildren [
    3886 *201 (CommentText
     4193*213 (CommentText
    38874194uid 18,0
    38884195shape (Rectangle
     
    39024209bg "0,0,32768"
    39034210)
    3904 xt "36200,48000,45900,49000"
     4211xt "36200,48000,45700,49000"
    39054212st "
    39064213by %user on %dd %month %year
     
    39154222titleBlock 1
    39164223)
    3917 *202 (CommentText
     4224*214 (CommentText
    39184225uid 21,0
    39194226shape (Rectangle
     
    39464253titleBlock 1
    39474254)
    3948 *203 (CommentText
     4255*215 (CommentText
    39494256uid 24,0
    39504257shape (Rectangle
     
    39774284titleBlock 1
    39784285)
    3979 *204 (CommentText
     4286*216 (CommentText
    39804287uid 27,0
    39814288shape (Rectangle
     
    40084315titleBlock 1
    40094316)
    4010 *205 (CommentText
     4317*217 (CommentText
    40114318uid 30,0
    40124319shape (Rectangle
     
    40384345titleBlock 1
    40394346)
    4040 *206 (CommentText
     4347*218 (CommentText
    40414348uid 33,0
    40424349shape (Rectangle
     
    40694376titleBlock 1
    40704377)
    4071 *207 (CommentText
     4378*219 (CommentText
    40724379uid 36,0
    40734380shape (Rectangle
     
    41014408titleBlock 1
    41024409)
    4103 *208 (CommentText
     4410*220 (CommentText
    41044411uid 39,0
    41054412shape (Rectangle
     
    41324439titleBlock 1
    41334440)
    4134 *209 (CommentText
     4441*221 (CommentText
    41354442uid 42,0
    41364443shape (Rectangle
     
    41634470titleBlock 1
    41644471)
    4165 *210 (CommentText
     4472*222 (CommentText
    41664473uid 45,0
    41674474shape (Rectangle
     
    42194526color "26368,26368,26368"
    42204527)
    4221 packageList *211 (PackageList
     4528packageList *223 (PackageList
    42224529uid 48,0
    42234530stg "VerticalLayoutStrategy"
    42244531textVec [
    4225 *212 (Text
     4532*224 (Text
    42264533uid 49,0
    42274534va (VaSet
     
    42324539blo "0,800"
    42334540)
    4234 *213 (MLText
     4541*225 (MLText
    42354542uid 50,0
    42364543va (VaSet
     
    43304637)
    43314638)
    4332 gi *214 (GenericInterface
     4639gi *226 (GenericInterface
    43334640ps "CenterOffsetStrategy"
    43344641matrix (Matrix
     
    44274734)
    44284735)
    4429 DeclarativeBlock *215 (SymDeclBlock
     4736DeclarativeBlock *227 (SymDeclBlock
    44304737uid 1,0
    44314738stg "SymDeclLayoutStrategy"
     
    44534760font "Arial,8,1"
    44544761)
    4455 xt "42000,41200,44400,42200"
     4762xt "42000,44400,44400,45400"
    44564763st "User:"
    4457 blo "42000,42000"
     4764blo "42000,45200"
    44584765)
    44594766internalLabel (Text
     
    44724779font "Courier New,8,0"
    44734780)
    4474 xt "44000,42200,44000,42200"
     4781xt "44000,45400,44000,45400"
    44754782tm "SyDeclarativeTextMgr"
    44764783)
     
    44854792)
    44864793)
    4487 lastUid 3530,0
     4794lastUid 3958,0
    44884795activeModelName "Symbol:CDM"
    44894796)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r9912 r10073  
    224224uid 9957,0
    225225)
     226(Instance
     227name "U_9"
     228duLibraryName "moduleware"
     229duName "or"
     230elements [
     231]
     232mwi 1
     233uid 10380,0
     234)
    226235]
    227236libraryRefs [
     
    239248(vvPair
    240249variable "HDLDir"
    241 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hdl"
     250value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    242251)
    243252(vvPair
    244253variable "HDSDir"
    245 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     254value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    246255)
    247256(vvPair
    248257variable "SideDataDesignDir"
    249 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
     258value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
    250259)
    251260(vvPair
    252261variable "SideDataUserDir"
    253 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
     262value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
    254263)
    255264(vvPair
    256265variable "SourceDir"
    257 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     266value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    258267)
    259268(vvPair
     
    271280(vvPair
    272281variable "d"
    273 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_main"
     282value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
    274283)
    275284(vvPair
    276285variable "d_logical"
    277 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_main"
     286value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
    278287)
    279288(vvPair
    280289variable "date"
    281 value "30.08.2010"
     290value "04.01.2011"
    282291)
    283292(vvPair
    284293variable "day"
    285 value "Mo"
     294value "Di"
    286295)
    287296(vvPair
    288297variable "day_long"
    289 value "Montag"
     298value "Dienstag"
    290299)
    291300(vvPair
    292301variable "dd"
    293 value "30"
     302value "04"
    294303)
    295304(vvPair
     
    355364(vvPair
    356365variable "mm"
    357 value "08"
     366value "01"
    358367)
    359368(vvPair
     
    363372(vvPair
    364373variable "month"
    365 value "Aug"
     374value "Jan"
    366375)
    367376(vvPair
    368377variable "month_long"
    369 value "August"
     378value "Januar"
    370379)
    371380(vvPair
    372381variable "p"
    373 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
     382value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
    374383)
    375384(vvPair
    376385variable "p_logical"
    377 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
     386value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
    378387)
    379388(vvPair
     
    431440(vvPair
    432441variable "time"
    433 value "10:49:09"
     442value "11:02:29"
    434443)
    435444(vvPair
     
    451460(vvPair
    452461variable "year"
    453 value "2010"
     462value "2011"
    454463)
    455464(vvPair
    456465variable "yy"
    457 value "10"
     466value "11"
    458467)
    459468]
     
    522531font "Courier New,8,0"
    523532)
    524 xt "-103000,105000,-59500,105800"
    525 st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
    526 "
     533xt "-103000,114600,-59500,115400"
     534st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\""
    527535)
    528536)
     
    541549font "Courier New,8,0"
    542550)
    543 xt "-103000,61000,-63000,61800"
    544 st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    545 "
     551xt "-103000,61800,-63000,62600"
     552st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    546553)
    547554)
     
    560567font "Courier New,8,0"
    561568)
    562 xt "-103000,75400,-70500,76200"
    563 st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)
    564 "
     569xt "-103000,79400,-70500,80200"
     570st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)"
    565571)
    566572)
     
    579585font "Courier New,8,0"
    580586)
    581 xt "-103000,87400,-63000,88200"
    582 st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
    583 "
     587xt "-103000,92200,-63000,93000"
     588st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
    584589)
    585590)
     
    598603font "Courier New,8,0"
    599604)
    600 xt "-103000,88200,-70500,89000"
    601 st "SIGNAL ram_data               : std_logic_vector(15 downto 0)
    602 "
     605xt "-103000,93000,-70500,93800"
     606st "SIGNAL ram_data               : std_logic_vector(15 downto 0)"
    603607)
    604608)
     
    617621font "Courier New,8,0"
    618622)
    619 xt "-103000,52600,-63000,53400"
    620 st "wiz_reset              : std_logic                                    := '1'
    621 "
     623xt "-103000,53400,-63000,54200"
     624st "wiz_reset              : std_logic                                    := '1'"
    622625)
    623626)
     
    636639font "Courier New,8,0"
    637640)
    638 xt "-103000,50200,-74500,51000"
    639 st "wiz_addr               : std_logic_vector(9 DOWNTO 0)
    640 "
     641xt "-103000,51000,-74500,51800"
     642st "wiz_addr               : std_logic_vector(9 DOWNTO 0)"
    641643)
    642644)
     
    655657font "Courier New,8,0"
    656658)
    657 xt "-103000,55000,-74000,55800"
    658 st "wiz_data               : std_logic_vector(15 DOWNTO 0)
    659 "
     659xt "-103000,55800,-74000,56600"
     660st "wiz_data               : std_logic_vector(15 DOWNTO 0)"
    660661)
    661662)
     
    674675font "Courier New,8,0"
    675676)
    676 xt "-103000,51000,-63000,51800"
    677 st "wiz_cs                 : std_logic                                    := '1'
    678 "
     677xt "-103000,51800,-63000,52600"
     678st "wiz_cs                 : std_logic                                    := '1'"
    679679)
    680680)
     
    693693font "Courier New,8,0"
    694694)
    695 xt "-103000,53400,-63000,54200"
    696 st "wiz_wr                 : std_logic                                    := '1'
    697 "
     695xt "-103000,54200,-63000,55000"
     696st "wiz_wr                 : std_logic                                    := '1'"
    698697)
    699698)
     
    712711font "Courier New,8,0"
    713712)
    714 xt "-103000,51800,-63000,52600"
    715 st "wiz_rd                 : std_logic                                    := '1'
    716 "
     713xt "-103000,52600,-63000,53400"
     714st "wiz_rd                 : std_logic                                    := '1'"
    717715)
    718716)
     
    731729)
    732730xt "-103000,26200,-84500,27000"
    733 st "wiz_int                : std_logic
    734 "
     731st "wiz_int                : std_logic"
    735732)
    736733)
     
    748745sl 0
    749746ro 270
    750 xt "153500,51625,155000,52375"
     747xt "154500,51625,156000,52375"
    751748)
    752749(Line
     
    754751sl 0
    755752ro 270
    756 xt "153000,52000,153500,52000"
    757 pts [
    758 "153000,52000"
    759 "153500,52000"
     753xt "154000,52000,154500,52000"
     754pts [
     755"154000,52000"
     756"154500,52000"
    760757]
    761758)
     
    771768va (VaSet
    772769)
    773 xt "156000,51500,159600,52500"
     770xt "157000,51500,160600,52500"
    774771st "wiz_reset"
    775 blo "156000,52300"
     772blo "157000,52300"
    776773tm "WireNameMgr"
    777774)
     
    791788sl 0
    792789ro 270
    793 xt "153500,59625,155000,60375"
     790xt "154500,59625,156000,60375"
    794791)
    795792(Line
     
    797794sl 0
    798795ro 270
    799 xt "153000,60000,153500,60000"
    800 pts [
    801 "153000,60000"
    802 "153500,60000"
     796xt "154000,60000,154500,60000"
     797pts [
     798"154000,60000"
     799"154500,60000"
    803800]
    804801)
     
    814811va (VaSet
    815812)
    816 xt "156000,59500,162000,60500"
     813xt "157000,59500,163000,60500"
    817814st "wiz_addr : (9:0)"
    818 blo "156000,60300"
     815blo "157000,60300"
    819816tm "WireNameMgr"
    820817)
     
    833830uid 468,0
    834831sl 0
    835 xt "153500,60625,155000,61375"
     832xt "154500,60625,156000,61375"
    836833)
    837834(Line
    838835uid 469,0
    839836sl 0
    840 xt "153000,61000,153500,61000"
    841 pts [
    842 "153000,61000"
    843 "153500,61000"
     837xt "154000,61000,154500,61000"
     838pts [
     839"154000,61000"
     840"154500,61000"
    844841]
    845842)
     
    855852va (VaSet
    856853)
    857 xt "156000,60500,162300,61500"
     854xt "157000,60500,163300,61500"
    858855st "wiz_data : (15:0)"
    859 blo "156000,61300"
     856blo "157000,61300"
    860857tm "WireNameMgr"
    861858)
     
    875872sl 0
    876873ro 270
    877 xt "153500,52625,155000,53375"
     874xt "154500,52625,156000,53375"
    878875)
    879876(Line
     
    881878sl 0
    882879ro 270
    883 xt "153000,53000,153500,53000"
    884 pts [
    885 "153000,53000"
    886 "153500,53000"
     880xt "154000,53000,154500,53000"
     881pts [
     882"154000,53000"
     883"154500,53000"
    887884]
    888885)
     
    899896va (VaSet
    900897)
    901 xt "156000,52500,158700,53500"
     898xt "157000,52500,159700,53500"
    902899st "wiz_cs"
    903 blo "156000,53300"
     900blo "157000,53300"
    904901tm "WireNameMgr"
    905902)
     
    919916sl 0
    920917ro 270
    921 xt "153500,53625,155000,54375"
     918xt "154500,53625,156000,54375"
    922919)
    923920(Line
     
    925922sl 0
    926923ro 270
    927 xt "153000,54000,153500,54000"
    928 pts [
    929 "153000,54000"
    930 "153500,54000"
     924xt "154000,54000,154500,54000"
     925pts [
     926"154000,54000"
     927"154500,54000"
    931928]
    932929)
     
    943940va (VaSet
    944941)
    945 xt "156000,53500,158700,54500"
     942xt "157000,53500,159700,54500"
    946943st "wiz_wr"
    947 blo "156000,54300"
     944blo "157000,54300"
    948945tm "WireNameMgr"
    949946)
     
    963960sl 0
    964961ro 270
    965 xt "153500,70625,155000,71375"
     962xt "154500,70625,156000,71375"
    966963)
    967964(Line
     
    969966sl 0
    970967ro 270
    971 xt "153000,71000,153500,71000"
    972 pts [
    973 "153000,71000"
    974 "153500,71000"
     968xt "154000,71000,154500,71000"
     969pts [
     970"154000,71000"
     971"154500,71000"
    975972]
    976973)
     
    986983va (VaSet
    987984)
    988 xt "156000,70500,160000,71500"
     985xt "157000,70500,161000,71500"
    989986st "led : (7:0)"
    990 blo "156000,71300"
     987blo "157000,71300"
    991988tm "WireNameMgr"
    992989)
     
    10061003sl 0
    10071004ro 270
    1008 xt "153500,54625,155000,55375"
     1005xt "154500,54625,156000,55375"
    10091006)
    10101007(Line
     
    10121009sl 0
    10131010ro 270
    1014 xt "153000,55000,153500,55000"
    1015 pts [
    1016 "153000,55000"
    1017 "153500,55000"
     1011xt "154000,55000,154500,55000"
     1012pts [
     1013"154000,55000"
     1014"154500,55000"
    10181015]
    10191016)
     
    10301027va (VaSet
    10311028)
    1032 xt "156000,54500,158600,55500"
     1029xt "157000,54500,159600,55500"
    10331030st "wiz_rd"
    1034 blo "156000,55300"
     1031blo "157000,55300"
    10351032tm "WireNameMgr"
    10361033)
     
    10501047sl 0
    10511048ro 90
    1052 xt "153500,55625,155000,56375"
     1049xt "154500,55625,156000,56375"
    10531050)
    10541051(Line
     
    10561053sl 0
    10571054ro 90
    1058 xt "153000,56000,153500,56000"
    1059 pts [
    1060 "153500,56000"
    1061 "153000,56000"
     1055xt "154000,56000,154500,56000"
     1056pts [
     1057"154500,56000"
     1058"154000,56000"
    10621059]
    10631060)
     
    10741071va (VaSet
    10751072)
    1076 xt "156000,55500,158700,56500"
     1073xt "157000,55500,159700,56500"
    10771074st "wiz_int"
    1078 blo "156000,56300"
     1075blo "157000,56300"
    10791076tm "WireNameMgr"
    10801077)
     
    11131110n "clk"
    11141111t "std_logic"
     1112prec "--      led            : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');"
    11151113preAdd 0
    11161114posAdd 0
     
    13081306preAdd 0
    13091307posAdd 0
    1310 o 23
     1308o 24
    13111309suid 9,0
    13121310)
     
    13451343preAdd 0
    13461344posAdd 0
    1347 o 25
     1345o 26
    13481346suid 10,0
    13491347)
     
    13811379preAdd 0
    13821380posAdd 0
    1383 o 26
     1381o 27
    13841382suid 11,0
    13851383)
     
    14161414t "std_logic_vector"
    14171415b "(1 downto 0)"
    1418 o 24
     1416o 25
    14191417suid 12,0
    14201418)
     
    14871485n "ram_write_ready"
    14881486t "std_logic"
     1487posAdd 0
    14891488o 7
    14901489suid 17,0
     
    15231522n "roi_max"
    15241523t "roi_max_type"
    1525 o 18
     1524o 19
    15261525suid 18,0
    15271526)
     
    15581557n "roi_array"
    15591558t "roi_array_type"
    1560 o 17
     1559o 18
    15611560suid 19,0
    15621561)
     
    15941593t "std_logic_vector"
    15951594b "(15 downto 0)"
    1596 o 22
     1595o 23
    15971596suid 20,0
    15981597)
     
    16801679fg "0,65535,0"
    16811680)
    1682 xt "18250,56625,19000,57375"
     1681xt "18250,63625,19000,64375"
    16831682)
    16841683tg (CPTG
     
    16901689va (VaSet
    16911690)
    1692 xt "20000,56500,28500,57500"
     1691xt "20000,63500,28500,64500"
    16931692st "drs_channel_id : (3:0)"
    1694 blo "20000,57300"
     1693blo "20000,64300"
    16951694)
    16961695)
     
    18441843n "drs_read_s_cell_ready"
    18451844t "std_logic"
    1846 o 38
     1845o 42
    18471846suid 34,0
    18481847)
     
    18781877n "drs_s_cell_array"
    18791878t "drs_s_cell_array_type"
    1880 o 39
     1879o 43
    18811880suid 35,0
    18821881)
     
    19471946n "config_ready_cm"
    19481947t "std_logic"
    1949 o 12
     1948o 13
    19501949suid 39,0
    19511950)
     
    19831982n "config_start_cm"
    19841983t "std_logic"
    1985 o 9
     1984prec "-- --"
     1985preAdd 0
     1986posAdd 0
     1987o 10
    19861988suid 40,0
    19871989i "'0'"
     
    19901992)
    19911993*48 (CptPort
    1992 uid 5271,0
    1993 ps "OnEdgeStrategy"
    1994 shape (Triangle
    1995 uid 5272,0
    1996 ro 270
    1997 va (VaSet
    1998 vasetType 1
    1999 fg "0,65535,0"
    2000 )
    2001 xt "40000,52625,40750,53375"
    2002 )
    2003 tg (CPTG
    2004 uid 5273,0
    2005 ps "CptPortTextPlaceStrategy"
    2006 stg "RightVerticalLayoutStrategy"
    2007 f (Text
    2008 uid 5274,0
    2009 va (VaSet
    2010 )
    2011 xt "35400,52500,39000,53500"
    2012 st "s_trigger"
    2013 ju 2
    2014 blo "39000,53300"
    2015 )
    2016 )
    2017 thePort (LogicalPort
    2018 decl (Decl
    2019 n "s_trigger"
    2020 t "std_logic"
    2021 o 27
    2022 suid 41,0
    2023 )
    2024 )
    2025 )
    2026 *49 (CptPort
    20271994uid 5392,0
    20281995ps "OnEdgeStrategy"
     
    20542021n "config_ready_mm"
    20552022t "std_logic"
    2056 o 11
     2023o 12
    20572024suid 42,0
    20582025)
    20592026)
    20602027)
    2061 *50 (CptPort
     2028*49 (CptPort
    20622029uid 5396,0
    20632030ps "OnEdgeStrategy"
     
    20892056n "config_ready_spi"
    20902057t "std_logic"
    2091 o 13
     2058o 14
    20922059suid 43,0
    20932060)
    20942061)
    20952062)
    2096 *51 (CptPort
     2063*50 (CptPort
    20972064uid 5464,0
    20982065ps "OnEdgeStrategy"
     
    21242091n "sensor_array"
    21252092t "sensor_array_type"
    2126 o 19
     2093o 20
    21272094suid 44,0
    21282095)
    21292096)
    21302097)
    2131 *52 (CptPort
     2098*51 (CptPort
    21322099uid 5468,0
    21332100ps "OnEdgeStrategy"
     
    21592126n "sensor_ready"
    21602127t "std_logic"
    2161 o 20
     2128o 21
    21622129suid 45,0
    21632130)
    21642131)
    21652132)
    2166 *53 (CptPort
     2133*52 (CptPort
    21672134uid 5735,0
    21682135ps "OnEdgeStrategy"
     
    21952162n "config_start_mm"
    21962163t "std_logic"
    2197 o 8
     2164prec "-- --"
     2165preAdd 0
     2166posAdd 0
     2167o 9
    21982168suid 46,0
    21992169i "'0'"
     
    22012171)
    22022172)
    2203 *54 (CptPort
     2173*53 (CptPort
    22042174uid 5739,0
    22052175ps "OnEdgeStrategy"
     
    22322202n "config_start_spi"
    22332203t "std_logic"
    2234 o 10
     2204prec "-- --"
     2205preAdd 0
     2206o 11
    22352207suid 47,0
    22362208i "'0'"
     
    22382210)
    22392211)
    2240 *55 (CptPort
     2212*54 (CptPort
    22412213uid 5916,0
    22422214ps "OnEdgeStrategy"
     
    22752247)
    22762248)
    2277 *56 (CptPort
     2249*55 (CptPort
    22782250uid 5920,0
    22792251ps "OnEdgeStrategy"
     
    23052277n "new_config"
    23062278t "std_logic"
     2279prec "--      s_trigger      : in std_logic;"
     2280preAdd 0
    23072281o 28
    23082282suid 49,0
     
    23102284)
    23112285)
    2312 *57 (CptPort
     2286*56 (CptPort
    23132287uid 5974,0
    23142288ps "OnEdgeStrategy"
     
    23402314n "config_started_cm"
    23412315t "std_logic"
    2342 o 15
     2316o 16
    23432317suid 50,0
    23442318)
    23452319)
    23462320)
    2347 *58 (CptPort
     2321*57 (CptPort
    23482322uid 5978,0
    23492323ps "OnEdgeStrategy"
     
    23752349n "config_started_mm"
    23762350t "std_logic"
    2377 o 14
     2351o 15
    23782352suid 51,0
    23792353)
    23802354)
    23812355)
    2382 *59 (CptPort
     2356*58 (CptPort
    23832357uid 5982,0
    23842358ps "OnEdgeStrategy"
     
    24102384n "config_started_spi"
    24112385t "std_logic"
    2412 o 16
     2386o 17
    24132387suid 52,0
    24142388)
    24152389)
    24162390)
    2417 *60 (CptPort
     2391*59 (CptPort
    24182392uid 6060,0
    24192393ps "OnEdgeStrategy"
     
    24452419n "dac_array"
    24462420t "dac_array_type"
    2447 o 21
     2421o 22
    24482422suid 53,0
    24492423)
    24502424)
    24512425)
    2452 *61 (CptPort
     2426*60 (CptPort
    24532427uid 9000,0
    24542428ps "OnEdgeStrategy"
     
    24832457suid 54,0
    24842458i "'0'"
     2459)
     2460)
     2461)
     2462*61 (CptPort
     2463uid 10244,0
     2464ps "OnEdgeStrategy"
     2465shape (Triangle
     2466uid 10245,0
     2467ro 270
     2468va (VaSet
     2469vasetType 1
     2470fg "0,65535,0"
     2471)
     2472xt "18250,56625,19000,57375"
     2473)
     2474tg (CPTG
     2475uid 10246,0
     2476ps "CptPortTextPlaceStrategy"
     2477stg "VerticalLayoutStrategy"
     2478f (Text
     2479uid 10247,0
     2480va (VaSet
     2481)
     2482xt "20000,56500,28000,57500"
     2483st "drs_srin_data : (7:0)"
     2484blo "20000,57300"
     2485)
     2486)
     2487thePort (LogicalPort
     2488m 1
     2489decl (Decl
     2490n "drs_srin_data"
     2491t "std_logic_vector"
     2492b "(7 downto 0)"
     2493o 40
     2494suid 56,0
     2495i "(others => '0')"
     2496)
     2497)
     2498)
     2499*62 (CptPort
     2500uid 10248,0
     2501ps "OnEdgeStrategy"
     2502shape (Triangle
     2503uid 10249,0
     2504ro 270
     2505va (VaSet
     2506vasetType 1
     2507fg "0,65535,0"
     2508)
     2509xt "18250,53625,19000,54375"
     2510)
     2511tg (CPTG
     2512uid 10250,0
     2513ps "CptPortTextPlaceStrategy"
     2514stg "VerticalLayoutStrategy"
     2515f (Text
     2516uid 10251,0
     2517va (VaSet
     2518)
     2519xt "20000,53500,26800,54500"
     2520st "drs_srin_write_8b"
     2521blo "20000,54300"
     2522)
     2523)
     2524thePort (LogicalPort
     2525m 1
     2526decl (Decl
     2527n "drs_srin_write_8b"
     2528t "std_logic"
     2529o 38
     2530suid 57,0
     2531i "'0'"
     2532)
     2533)
     2534)
     2535*63 (CptPort
     2536uid 10252,0
     2537ps "OnEdgeStrategy"
     2538shape (Triangle
     2539uid 10253,0
     2540ro 90
     2541va (VaSet
     2542vasetType 1
     2543fg "0,65535,0"
     2544)
     2545xt "18250,54625,19000,55375"
     2546)
     2547tg (CPTG
     2548uid 10254,0
     2549ps "CptPortTextPlaceStrategy"
     2550stg "VerticalLayoutStrategy"
     2551f (Text
     2552uid 10255,0
     2553va (VaSet
     2554)
     2555xt "20000,54500,27100,55500"
     2556st "drs_srin_write_ack"
     2557blo "20000,55300"
     2558)
     2559)
     2560thePort (LogicalPort
     2561decl (Decl
     2562n "drs_srin_write_ack"
     2563t "std_logic"
     2564o 39
     2565suid 58,0
     2566)
     2567)
     2568)
     2569*64 (CptPort
     2570uid 10256,0
     2571ps "OnEdgeStrategy"
     2572shape (Triangle
     2573uid 10257,0
     2574ro 90
     2575va (VaSet
     2576vasetType 1
     2577fg "0,65535,0"
     2578)
     2579xt "18250,55625,19000,56375"
     2580)
     2581tg (CPTG
     2582uid 10258,0
     2583ps "CptPortTextPlaceStrategy"
     2584stg "VerticalLayoutStrategy"
     2585f (Text
     2586uid 10259,0
     2587va (VaSet
     2588)
     2589xt "20000,55500,28200,56500"
     2590st "drs_srin_write_ready"
     2591blo "20000,56300"
     2592)
     2593)
     2594thePort (LogicalPort
     2595decl (Decl
     2596n "drs_srin_write_ready"
     2597t "std_logic"
     2598o 41
     2599suid 59,0
     2600)
     2601)
     2602)
     2603*65 (CptPort
     2604uid 10260,0
     2605ps "OnEdgeStrategy"
     2606shape (Triangle
     2607uid 10261,0
     2608ro 270
     2609va (VaSet
     2610vasetType 1
     2611fg "0,65535,0"
     2612)
     2613xt "40000,65625,40750,66375"
     2614)
     2615tg (CPTG
     2616uid 10262,0
     2617ps "CptPortTextPlaceStrategy"
     2618stg "RightVerticalLayoutStrategy"
     2619f (Text
     2620uid 10263,0
     2621va (VaSet
     2622)
     2623xt "31200,65500,39000,66500"
     2624st "ram_write_ready_ack"
     2625ju 2
     2626blo "39000,66300"
     2627)
     2628)
     2629thePort (LogicalPort
     2630decl (Decl
     2631n "ram_write_ready_ack"
     2632t "std_logic"
     2633prec "-- --"
     2634preAdd 0
     2635posAdd 0
     2636o 8
     2637suid 60,0
    24852638)
    24862639)
     
    25032656stg "VerticalLayoutStrategy"
    25042657textVec [
    2505 *62 (Text
     2658*66 (Text
    25062659uid 1402,0
    25072660va (VaSet
     
    25132666tm "BdLibraryNameMgr"
    25142667)
    2515 *63 (Text
     2668*67 (Text
    25162669uid 1403,0
    25172670va (VaSet
     
    25232676tm "CptNameMgr"
    25242677)
    2525 *64 (Text
     2678*68 (Text
    25262679uid 1404,0
    25272680va (VaSet
     
    25762729archFileType "UNKNOWN"
    25772730)
    2578 *65 (Net
     2731*69 (Net
    25792732uid 1409,0
    25802733decl (Decl
     
    25932746)
    25942747xt "-103000,23800,-74500,24600"
    2595 st "board_id               : std_logic_vector(3 downto 0)
    2596 "
    2597 )
    2598 )
    2599 *66 (Net
     2748st "board_id               : std_logic_vector(3 downto 0)"
     2749)
     2750)
     2751*70 (Net
    26002752uid 1423,0
    26012753decl (Decl
     
    26132765)
    26142766xt "-103000,25400,-84500,26200"
    2615 st "trigger                : std_logic
    2616 "
    2617 )
    2618 )
    2619 *67 (PortIoIn
     2767st "trigger                : std_logic"
     2768)
     2769)
     2770*71 (PortIoIn
    26202771uid 1443,0
    26212772shape (CompositeShape
     
    26302781sl 0
    26312782ro 270
    2632 xt "-28000,68625,-26500,69375"
     2783xt "-34000,69625,-32500,70375"
    26332784)
    26342785(Line
     
    26362787sl 0
    26372788ro 270
    2638 xt "-26500,69000,-26000,69000"
    2639 pts [
    2640 "-26500,69000"
    2641 "-26000,69000"
     2789xt "-32500,70000,-32000,70000"
     2790pts [
     2791"-32500,70000"
     2792"-32000,70000"
    26422793]
    26432794)
     
    26542805va (VaSet
    26552806)
    2656 xt "-31800,68500,-29000,69500"
     2807xt "-36800,69500,-34000,70500"
    26572808st "trigger"
    26582809ju 2
    2659 blo "-29000,69300"
     2810blo "-34000,70300"
    26602811tm "WireNameMgr"
    26612812)
    26622813)
    26632814)
    2664 *68 (SaComponent
     2815*72 (SaComponent
    26652816uid 1606,0
    26662817optionalChildren [
    2667 *69 (CptPort
     2818*73 (CptPort
    26682819uid 1542,0
    26692820ps "OnEdgeStrategy"
     
    26752826fg "0,65535,0"
    26762827)
    2677 xt "126250,51625,127000,52375"
     2828xt "127250,51625,128000,52375"
    26782829)
    26792830tg (CPTG
     
    26852836va (VaSet
    26862837)
    2687 xt "128000,51500,129300,52500"
     2838xt "129000,51500,130300,52500"
    26882839st "clk"
    2689 blo "128000,52300"
     2840blo "129000,52300"
    26902841)
    26912842)
     
    27012852)
    27022853)
    2703 *70 (CptPort
     2854*74 (CptPort
    27042855uid 1546,0
    27052856ps "OnEdgeStrategy"
     
    27112862fg "0,65535,0"
    27122863)
    2713 xt "148000,51625,148750,52375"
     2864xt "149000,51625,149750,52375"
    27142865)
    27152866tg (CPTG
     
    27212872va (VaSet
    27222873)
    2723 xt "143400,51500,147000,52500"
     2874xt "144400,51500,148000,52500"
    27242875st "wiz_reset"
    27252876ju 2
    2726 blo "147000,52300"
     2877blo "148000,52300"
    27272878)
    27282879)
     
    27402891)
    27412892)
    2742 *71 (CptPort
     2893*75 (CptPort
    27432894uid 1550,0
    27442895ps "OnEdgeStrategy"
     
    27502901fg "0,65535,0"
    27512902)
    2752 xt "148000,59625,148750,60375"
     2903xt "149000,59625,149750,60375"
    27532904)
    27542905tg (CPTG
     
    27602911va (VaSet
    27612912)
    2762 xt "142500,59500,147000,60500"
     2913xt "143500,59500,148000,60500"
    27632914st "addr : (9:0)"
    27642915ju 2
    2765 blo "147000,60300"
     2916blo "148000,60300"
    27662917)
    27672918)
     
    27792930)
    27802931)
    2781 *72 (CptPort
     2932*76 (CptPort
    27822933uid 1554,0
    27832934ps "OnEdgeStrategy"
     
    27892940fg "0,65535,0"
    27902941)
    2791 xt "148000,60625,148750,61375"
     2942xt "149000,60625,149750,61375"
    27922943)
    27932944tg (CPTG
     
    27992950va (VaSet
    28002951)
    2801 xt "142200,60500,147000,61500"
     2952xt "143200,60500,148000,61500"
    28022953st "data : (15:0)"
    28032954ju 2
    2804 blo "147000,61300"
     2955blo "148000,61300"
    28052956)
    28062957)
     
    28182969)
    28192970)
    2820 *73 (CptPort
     2971*77 (CptPort
    28212972uid 1558,0
    28222973ps "OnEdgeStrategy"
     
    28282979fg "0,65535,0"
    28292980)
    2830 xt "148000,52625,148750,53375"
     2981xt "149000,52625,149750,53375"
    28312982)
    28322983tg (CPTG
     
    28382989va (VaSet
    28392990)
    2840 xt "145800,52500,147000,53500"
     2991xt "146800,52500,148000,53500"
    28412992st "cs"
    28422993ju 2
    2843 blo "147000,53300"
     2994blo "148000,53300"
    28442995)
    28452996)
     
    28573008)
    28583009)
    2859 *74 (CptPort
     3010*78 (CptPort
    28603011uid 1562,0
    28613012ps "OnEdgeStrategy"
     
    28673018fg "0,65535,0"
    28683019)
    2869 xt "148000,53625,148750,54375"
     3020xt "149000,53625,149750,54375"
    28703021)
    28713022tg (CPTG
     
    28773028va (VaSet
    28783029)
    2879 xt "145800,53500,147000,54500"
     3030xt "146800,53500,148000,54500"
    28803031st "wr"
    28813032ju 2
    2882 blo "147000,54300"
     3033blo "148000,54300"
    28833034)
    28843035)
     
    28963047)
    28973048)
    2898 *75 (CptPort
     3049*79 (CptPort
    28993050uid 1570,0
    29003051ps "OnEdgeStrategy"
     
    29063057fg "0,65535,0"
    29073058)
    2908 xt "148000,54625,148750,55375"
     3059xt "149000,54625,149750,55375"
    29093060)
    29103061tg (CPTG
     
    29163067va (VaSet
    29173068)
    2918 xt "145900,54500,147000,55500"
     3069xt "146900,54500,148000,55500"
    29193070st "rd"
    29203071ju 2
    2921 blo "147000,55300"
     3072blo "148000,55300"
    29223073)
    29233074)
     
    29353086)
    29363087)
    2937 *76 (CptPort
     3088*80 (CptPort
    29383089uid 1574,0
    29393090ps "OnEdgeStrategy"
     
    29453096fg "0,65535,0"
    29463097)
    2947 xt "148000,55625,148750,56375"
     3098xt "149000,55625,149750,56375"
    29483099)
    29493100tg (CPTG
     
    29553106va (VaSet
    29563107)
    2957 xt "145800,55500,147000,56500"
     3108xt "146800,55500,148000,56500"
    29583109st "int"
    29593110ju 2
    2960 blo "147000,56300"
     3111blo "148000,56300"
    29613112)
    29623113)
     
    29723123)
    29733124)
    2974 *77 (CptPort
     3125*81 (CptPort
    29753126uid 1578,0
    29763127ps "OnEdgeStrategy"
     
    29823133fg "0,65535,0"
    29833134)
    2984 xt "126250,69625,127000,70375"
     3135xt "127250,69625,128000,70375"
    29853136)
    29863137tg (CPTG
     
    29923143va (VaSet
    29933144)
    2994 xt "128000,69500,135900,70500"
     3145xt "129000,69500,136900,70500"
    29953146st "write_length : (16:0)"
    2996 blo "128000,70300"
     3147blo "129000,70300"
    29973148)
    29983149)
     
    30093160)
    30103161)
    3011 *78 (CptPort
     3162*82 (CptPort
    30123163uid 1582,0
    30133164ps "OnEdgeStrategy"
     
    30193170fg "0,65535,0"
    30203171)
    3021 xt "126250,70625,127000,71375"
     3172xt "127250,70625,128000,71375"
    30223173)
    30233174tg (CPTG
     
    30293180va (VaSet
    30303181)
    3031 xt "128000,70500,144300,71500"
     3182xt "129000,70500,145300,71500"
    30323183st "ram_start_addr : (RAM_ADDR_WIDTH-1:0)"
    3033 blo "128000,71300"
     3184blo "129000,71300"
    30343185)
    30353186)
     
    30463197)
    30473198)
    3048 *79 (CptPort
     3199*83 (CptPort
    30493200uid 1586,0
    30503201ps "OnEdgeStrategy"
     
    30563207fg "0,65535,0"
    30573208)
    3058 xt "126250,54625,127000,55375"
     3209xt "127250,54625,128000,55375"
    30593210)
    30603211tg (CPTG
     
    30663217va (VaSet
    30673218)
    3068 xt "128000,54500,134500,55500"
     3219xt "129000,54500,135500,55500"
    30693220st "ram_data : (15:0)"
    3070 blo "128000,55300"
     3221blo "129000,55300"
    30713222)
    30723223)
     
    30833234)
    30843235)
    3085 *80 (CptPort
     3236*84 (CptPort
    30863237uid 1590,0
    30873238ps "OnEdgeStrategy"
     
    30933244fg "0,65535,0"
    30943245)
    3095 xt "126250,53625,127000,54375"
     3246xt "127250,53625,128000,54375"
    30963247)
    30973248tg (CPTG
     
    31033254va (VaSet
    31043255)
    3105 xt "128000,53500,142400,54500"
     3256xt "129000,53500,143400,54500"
    31063257st "ram_addr : (RAM_ADDR_WIDTH-1:0)"
    3107 blo "128000,54300"
     3258blo "129000,54300"
    31083259)
    31093260)
     
    31213272)
    31223273)
    3123 *81 (CptPort
     3274*85 (CptPort
    31243275uid 1594,0
    31253276ps "OnEdgeStrategy"
     
    31313282fg "0,65535,0"
    31323283)
    3133 xt "126250,68625,127000,69375"
     3284xt "127250,68625,128000,69375"
    31343285)
    31353286tg (CPTG
     
    31413292va (VaSet
    31423293)
    3143 xt "128000,68500,132100,69500"
     3294xt "129000,68500,133100,69500"
    31443295st "data_valid"
    3145 blo "128000,69300"
     3296blo "129000,69300"
    31463297)
    31473298)
     
    31573308)
    31583309)
    3159 *82 (CptPort
     3310*86 (CptPort
    31603311uid 1598,0
    31613312ps "OnEdgeStrategy"
     
    31673318fg "0,65535,0"
    31683319)
    3169 xt "126250,67625,127000,68375"
     3320xt "127250,67625,128000,68375"
    31703321)
    31713322tg (CPTG
     
    31773328va (VaSet
    31783329)
    3179 xt "128000,67500,129900,68500"
     3330xt "129000,67500,130900,68500"
    31803331st "busy"
    3181 blo "128000,68300"
     3332blo "129000,68300"
    31823333)
    31833334)
     
    31953346)
    31963347)
    3197 *83 (CptPort
     3348*87 (CptPort
    31983349uid 2218,0
    31993350ps "OnEdgeStrategy"
     
    32053356fg "0,65535,0"
    32063357)
    3207 xt "126250,71625,127000,72375"
     3358xt "127250,71625,128000,72375"
    32083359)
    32093360tg (CPTG
     
    32153366va (VaSet
    32163367)
    3217 xt "128000,71500,135800,72500"
     3368xt "129000,71500,136800,72500"
    32183369st "fifo_channels : (3:0)"
    3219 blo "128000,72300"
     3370blo "129000,72300"
    32203371)
    32213372)
     
    32303381)
    32313382)
    3232 *84 (CptPort
     3383*88 (CptPort
    32333384uid 2222,0
    32343385ps "OnEdgeStrategy"
     
    32403391fg "0,65535,0"
    32413392)
    3242 xt "126250,72625,127000,73375"
     3393xt "127250,72625,128000,73375"
    32433394)
    32443395tg (CPTG
     
    32503401va (VaSet
    32513402)
    3252 xt "128000,72500,133700,73500"
     3403xt "129000,72500,134700,73500"
    32533404st "write_end_flag"
    3254 blo "128000,73300"
     3405blo "129000,73300"
    32553406)
    32563407)
     
    32643415)
    32653416)
    3266 *85 (CptPort
     3417*89 (CptPort
    32673418uid 2226,0
    32683419ps "OnEdgeStrategy"
     
    32743425fg "0,65535,0"
    32753426)
    3276 xt "126250,73625,127000,74375"
     3427xt "127250,73625,128000,74375"
    32773428)
    32783429tg (CPTG
     
    32843435va (VaSet
    32853436)
    3286 xt "128000,73500,134800,74500"
     3437xt "129000,73500,135800,74500"
    32873438st "write_header_flag"
    3288 blo "128000,74300"
     3439blo "129000,74300"
    32893440)
    32903441)
     
    32983449)
    32993450)
    3300 *86 (CptPort
     3451*90 (CptPort
    33013452uid 5216,0
    33023453ps "OnEdgeStrategy"
     
    33083459fg "0,65535,0"
    33093460)
    3310 xt "148000,70625,148750,71375"
     3461xt "149000,70625,149750,71375"
    33113462)
    33123463tg (CPTG
     
    33183469va (VaSet
    33193470)
    3320 xt "143000,70500,147000,71500"
     3471xt "144000,70500,148000,71500"
    33213472st "led : (7:0)"
    33223473ju 2
    3323 blo "147000,71300"
     3474blo "148000,71300"
    33243475)
    33253476)
     
    33373488)
    33383489)
    3339 *87 (CptPort
     3490*91 (CptPort
    33403491uid 5275,0
    33413492ps "OnEdgeStrategy"
     
    33473498fg "0,65535,0"
    33483499)
    3349 xt "126250,58625,127000,59375"
     3500xt "127250,63625,128000,64375"
    33503501)
    33513502tg (CPTG
     
    33573508va (VaSet
    33583509)
    3359 xt "128000,58500,131600,59500"
     3510xt "129000,63500,132600,64500"
    33603511st "s_trigger"
    3361 blo "128000,59300"
     3512blo "129000,64300"
    33623513)
    33633514)
     
    33733524)
    33743525)
    3375 *88 (CptPort
     3526*92 (CptPort
    33763527uid 5924,0
    33773528ps "OnEdgeStrategy"
     
    33833534fg "0,65535,0"
    33843535)
    3385 xt "126250,78625,127000,79375"
     3536xt "127250,78625,128000,79375"
    33863537)
    33873538tg (CPTG
     
    33933544va (VaSet
    33943545)
    3395 xt "128000,78500,135000,79500"
     3546xt "129000,78500,136000,79500"
    33963547st "config_addr : (7:0)"
    3397 blo "128000,79300"
     3548blo "129000,79300"
    33983549)
    33993550)
     
    34093560)
    34103561)
    3411 *89 (CptPort
     3562*93 (CptPort
    34123563uid 5928,0
    34133564ps "OnEdgeStrategy"
     
    34193570fg "0,65535,0"
    34203571)
    3421 xt "126250,83625,127000,84375"
     3572xt "127250,83625,128000,84375"
    34223573)
    34233574tg (CPTG
     
    34293580va (VaSet
    34303581)
    3431 xt "128000,83500,132800,84500"
     3582xt "129000,83500,133800,84500"
    34323583st "config_busy"
    3433 blo "128000,84300"
     3584blo "129000,84300"
    34343585)
    34353586)
     
    34383589n "config_busy"
    34393590t "std_logic"
    3440 o 27
     3591prec "-- --"
     3592preAdd 0
     3593o 29
    34413594suid 25,0
    34423595)
    34433596)
    34443597)
    3445 *90 (CptPort
     3598*94 (CptPort
    34463599uid 5932,0
    34473600ps "OnEdgeStrategy"
     
    34533606fg "0,65535,0"
    34543607)
    3455 xt "126250,79625,127000,80375"
     3608xt "127250,79625,128000,80375"
    34563609)
    34573610tg (CPTG
     
    34633616va (VaSet
    34643617)
    3465 xt "128000,79500,135700,80500"
     3618xt "129000,79500,136700,80500"
    34663619st "config_data : (15:0)"
    3467 blo "128000,80300"
     3620blo "129000,80300"
    34683621)
    34693622)
     
    34803633)
    34813634)
    3482 *91 (CptPort
     3635*95 (CptPort
    34833636uid 5936,0
    34843637ps "OnEdgeStrategy"
     
    34903643fg "0,65535,0"
    34913644)
    3492 xt "126250,60625,127000,61375"
     3645xt "127250,60625,128000,61375"
    34933646)
    34943647tg (CPTG
     
    35003653va (VaSet
    35013654)
    3502 xt "128000,60500,133600,61500"
     3655xt "129000,60500,134600,61500"
    35033656st "config_started"
    3504 blo "128000,61300"
     3657blo "129000,61300"
    35053658)
    35063659)
     
    35143667)
    35153668)
    3516 *92 (CptPort
     3669*96 (CptPort
    35173670uid 5940,0
    35183671ps "OnEdgeStrategy"
     
    35243677fg "0,65535,0"
    35253678)
    3526 xt "126250,81625,127000,82375"
     3679xt "127250,81625,128000,82375"
    35273680)
    35283681tg (CPTG
     
    35343687va (VaSet
    35353688)
    3536 xt "128000,81500,133300,82500"
     3689xt "129000,81500,134300,82500"
    35373690st "config_wr_en"
    3538 blo "128000,82300"
     3691blo "129000,82300"
    35393692)
    35403693)
     
    35503703)
    35513704)
    3552 *93 (CptPort
     3705*97 (CptPort
    35533706uid 5944,0
    35543707ps "OnEdgeStrategy"
     
    35603713fg "0,65535,0"
    35613714)
    3562 xt "126250,59625,127000,60375"
     3715xt "127250,59625,128000,60375"
    35633716)
    35643717tg (CPTG
     
    35703723va (VaSet
    35713724)
    3572 xt "128000,59500,132600,60500"
     3725xt "129000,59500,133600,60500"
    35733726st "new_config"
    3574 blo "128000,60300"
     3727blo "129000,60300"
    35753728)
    35763729)
     
    35863739)
    35873740)
    3588 *94 (CptPort
     3741*98 (CptPort
    35893742uid 5970,0
    35903743ps "OnEdgeStrategy"
     
    35963749fg "0,65535,0"
    35973750)
    3598 xt "126250,82625,127000,83375"
     3751xt "127250,82625,128000,83375"
    35993752)
    36003753tg (CPTG
     
    36063759va (VaSet
    36073760)
    3608 xt "128000,82500,133200,83500"
     3761xt "129000,82500,134200,83500"
    36093762st "config_rd_en"
    3610 blo "128000,83300"
     3763blo "129000,83300"
    36113764)
    36123765)
     
    36163769n "config_rd_en"
    36173770t "std_logic"
     3771posAdd 0
    36183772o 26
    36193773suid 30,0
     
    36223776)
    36233777)
    3624 *95 (CptPort
     3778*99 (CptPort
    36253779uid 6356,0
    36263780ps "OnEdgeStrategy"
     
    36323786fg "0,65535,0"
    36333787)
    3634 xt "148000,74625,148750,75375"
     3788xt "149000,74625,149750,75375"
    36353789)
    36363790tg (CPTG
     
    36423796va (VaSet
    36433797)
    3644 xt "144000,74500,147000,75500"
     3798xt "145000,74500,148000,75500"
    36453799st "denable"
    36463800ju 2
    3647 blo "147000,75300"
     3801blo "148000,75300"
    36483802)
    36493803)
     
    36553809eolc "-- default domino wave off"
    36563810posAdd 0
    3657 o 28
     3811o 30
    36583812suid 31,0
    36593813i "'0'"
     
    36613815)
    36623816)
    3663 *96 (CptPort
     3817*100 (CptPort
    36643818uid 6446,0
    36653819ps "OnEdgeStrategy"
     
    36713825fg "0,65535,0"
    36723826)
    3673 xt "148000,75625,148750,76375"
     3827xt "149000,75625,149750,76375"
    36743828)
    36753829tg (CPTG
     
    36813835va (VaSet
    36823836)
    3683 xt "141600,75500,147000,76500"
     3837xt "142600,75500,148000,76500"
    36843838st "dwrite_enable"
    36853839ju 2
    3686 blo "147000,76300"
     3840blo "148000,76300"
    36873841)
    36883842)
     
    36953849preAdd 0
    36963850posAdd 0
    3697 o 29
     3851o 31
    36983852suid 32,0
    36993853i "'0'"
     
    37013855)
    37023856)
    3703 *97 (CptPort
     3857*101 (CptPort
    37043858uid 8406,0
    37053859ps "OnEdgeStrategy"
     
    37113865fg "0,65535,0"
    37123866)
    3713 xt "126250,74625,127000,75375"
     3867xt "127250,74625,128000,75375"
    37143868)
    37153869tg (CPTG
     
    37213875va (VaSet
    37223876)
    3723 xt "128000,74500,133600,75500"
     3877xt "129000,74500,134600,75500"
    37243878st "data_valid_ack"
    3725 blo "128000,75300"
     3879blo "129000,75300"
    37263880)
    37273881)
     
    37373891)
    37383892)
    3739 *98 (CptPort
     3893*102 (CptPort
    37403894uid 8748,0
    37413895ps "OnEdgeStrategy"
     
    37473901fg "0,65535,0"
    37483902)
    3749 xt "148000,76625,148750,77375"
     3903xt "149000,76625,149750,77375"
    37503904)
    37513905tg (CPTG
     
    37573911va (VaSet
    37583912)
    3759 xt "142300,76500,147000,77500"
     3913xt "143300,76500,148000,77500"
    37603914st "sclk_enable"
    37613915ju 2
    3762 blo "147000,77300"
     3916blo "148000,77300"
    37633917)
    37643918)
     
    37703924eolc "-- default DWRITE HIGH."
    37713925posAdd 0
    3772 o 30
     3926o 32
    37733927suid 35,0
    37743928i "'1'"
     
    37763930)
    37773931)
    3778 *99 (CptPort
     3932*103 (CptPort
    37793933uid 9223,0
    37803934ps "OnEdgeStrategy"
     
    37863940fg "0,65535,0"
    37873941)
    3788 xt "148000,80625,148750,81375"
     3942xt "149000,80625,149750,81375"
    37893943)
    37903944tg (CPTG
     
    37963950va (VaSet
    37973951)
    3798 xt "142100,80500,147000,81500"
     3952xt "143100,80500,148000,81500"
    37993953st "ps_direction"
    38003954ju 2
    3801 blo "147000,81300"
     3955blo "148000,81300"
    38023956)
    38033957)
     
    38093963eolc "-- default phase shift upwards"
    38103964posAdd 0
    3811 o 31
     3965o 33
    38123966suid 36,0
    38133967i "'1'"
     
    38153969)
    38163970)
    3817 *100 (CptPort
     3971*104 (CptPort
    38183972uid 9227,0
    38193973ps "OnEdgeStrategy"
     
    38253979fg "0,65535,0"
    38263980)
    3827 xt "148000,81625,148750,82375"
     3981xt "149000,81625,149750,82375"
    38283982)
    38293983tg (CPTG
     
    38353989va (VaSet
    38363990)
    3837 xt "140000,81500,147000,82500"
     3991xt "141000,81500,148000,82500"
    38383992st "ps_do_phase_shift"
    38393993ju 2
    3840 blo "147000,82300"
     3994blo "148000,82300"
    38413995)
    38423996)
     
    38494003preAdd 0
    38504004posAdd 0
    3851 o 32
     4005o 34
    38524006suid 37,0
    38534007i "'0'"
     
    38554009)
    38564010)
    3857 *101 (CptPort
     4011*105 (CptPort
    38584012uid 9933,0
    38594013ps "OnEdgeStrategy"
     
    38654019fg "0,65535,0"
    38664020)
    3867 xt "148000,82625,148750,83375"
     4021xt "149000,82625,149750,83375"
    38684022)
    38694023tg (CPTG
     
    38754029va (VaSet
    38764030)
    3877 xt "143700,82500,147000,83500"
     4031xt "144700,82500,148000,83500"
    38784032st "ps_reset"
    38794033ju 2
    3880 blo "147000,83300"
     4034blo "148000,83300"
    38814035)
    38824036)
     
    38884042eolc "-- pulse this to reset the variable phase shift"
    38894043posAdd 0
    3890 o 33
     4044o 35
    38914045suid 38,0
    38924046i "'0'"
     
    38944048)
    38954049)
    3896 *102 (CptPort
     4050*106 (CptPort
    38974051uid 9937,0
    38984052ps "OnEdgeStrategy"
     
    39044058fg "0,65535,0"
    39054059)
    3906 xt "148000,84625,148750,85375"
     4060xt "149000,84625,149750,85375"
    39074061)
    39084062tg (CPTG
     
    39144068va (VaSet
    39154069)
    3916 xt "142000,84500,147000,85500"
     4070xt "143000,84500,148000,85500"
    39174071st "srclk_enable"
    39184072ju 2
    3919 blo "147000,85300"
     4073blo "148000,85300"
    39204074)
    39214075)
     
    39274081eolc "-- default SRCLK on."
    39284082posAdd 0
    3929 o 34
     4083o 36
    39304084suid 39,0
    39314085i "'1'"
     4086)
     4087)
     4088)
     4089*107 (CptPort
     4090uid 10212,0
     4091ps "OnEdgeStrategy"
     4092shape (Triangle
     4093uid 10213,0
     4094ro 90
     4095va (VaSet
     4096vasetType 1
     4097fg "0,65535,0"
     4098)
     4099xt "127250,84625,128000,85375"
     4100)
     4101tg (CPTG
     4102uid 10214,0
     4103ps "CptPortTextPlaceStrategy"
     4104stg "VerticalLayoutStrategy"
     4105f (Text
     4106uid 10215,0
     4107va (VaSet
     4108)
     4109xt "129000,84500,134600,85500"
     4110st "config_rw_ack"
     4111blo "129000,85300"
     4112)
     4113)
     4114thePort (LogicalPort
     4115decl (Decl
     4116n "config_rw_ack"
     4117t "std_logic"
     4118prec "-- --"
     4119preAdd 0
     4120posAdd 0
     4121o 27
     4122suid 40,0
     4123)
     4124)
     4125)
     4126*108 (CptPort
     4127uid 10216,0
     4128ps "OnEdgeStrategy"
     4129shape (Triangle
     4130uid 10217,0
     4131ro 90
     4132va (VaSet
     4133vasetType 1
     4134fg "0,65535,0"
     4135)
     4136xt "127250,85625,128000,86375"
     4137)
     4138tg (CPTG
     4139uid 10218,0
     4140ps "CptPortTextPlaceStrategy"
     4141stg "VerticalLayoutStrategy"
     4142f (Text
     4143uid 10219,0
     4144va (VaSet
     4145)
     4146xt "129000,85500,135300,86500"
     4147st "config_rw_ready"
     4148blo "129000,86300"
     4149)
     4150)
     4151thePort (LogicalPort
     4152decl (Decl
     4153n "config_rw_ready"
     4154t "std_logic"
     4155prec "-- --"
     4156preAdd 0
     4157posAdd 0
     4158o 28
     4159suid 41,0
    39324160)
    39334161)
     
    39424170lineWidth 2
    39434171)
    3944 xt "127000,51000,148000,89000"
     4172xt "128000,51000,149000,89000"
    39454173)
    39464174oxt "43000,2000,56000,22000"
     
    39504178stg "VerticalLayoutStrategy"
    39514179textVec [
    3952 *103 (Text
     4180*109 (Text
    39534181uid 1609,0
    39544182va (VaSet
    39554183font "Arial,8,1"
    39564184)
    3957 xt "126700,87000,132900,88000"
     4185xt "127700,87000,133900,88000"
    39584186st "FACT_FAD_lib"
    3959 blo "126700,87800"
     4187blo "127700,87800"
    39604188tm "BdLibraryNameMgr"
    39614189)
    3962 *104 (Text
     4190*110 (Text
    39634191uid 1610,0
    39644192va (VaSet
    39654193font "Arial,8,1"
    39664194)
    3967 xt "126700,88000,132400,89000"
     4195xt "127700,88000,133400,89000"
    39684196st "w5300_modul"
    3969 blo "126700,88800"
     4197blo "127700,88800"
    39704198tm "CptNameMgr"
    39714199)
    3972 *105 (Text
     4200*111 (Text
    39734201uid 1611,0
    39744202va (VaSet
    39754203font "Arial,8,1"
    39764204)
    3977 xt "126700,89000,133400,90000"
     4205xt "127700,89000,134400,90000"
    39784206st "I_main_ethernet"
    3979 blo "126700,89800"
     4207blo "127700,89800"
    39804208tm "InstanceNameMgr"
    39814209)
     
    39924220font "Courier New,8,0"
    39934221)
    3994 xt "127000,50200,154500,51000"
     4222xt "128000,50200,155500,51000"
    39954223st "RAM_ADDR_WIDTH = RAMADDRWIDTH64b+2    ( integer )  "
    39964224)
     
    40124240fg "49152,49152,49152"
    40134241)
    4014 xt "127250,87250,128750,88750"
     4242xt "128250,87250,129750,88750"
    40154243iconName "VhdlFileViewIcon.png"
    40164244iconMaskName "VhdlFileViewIcon.msk"
     
    40234251archFileType "UNKNOWN"
    40244252)
    4025 *106 (Net
     4253*112 (Net
    40264254uid 1680,0
    40274255decl (Decl
     
    40384266)
    40394267xt "-103000,24600,-74500,25400"
    4040 st "crate_id               : std_logic_vector(1 downto 0)
    4041 "
    4042 )
    4043 )
    4044 *107 (SaComponent
     4268st "crate_id               : std_logic_vector(1 downto 0)"
     4269)
     4270)
     4271*113 (SaComponent
    40454272uid 1768,0
    40464273optionalChildren [
    4047 *108 (CptPort
     4274*114 (CptPort
    40484275uid 1760,0
    40494276ps "OnEdgeStrategy"
     
    40854312)
    40864313)
    4087 *109 (CptPort
     4314*115 (CptPort
    40884315uid 1764,0
    40894316ps "OnEdgeStrategy"
     
    41224349)
    41234350)
    4124 *110 (CptPort
     4351*116 (CptPort
    41254352uid 6207,0
    41264353ps "OnEdgeStrategy"
     
    41744401stg "VerticalLayoutStrategy"
    41754402textVec [
    4176 *111 (Text
     4403*117 (Text
    41774404uid 1771,0
    41784405va (VaSet
     
    41844411tm "BdLibraryNameMgr"
    41854412)
    4186 *112 (Text
     4413*118 (Text
    41874414uid 1772,0
    41884415va (VaSet
     
    41944421tm "CptNameMgr"
    41954422)
    4196 *113 (Text
     4423*119 (Text
    41974424uid 1773,0
    41984425va (VaSet
     
    42424469archFileType "UNKNOWN"
    42434470)
    4244 *114 (Net
     4471*120 (Net
    42454472uid 1981,0
    42464473lang 2
     
    42594486font "Courier New,8,0"
    42604487)
    4261 xt "-103000,97800,-70500,98600"
    4262 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
    4263 "
    4264 )
    4265 )
    4266 *115 (Net
     4488xt "-103000,106600,-70500,107400"
     4489st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)"
     4490)
     4491)
     4492*121 (Net
    42674493uid 2297,0
    42684494decl (Decl
     
    42804506font "Courier New,8,0"
    42814507)
    4282 xt "-103000,89000,-63000,89800"
    4283 st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    4284 "
    4285 )
    4286 )
    4287 *116 (SaComponent
     4508xt "-103000,93800,-63000,94600"
     4509st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     4510)
     4511)
     4512*122 (SaComponent
    42884513uid 2311,0
    42894514optionalChildren [
    4290 *117 (CptPort
     4515*123 (CptPort
    42914516uid 2307,0
    42924517ps "OnEdgeStrategy"
     
    43234548preAdd 0
    43244549posAdd 0
    4325 o 18
     4550o 19
    43264551suid 1,0
    43274552i "(others => '0')"
     
    43294554)
    43304555)
    4331 *118 (CptPort
     4556*124 (CptPort
    43324557uid 2351,0
    43334558ps "OnEdgeStrategy"
     
    43654590)
    43664591)
    4367 *119 (CptPort
     4592*125 (CptPort
    43684593uid 2361,0
    43694594ps "OnEdgeStrategy"
     
    43974622n "config_ready"
    43984623t "std_logic"
    4399 o 6
     4624o 7
    44004625suid 5,0
    44014626i "'0'"
     
    44034628)
    44044629)
    4405 *120 (CptPort
     4630*126 (CptPort
    44064631uid 2365,0
    44074632ps "OnEdgeStrategy"
     
    44394664)
    44404665)
    4441 *121 (CptPort
     4666*127 (CptPort
    44424667uid 2369,0
    44434668ps "OnEdgeStrategy"
     
    44714696n "ram_write_ea"
    44724697t "std_logic"
    4473 o 5
     4698o 6
    44744699suid 4,0
    44754700i "'0'"
     
    44774702)
    44784703)
    4479 *122 (CptPort
     4704*128 (CptPort
    44804705uid 2373,0
    44814706ps "OnEdgeStrategy"
     
    45084733n "ram_write_ready"
    45094734t "std_logic"
     4735posAdd 0
    45104736o 3
    45114737suid 12,0
     
    45134739)
    45144740)
    4515 *123 (CptPort
     4741*129 (CptPort
    45164742uid 2377,0
    45174743ps "OnEdgeStrategy"
     
    45464772t "roi_max_type"
    45474773posAdd 0
    4548 o 8
     4774o 9
    45494775suid 14,0
    45504776i "(others => conv_std_logic_vector (0, 11))"
     
    45524778)
    45534779)
    4554 *124 (CptPort
     4780*130 (CptPort
    45554781uid 2381,0
    45564782ps "OnEdgeStrategy"
     
    45844810n "wiz_busy"
    45854811t "std_logic"
    4586 o 16
     4812o 17
    45874813suid 13,0
    45884814)
    45894815)
    45904816)
    4591 *125 (CptPort
     4817*131 (CptPort
    45924818uid 2385,0
    45934819ps "OnEdgeStrategy"
     
    46234849t "std_logic_vector"
    46244850b "(3 downto 0)"
    4625 o 12
     4851o 13
    46264852suid 6,0
    46274853i "(others => '0')"
     
    46294855)
    46304856)
    4631 *126 (CptPort
     4857*132 (CptPort
    46324858uid 2389,0
    46334859ps "OnEdgeStrategy"
     
    46644890b "(RAM_ADDR_WIDTH_16B-1 downto 0)"
    46654891preAdd 0
    4666 o 10
     4892o 11
    46674893suid 7,0
    46684894i "(others => '0')"
     
    46704896)
    46714897)
    4672 *127 (CptPort
     4898*133 (CptPort
    46734899uid 2393,0
    46744900ps "OnEdgeStrategy"
     
    47034929n "wiz_write_ea"
    47044930t "std_logic"
    4705 o 13
     4931o 14
    47064932suid 8,0
    47074933i "'0'"
     
    47094935)
    47104936)
    4711 *128 (CptPort
     4937*134 (CptPort
    47124938uid 2397,0
    47134939ps "OnEdgeStrategy"
     
    47424968n "wiz_write_end"
    47434969t "std_logic"
    4744 o 15
     4970o 16
    47454971suid 9,0
    47464972i "'0'"
     
    47484974)
    47494975)
    4750 *129 (CptPort
     4976*135 (CptPort
    47514977uid 2401,0
    47524978ps "OnEdgeStrategy"
     
    47815007n "wiz_write_header"
    47825008t "std_logic"
    4783 o 14
     5009o 15
    47845010suid 10,0
    47855011i "'0'"
     
    47875013)
    47885014)
    4789 *130 (CptPort
     5015*136 (CptPort
    47905016uid 2405,0
    47915017ps "OnEdgeStrategy"
     
    48215047t "std_logic_vector"
    48225048b "(16 downto 0)"
    4823 o 11
     5049o 12
    48245050suid 11,0
    48255051i "(others => '0')"
     
    48275053)
    48285054)
    4829 *131 (CptPort
     5055*137 (CptPort
    48305056uid 2454,0
    48315057ps "OnEdgeStrategy"
     
    48585084n "roi_array"
    48595085t "roi_array_type"
    4860 o 4
     5086prec "-- --"
     5087preAdd 0
     5088o 5
    48615089suid 15,0
    48625090)
    48635091)
    48645092)
    4865 *132 (CptPort
     5093*138 (CptPort
    48665094uid 2628,0
    48675095ps "OnEdgeStrategy"
     
    48965124t "std_logic_vector"
    48975125b "(15 downto 0)"
    4898 o 9
     5126o 10
    48995127suid 18,0
    49005128i "(others => '0')"
     
    49025130)
    49035131)
    4904 *133 (CptPort
     5132*139 (CptPort
    49055133uid 5991,0
    49065134ps "OnEdgeStrategy"
     
    49345162n "config_started"
    49355163t "std_logic"
    4936 o 7
     5164o 8
    49375165suid 21,0
    49385166i "'0'"
     
    49405168)
    49415169)
    4942 *134 (CptPort
     5170*140 (CptPort
    49435171uid 8410,0
    49445172ps "OnEdgeStrategy"
     
    49725200n "wiz_ack"
    49735201t "std_logic"
    4974 o 17
     5202o 18
    49755203suid 22,0
     5204)
     5205)
     5206)
     5207*141 (CptPort
     5208uid 10232,0
     5209ps "OnEdgeStrategy"
     5210shape (Triangle
     5211uid 10233,0
     5212ro 270
     5213va (VaSet
     5214vasetType 1
     5215fg "0,65535,0"
     5216)
     5217xt "71250,72625,72000,73375"
     5218)
     5219tg (CPTG
     5220uid 10234,0
     5221ps "CptPortTextPlaceStrategy"
     5222stg "VerticalLayoutStrategy"
     5223f (Text
     5224uid 10235,0
     5225va (VaSet
     5226font "arial,8,0"
     5227)
     5228xt "73000,72500,80800,73500"
     5229st "ram_write_ready_ack"
     5230blo "73000,73300"
     5231)
     5232)
     5233thePort (LogicalPort
     5234lang 2
     5235m 1
     5236decl (Decl
     5237n "ram_write_ready_ack"
     5238t "std_logic"
     5239prec "-- --"
     5240preAdd 0
     5241posAdd 0
     5242o 4
     5243suid 23,0
     5244i "'0'"
    49765245)
    49775246)
     
    49945263stg "VerticalLayoutStrategy"
    49955264textVec [
    4996 *135 (Text
     5265*142 (Text
    49975266uid 2314,0
    49985267va (VaSet
     
    50045273tm "BdLibraryNameMgr"
    50055274)
    5006 *136 (Text
     5275*143 (Text
    50075276uid 2315,0
    50085277va (VaSet
     
    50145283tm "CptNameMgr"
    50155284)
    5016 *137 (Text
     5285*144 (Text
    50175286uid 2316,0
    50185287va (VaSet
     
    50735342archFileType "UNKNOWN"
    50745343)
    5075 *138 (Net
     5344*145 (Net
    50765345uid 2468,0
    50775346lang 2
     
    50875356font "Courier New,8,0"
    50885357)
    5089 xt "-103000,99400,-80500,100200"
    5090 st "SIGNAL wiz_busy               : std_logic
    5091 "
    5092 )
    5093 )
    5094 *139 (Net
     5358xt "-103000,109000,-80500,109800"
     5359st "SIGNAL wiz_busy               : std_logic"
     5360)
     5361)
     5362*146 (Net
    50955363uid 2474,0
    50965364lang 2
     
    51075375font "Courier New,8,0"
    51085376)
    5109 xt "-103000,101800,-59500,102600"
    5110 st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
    5111 "
    5112 )
    5113 )
    5114 *140 (Net
     5377xt "-103000,111400,-59500,112200"
     5378st "SIGNAL wiz_write_ea           : std_logic                                    := '0'"
     5379)
     5380)
     5381*147 (Net
    51155382uid 2480,0
    51165383lang 2
     
    51285395font "Courier New,8,0"
    51295396)
    5130 xt "-103000,104200,-53500,105000"
    5131 st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
    5132 "
    5133 )
    5134 )
    5135 *141 (Net
     5397xt "-103000,113800,-53500,114600"
     5398st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')"
     5399)
     5400)
     5401*148 (Net
    51365402uid 2486,0
    51375403lang 2
     
    51505416font "Courier New,8,0"
    51515417)
    5152 xt "-103000,101000,-53500,101800"
    5153 st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
    5154 "
    5155 )
    5156 )
    5157 *142 (Net
     5418xt "-103000,110600,-53500,111400"
     5419st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
     5420)
     5421)
     5422*149 (Net
    51585423uid 2492,0
    51595424lang 2
     
    51715436font "Courier New,8,0"
    51725437)
    5173 xt "-103000,100200,-53500,101000"
    5174 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
    5175 "
    5176 )
    5177 )
    5178 *143 (Net
     5438xt "-103000,109800,-53500,110600"
     5439st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')"
     5440)
     5441)
     5442*150 (Net
    51795443uid 2498,0
    51805444lang 2
     
    51915455font "Courier New,8,0"
    51925456)
    5193 xt "-103000,102600,-59500,103400"
    5194 st "SIGNAL wiz_write_end          : std_logic                                    := '0'
    5195 "
    5196 )
    5197 )
    5198 *144 (Net
     5457xt "-103000,112200,-59500,113000"
     5458st "SIGNAL wiz_write_end          : std_logic                                    := '0'"
     5459)
     5460)
     5461*151 (Net
    51995462uid 2504,0
    52005463lang 2
     
    52115474font "Courier New,8,0"
    52125475)
    5213 xt "-103000,103400,-59500,104200"
    5214 st "SIGNAL wiz_write_header       : std_logic                                    := '0'
    5215 "
    5216 )
    5217 )
    5218 *145 (Net
     5476xt "-103000,113000,-59500,113800"
     5477st "SIGNAL wiz_write_header       : std_logic                                    := '0'"
     5478)
     5479)
     5480*152 (Net
    52195481uid 2574,0
    52205482decl (Decl
     
    52295491font "Courier New,8,0"
    52305492)
    5231 xt "-103000,89800,-80500,90600"
    5232 st "SIGNAL ram_write_ea           : std_logic
    5233 "
    5234 )
    5235 )
    5236 *146 (Net
     5493xt "-103000,94600,-80500,95400"
     5494st "SIGNAL ram_write_ea           : std_logic"
     5495)
     5496)
     5497*153 (Net
    52375498uid 2580,0
    52385499decl (Decl
     
    52485509font "Courier New,8,0"
    52495510)
    5250 xt "-103000,90600,-59500,91400"
    5251 st "SIGNAL ram_write_ready        : std_logic                                    := '0'
    5252 "
    5253 )
    5254 )
    5255 *147 (Net
     5511xt "-103000,95400,-59500,96200"
     5512st "SIGNAL ram_write_ready        : std_logic                                    := '0'"
     5513)
     5514)
     5515*154 (Net
    52565516uid 2586,0
    52575517decl (Decl
     
    52675527font "Courier New,8,0"
    52685528)
    5269 xt "-103000,68200,-59500,69000"
    5270 st "SIGNAL config_start           : std_logic                                    := '0'
    5271 "
    5272 )
    5273 )
    5274 *148 (Net
     5529xt "-103000,72200,-59500,73000"
     5530st "SIGNAL config_start           : std_logic                                    := '0'"
     5531)
     5532)
     5533*155 (Net
    52755534uid 2592,0
    52765535decl (Decl
     
    52855544font "Courier New,8,0"
    52865545)
    5287 xt "-103000,65800,-80500,66600"
    5288 st "SIGNAL config_ready           : std_logic
    5289 "
    5290 )
    5291 )
    5292 *149 (Net
     5546xt "-103000,66600,-80500,67400"
     5547st "SIGNAL config_ready           : std_logic"
     5548)
     5549)
     5550*156 (Net
    52935551uid 2598,0
    52945552decl (Decl
     
    53035561font "Courier New,8,0"
    53045562)
    5305 xt "-103000,92200,-79000,93000"
    5306 st "SIGNAL roi_max                : roi_max_type
    5307 "
    5308 )
    5309 )
    5310 *150 (Net
     5563xt "-103000,98600,-79000,99400"
     5564st "SIGNAL roi_max                : roi_max_type"
     5565)
     5566)
     5567*157 (Net
    53115568uid 2640,0
    53125569decl (Decl
     
    53225579font "Courier New,8,0"
    53235580)
    5324 xt "-103000,84200,-70500,85000"
    5325 st "SIGNAL package_length         : std_logic_vector(15 downto 0)
    5326 "
    5327 )
    5328 )
    5329 *151 (Net
     5581xt "-103000,89000,-70500,89800"
     5582st "SIGNAL package_length         : std_logic_vector(15 downto 0)"
     5583)
     5584)
     5585*158 (Net
    53305586uid 2776,0
    53315587decl (Decl
     
    53415597font "Courier New,8,0"
    53425598)
    5343 xt "-103000,39800,-63000,40600"
    5344 st "adc_oeb                : std_logic                                    := '1'
    5345 "
    5346 )
    5347 )
    5348 *152 (PortIoOut
     5599xt "-103000,40600,-63000,41400"
     5600st "adc_oeb                : std_logic                                    := '1'"
     5601)
     5602)
     5603*159 (PortIoOut
    53495604uid 2798,0
    53505605shape (CompositeShape
     
    53915646)
    53925647)
    5393 *153 (PortIoIn
     5648*160 (PortIoIn
    53945649uid 2804,0
    53955650shape (CompositeShape
     
    54365691)
    54375692)
    5438 *154 (Net
     5693*161 (Net
    54395694uid 2924,0
    54405695decl (Decl
     
    54495704font "Courier New,8,0"
    54505705)
    5451 xt "-103000,91400,-78000,92200"
    5452 st "SIGNAL roi_array              : roi_array_type
    5453 "
    5454 )
    5455 )
    5456 *155 (PortIoIn
     5706xt "-103000,97800,-78000,98600"
     5707st "SIGNAL roi_array              : roi_array_type"
     5708)
     5709)
     5710*162 (PortIoIn
    54575711uid 2950,0
    54585712shape (CompositeShape
     
    54995753)
    55005754)
    5501 *156 (PortIoIn
     5755*163 (PortIoIn
    55025756uid 2956,0
    55035757shape (CompositeShape
     
    55445798)
    55455799)
    5546 *157 (Grouping
     5800*164 (Grouping
    55475801uid 3137,0
    55485802optionalChildren [
    5549 *158 (CommentText
     5803*165 (CommentText
    55505804uid 3139,0
    55515805shape (Rectangle
     
    55655819bg "0,0,32768"
    55665820)
    5567 xt "125200,175000,134900,176000"
     5821xt "125200,175000,134700,176000"
    55685822st "
    55695823by %user on %dd %month %year
     
    55785832titleBlock 1
    55795833)
    5580 *159 (CommentText
     5834*166 (CommentText
    55815835uid 3142,0
    55825836shape (Rectangle
     
    56095863titleBlock 1
    56105864)
    5611 *160 (CommentText
     5865*167 (CommentText
    56125866uid 3145,0
    56135867shape (Rectangle
     
    56405894titleBlock 1
    56415895)
    5642 *161 (CommentText
     5896*168 (CommentText
    56435897uid 3148,0
    56445898shape (Rectangle
     
    56715925titleBlock 1
    56725926)
    5673 *162 (CommentText
     5927*169 (CommentText
    56745928uid 3151,0
    56755929shape (Rectangle
     
    57015955titleBlock 1
    57025956)
    5703 *163 (CommentText
     5957*170 (CommentText
    57045958uid 3154,0
    57055959shape (Rectangle
     
    57325986titleBlock 1
    57335987)
    5734 *164 (CommentText
     5988*171 (CommentText
    57355989uid 3157,0
    57365990shape (Rectangle
     
    57646018titleBlock 1
    57656019)
    5766 *165 (CommentText
     6020*172 (CommentText
    57676021uid 3160,0
    57686022shape (Rectangle
     
    57956049titleBlock 1
    57966050)
    5797 *166 (CommentText
     6051*173 (CommentText
    57986052uid 3163,0
    57996053shape (Rectangle
     
    58266080titleBlock 1
    58276081)
    5828 *167 (CommentText
     6082*174 (CommentText
    58296083uid 3166,0
    58306084shape (Rectangle
     
    58706124oxt "14000,66000,55000,71000"
    58716125)
    5872 *168 (Net
     6126*175 (Net
    58736127uid 3894,0
    58746128decl (Decl
     
    58846138)
    58856139xt "-103000,29400,-84500,30200"
    5886 st "CLK_25_PS              : std_logic
    5887 "
    5888 )
    5889 )
    5890 *169 (PortIoOut
     6140st "CLK_25_PS              : std_logic"
     6141)
     6142)
     6143*176 (PortIoOut
    58916144uid 3978,0
    58926145shape (CompositeShape
     
    59336186)
    59346187)
    5935 *170 (Net
     6188*177 (Net
    59366189uid 4068,0
    59376190decl (Decl
     
    59476200)
    59486201xt "-103000,30200,-84500,31000"
    5949 st "CLK_50                 : std_logic
    5950 "
    5951 )
    5952 )
    5953 *171 (Net
     6202st "CLK_50                 : std_logic"
     6203)
     6204)
     6205*178 (Net
    59546206uid 4204,0
    59556207decl (Decl
     
    59646216font "Courier New,8,0"
    59656217)
    5966 xt "-103000,57800,-80500,58600"
    5967 st "SIGNAL CLK_25                 : std_logic
    5968 "
    5969 )
    5970 )
    5971 *172 (PortIoOut
     6218xt "-103000,58600,-80500,59400"
     6219st "SIGNAL CLK_25                 : std_logic"
     6220)
     6221)
     6222*179 (PortIoOut
    59726223uid 4220,0
    59736224shape (CompositeShape
     
    60146265)
    60156266)
    6016 *173 (Net
     6267*180 (Net
    60176268uid 4232,0
    60186269decl (Decl
     
    60286279)
    60296280xt "-103000,18200,-84500,19000"
    6030 st "CLK                    : std_logic
    6031 "
    6032 )
    6033 )
    6034 *174 (Net
     6281st "CLK                    : std_logic"
     6282)
     6283)
     6284*181 (Net
    60356285uid 4260,0
    60366286decl (Decl
     
    60476297)
    60486298xt "-103000,23000,-74500,23800"
    6049 st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)
    6050 "
    6051 )
    6052 )
    6053 *175 (Net
     6299st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)"
     6300)
     6301)
     6302*182 (Net
    60546303uid 4270,0
    60556304decl (Decl
     
    60656314)
    60666315xt "-103000,22200,-79000,23000"
    6067 st "adc_data_array         : adc_data_array_type
    6068 "
    6069 )
    6070 )
    6071 *176 (PortIoIn
     6316st "adc_data_array         : adc_data_array_type"
     6317)
     6318)
     6319*183 (PortIoIn
    60726320uid 4307,0
    60736321shape (CompositeShape
     
    61146362)
    61156363)
    6116 *177 (Net
     6364*184 (Net
    61176365uid 4399,0
    61186366decl (Decl
     
    61286376font "Courier New,8,0"
    61296377)
    6130 xt "-103000,78600,-59500,79400"
    6131 st "SIGNAL drs_clk_en             : std_logic                                    := '0'
    6132 "
    6133 )
    6134 )
    6135 *178 (Net
     6378xt "-103000,82600,-59500,83400"
     6379st "SIGNAL drs_clk_en             : std_logic                                    := '0'"
     6380)
     6381)
     6382*185 (Net
    61366383uid 4405,0
    61376384decl (Decl
     
    61466393font "Courier New,8,0"
    61476394)
    6148 xt "-103000,81000,-74500,81800"
    6149 st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type
    6150 "
    6151 )
    6152 )
    6153 *179 (Net
     6395xt "-103000,85000,-74500,85800"
     6396st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type"
     6397)
     6398)
     6399*186 (Net
    61546400uid 4417,0
    61556401decl (Decl
     
    61656411font "Courier New,8,0"
    61666412)
    6167 xt "-103000,79400,-59500,80200"
    6168 st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'
    6169 "
    6170 )
    6171 )
    6172 *180 (Net
     6413xt "-103000,83400,-59500,84200"
     6414st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'"
     6415)
     6416)
     6417*187 (Net
    61736418uid 4535,0
    61746419decl (Decl
     
    61856430font "Courier New,8,0"
    61866431)
    6187 xt "-103000,42200,-57000,43000"
    6188 st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')
    6189 "
    6190 )
    6191 )
    6192 *181 (Net
     6432xt "-103000,43000,-57000,43800"
     6433st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')"
     6434)
     6435)
     6436*188 (Net
    61936437uid 4543,0
    61946438decl (Decl
     
    62046448font "Courier New,8,0"
    62056449)
    6206 xt "-103000,43000,-63000,43800"
    6207 st "drs_dwrite             : std_logic                                    := '1'
    6208 "
    6209 )
    6210 )
    6211 *182 (PortIoOut
     6450xt "-103000,43800,-63000,44600"
     6451st "drs_dwrite             : std_logic                                    := '1'"
     6452)
     6453)
     6454*189 (PortIoOut
    62126455uid 4551,0
    62136456shape (CompositeShape
     
    62546497)
    62556498)
    6256 *183 (PortIoOut
     6499*190 (PortIoOut
    62576500uid 4557,0
    62586501shape (CompositeShape
     
    62996542)
    63006543)
    6301 *184 (Net
     6544*191 (Net
    63026545uid 4669,0
    63036546decl (Decl
     
    63136556)
    63146557xt "-103000,19000,-84500,19800"
    6315 st "SROUT_in_0             : std_logic
    6316 "
    6317 )
    6318 )
    6319 *185 (Net
     6558st "SROUT_in_0             : std_logic"
     6559)
     6560)
     6561*192 (Net
    63206562uid 4677,0
    63216563decl (Decl
     
    63316573)
    63326574xt "-103000,19800,-84500,20600"
    6333 st "SROUT_in_1             : std_logic
    6334 "
    6335 )
    6336 )
    6337 *186 (Net
     6575st "SROUT_in_1             : std_logic"
     6576)
     6577)
     6578*193 (Net
    63386579uid 4685,0
    63396580decl (Decl
     
    63496590)
    63506591xt "-103000,20600,-84500,21400"
    6351 st "SROUT_in_2             : std_logic
    6352 "
    6353 )
    6354 )
    6355 *187 (Net
     6592st "SROUT_in_2             : std_logic"
     6593)
     6594)
     6595*194 (Net
    63566596uid 4693,0
    63576597decl (Decl
     
    63676607)
    63686608xt "-103000,21400,-84500,22200"
    6369 st "SROUT_in_3             : std_logic
    6370 "
    6371 )
    6372 )
    6373 *188 (PortIoIn
     6609st "SROUT_in_3             : std_logic"
     6610)
     6611)
     6612*195 (PortIoIn
    63746613uid 4701,0
    63756614shape (CompositeShape
     
    64166655)
    64176656)
    6418 *189 (PortIoIn
     6657*196 (PortIoIn
    64196658uid 4707,0
    64206659shape (CompositeShape
     
    64616700)
    64626701)
    6463 *190 (PortIoIn
     6702*197 (PortIoIn
    64646703uid 4713,0
    64656704shape (CompositeShape
     
    65066745)
    65076746)
    6508 *191 (PortIoIn
     6747*198 (PortIoIn
    65096748uid 4719,0
    65106749shape (CompositeShape
     
    65516790)
    65526791)
    6553 *192 (Net
     6792*199 (Net
    65546793uid 4741,0
    65556794decl (Decl
     
    65646803font "Courier New,8,0"
    65656804)
    6566 xt "-103000,80200,-80500,81000"
    6567 st "SIGNAL drs_read_s_cell_ready  : std_logic
    6568 "
    6569 )
    6570 )
    6571 *193 (SaComponent
     6805xt "-103000,84200,-80500,85000"
     6806st "SIGNAL drs_read_s_cell_ready  : std_logic"
     6807)
     6808)
     6809*200 (SaComponent
    65726810uid 4903,0
    65736811optionalChildren [
    6574 *194 (CptPort
     6812*201 (CptPort
    65756813uid 4867,0
    65766814ps "OnEdgeStrategy"
     
    66056843)
    66066844)
    6607 *195 (CptPort
     6845*202 (CptPort
    66086846uid 4871,0
    66096847ps "OnEdgeStrategy"
     
    66156853fg "0,65535,0"
    66166854)
    6617 xt "1000,52625,1750,53375"
     6855xt "1000,48625,1750,49375"
    66186856)
    66196857tg (CPTG
     
    66256863va (VaSet
    66266864)
    6627 xt "-7500,52500,0,53500"
     6865xt "-7500,48500,0,49500"
    66286866st "start_endless_mode"
    66296867ju 2
    6630 blo "0,53300"
     6868blo "0,49300"
    66316869)
    66326870)
     
    66396877)
    66406878)
    6641 *196 (CptPort
     6879*203 (CptPort
    66426880uid 4875,0
    66436881ps "OnEdgeStrategy"
     
    66496887fg "0,65535,0"
    66506888)
    6651 xt "1000,49625,1750,50375"
     6889xt "1000,45625,1750,46375"
    66526890)
    66536891tg (CPTG
     
    66596897va (VaSet
    66606898)
    6661 xt "-10200,49500,0,50500"
     6899xt "-10200,45500,0,46500"
    66626900st "start_read_stop_pos_mode"
    66636901ju 2
    6664 blo "0,50300"
     6902blo "0,46300"
    66656903)
    66666904)
     
    66736911)
    66746912)
    6675 *197 (CptPort
     6913*204 (CptPort
    66766914uid 4879,0
    66776915ps "OnEdgeStrategy"
     
    67066944)
    67076945)
    6708 *198 (CptPort
     6946*205 (CptPort
    67096947uid 4883,0
    67106948ps "OnEdgeStrategy"
     
    67396977)
    67406978)
    6741 *199 (CptPort
     6979*206 (CptPort
    67426980uid 4887,0
    67436981ps "OnEdgeStrategy"
     
    67727010)
    67737011)
    6774 *200 (CptPort
     7012*207 (CptPort
    67757013uid 4891,0
    67767014ps "OnEdgeStrategy"
     
    68057043)
    68067044)
    6807 *201 (CptPort
     7045*208 (CptPort
    68087046uid 4895,0
    68097047ps "OnEdgeStrategy"
     
    68157053fg "0,65535,0"
    68167054)
    6817 xt "1000,51625,1750,52375"
     7055xt "1000,47625,1750,48375"
    68187056)
    68197057tg (CPTG
     
    68257063va (VaSet
    68267064)
    6827 xt "-3400,51500,0,52500"
     7065xt "-3400,47500,0,48500"
    68287066st "stop_pos"
    68297067ju 2
    6830 blo "0,52300"
     7068blo "0,48300"
    68317069)
    68327070)
     
    68407078)
    68417079)
    6842 *202 (CptPort
     7080*209 (CptPort
    68437081uid 4899,0
    68447082ps "OnEdgeStrategy"
     
    68507088fg "0,65535,0"
    68517089)
    6852 xt "1000,50625,1750,51375"
     7090xt "1000,46625,1750,47375"
    68537091)
    68547092tg (CPTG
     
    68607098va (VaSet
    68617099)
    6862 xt "-5700,50500,0,51500"
     7100xt "-5700,46500,0,47500"
    68637101st "stop_pos_valid"
    68647102ju 2
    6865 blo "0,51300"
     7103blo "0,47300"
    68667104)
    68677105)
     
    68767114)
    68777115)
    6878 *203 (CptPort
     7116*210 (CptPort
    68797117uid 4938,0
    68807118ps "OnEdgeStrategy"
     
    69067144n "RSRLOAD"
    69077145t "std_logic"
    6908 o 10
     7146o 15
    69097147i "'0'"
    69107148)
    69117149)
    69127150)
    6913 *204 (CptPort
     7151*211 (CptPort
    69147152uid 4942,0
    69157153ps "OnEdgeStrategy"
     
    69417179n "SRCLK"
    69427180t "std_logic"
     7181o 16
     7182i "'0'"
     7183)
     7184)
     7185)
     7186*212 (CptPort
     7187uid 10272,0
     7188ps "OnEdgeStrategy"
     7189shape (Triangle
     7190uid 10273,0
     7191ro 270
     7192va (VaSet
     7193vasetType 1
     7194fg "0,65535,0"
     7195)
     7196xt "1000,56625,1750,57375"
     7197)
     7198tg (CPTG
     7199uid 10274,0
     7200ps "CptPortTextPlaceStrategy"
     7201stg "RightVerticalLayoutStrategy"
     7202f (Text
     7203uid 10275,0
     7204va (VaSet
     7205)
     7206xt "-6100,56500,0,57500"
     7207st "srin_data : (7:0)"
     7208ju 2
     7209blo "0,57300"
     7210)
     7211)
     7212thePort (LogicalPort
     7213decl (Decl
     7214n "srin_data"
     7215t "std_logic_vector"
     7216b "(7 downto 0)"
     7217o 13
     7218)
     7219)
     7220)
     7221*213 (CptPort
     7222uid 10276,0
     7223ps "OnEdgeStrategy"
     7224shape (Triangle
     7225uid 10277,0
     7226ro 270
     7227va (VaSet
     7228vasetType 1
     7229fg "0,65535,0"
     7230)
     7231xt "-18750,56625,-18000,57375"
     7232)
     7233tg (CPTG
     7234uid 10278,0
     7235ps "CptPortTextPlaceStrategy"
     7236stg "VerticalLayoutStrategy"
     7237f (Text
     7238uid 10279,0
     7239va (VaSet
     7240)
     7241xt "-17000,56500,-13300,57500"
     7242st "SRIN_out"
     7243blo "-17000,57300"
     7244)
     7245)
     7246thePort (LogicalPort
     7247m 1
     7248decl (Decl
     7249n "SRIN_out"
     7250t "std_logic"
     7251o 14
     7252i "'0'"
     7253)
     7254)
     7255)
     7256*214 (CptPort
     7257uid 10280,0
     7258ps "OnEdgeStrategy"
     7259shape (Triangle
     7260uid 10281,0
     7261ro 90
     7262va (VaSet
     7263vasetType 1
     7264fg "0,65535,0"
     7265)
     7266xt "1000,54625,1750,55375"
     7267)
     7268tg (CPTG
     7269uid 10282,0
     7270ps "CptPortTextPlaceStrategy"
     7271stg "RightVerticalLayoutStrategy"
     7272f (Text
     7273uid 10283,0
     7274va (VaSet
     7275)
     7276xt "-5600,54500,0,55500"
     7277st "srin_write_ack"
     7278ju 2
     7279blo "0,55300"
     7280)
     7281)
     7282thePort (LogicalPort
     7283m 1
     7284decl (Decl
     7285n "srin_write_ack"
     7286t "std_logic"
     7287o 12
     7288i "'0'"
     7289)
     7290)
     7291)
     7292*215 (CptPort
     7293uid 10284,0
     7294ps "OnEdgeStrategy"
     7295shape (Triangle
     7296uid 10285,0
     7297ro 90
     7298va (VaSet
     7299vasetType 1
     7300fg "0,65535,0"
     7301)
     7302xt "1000,55625,1750,56375"
     7303)
     7304tg (CPTG
     7305uid 10286,0
     7306ps "CptPortTextPlaceStrategy"
     7307stg "RightVerticalLayoutStrategy"
     7308f (Text
     7309uid 10287,0
     7310va (VaSet
     7311)
     7312xt "-6300,55500,0,56500"
     7313st "srin_write_ready"
     7314ju 2
     7315blo "0,56300"
     7316)
     7317)
     7318thePort (LogicalPort
     7319m 1
     7320decl (Decl
     7321n "srin_write_ready"
     7322t "std_logic"
    69437323o 11
    69447324i "'0'"
     7325)
     7326)
     7327)
     7328*216 (CptPort
     7329uid 10288,0
     7330ps "OnEdgeStrategy"
     7331shape (Triangle
     7332uid 10289,0
     7333ro 270
     7334va (VaSet
     7335vasetType 1
     7336fg "0,65535,0"
     7337)
     7338xt "1000,53625,1750,54375"
     7339)
     7340tg (CPTG
     7341uid 10290,0
     7342ps "CptPortTextPlaceStrategy"
     7343stg "RightVerticalLayoutStrategy"
     7344f (Text
     7345uid 10291,0
     7346va (VaSet
     7347)
     7348xt "-7200,53500,0,54500"
     7349st "start_srin_write_8b"
     7350ju 2
     7351blo "0,54300"
     7352)
     7353)
     7354thePort (LogicalPort
     7355decl (Decl
     7356n "start_srin_write_8b"
     7357t "std_logic"
     7358o 10
    69457359)
    69467360)
     
    69557369lineWidth 2
    69567370)
    6957 xt "-18000,44000,1000,54000"
     7371xt "-18000,44000,1000,59000"
    69587372)
    69597373oxt "0,0,8000,10000"
     
    69637377stg "VerticalLayoutStrategy"
    69647378textVec [
    6965 *205 (Text
     7379*217 (Text
    69667380uid 4906,0
    69677381va (VaSet
    69687382font "Arial,8,1"
    69697383)
    6970 xt "-17400,54000,-10800,55000"
     7384xt "-12400,41000,-5800,42000"
    69717385st "FACT_FAD_LIB"
    6972 blo "-17400,54800"
     7386blo "-12400,41800"
    69737387tm "BdLibraryNameMgr"
    69747388)
    6975 *206 (Text
     7389*218 (Text
    69767390uid 4907,0
    69777391va (VaSet
    69787392font "Arial,8,1"
    69797393)
    6980 xt "-17400,55000,-12700,56000"
     7394xt "-12400,42000,-7700,43000"
    69817395st "drs_pulser"
    6982 blo "-17400,55800"
     7396blo "-12400,42800"
    69837397tm "CptNameMgr"
    69847398)
    6985 *207 (Text
     7399*219 (Text
    69867400uid 4908,0
    69877401va (VaSet
    69887402font "Arial,8,1"
    69897403)
    6990 xt "-17400,56000,-9900,57000"
     7404xt "-12400,43000,-4900,44000"
    69917405st "I_main_drs_pulser"
    6992 blo "-17400,56800"
     7406blo "-12400,43800"
    69937407tm "InstanceNameMgr"
    69947408)
     
    70197433fg "49152,49152,49152"
    70207434)
    7021 xt "-17750,52250,-16250,53750"
     7435xt "-17750,57250,-16250,58750"
    70227436iconName "VhdlFileViewIcon.png"
    70237437iconMaskName "VhdlFileViewIcon.msk"
     
    70307444archFileType "UNKNOWN"
    70317445)
    7032 *208 (Net
     7446*220 (Net
    70337447uid 4946,0
    70347448decl (Decl
     
    70457459)
    70467460xt "-103000,37400,-63000,38200"
    7047 st "RSRLOAD                : std_logic                                    := '0'
    7048 "
    7049 )
    7050 )
    7051 *209 (PortIoOut
     7461st "RSRLOAD                : std_logic                                    := '0'"
     7462)
     7463)
     7464*221 (PortIoOut
    70527465uid 4954,0
    70537466shape (CompositeShape
     
    70947507)
    70957508)
    7096 *210 (Net
     7509*222 (Net
    70977510uid 4960,0
    70987511decl (Decl
     
    71097522)
    71107523xt "-103000,38200,-63000,39000"
    7111 st "SRCLK                  : std_logic                                    := '0'
    7112 "
    7113 )
    7114 )
    7115 *211 (PortIoOut
     7524st "SRCLK                  : std_logic                                    := '0'"
     7525)
     7526)
     7527*223 (PortIoOut
    71167528uid 4968,0
    71177529shape (CompositeShape
     
    71587570)
    71597571)
    7160 *212 (SaComponent
     7572*224 (SaComponent
    71617573uid 5072,0
    71627574optionalChildren [
    7163 *213 (CptPort
     7575*225 (CptPort
    71647576uid 5028,0
    71657577ps "OnEdgeStrategy"
     
    71957607)
    71967608)
    7197 *214 (CptPort
     7609*226 (CptPort
    71987610uid 5032,0
    71997611ps "OnEdgeStrategy"
     
    72317643)
    72327644)
    7233 *215 (CptPort
     7645*227 (CptPort
    72347646uid 5036,0
    72357647ps "OnEdgeStrategy"
     
    72677679)
    72687680)
    7269 *216 (CptPort
     7681*228 (CptPort
    72707682uid 5040,0
    72717683ps "OnEdgeStrategy"
     
    73037715)
    73047716)
    7305 *217 (CptPort
     7717*229 (CptPort
    73067718uid 5044,0
    73077719ps "OnEdgeStrategy"
     
    73407752)
    73417753)
    7342 *218 (CptPort
     7754*230 (CptPort
    73437755uid 5048,0
    73447756ps "OnEdgeStrategy"
     
    73757787)
    73767788)
    7377 *219 (CptPort
     7789*231 (CptPort
    73787790uid 5052,0
    73797791ps "OnEdgeStrategy"
     
    74107822)
    74117823)
    7412 *220 (CptPort
     7824*232 (CptPort
    74137825uid 5056,0
    74147826ps "OnEdgeStrategy"
     
    74407852n "dac_array"
    74417853t "dac_array_type"
    7442 o 10
     7854o 12
    74437855suid 8,0
    74447856)
    74457857)
    74467858)
    7447 *221 (CptPort
     7859*233 (CptPort
    74487860uid 5060,0
    74497861ps "OnEdgeStrategy"
     
    74807892)
    74817893)
    7482 *222 (CptPort
     7894*234 (CptPort
    74837895uid 5064,0
    74847896ps "OnEdgeStrategy"
     
    75147926)
    75157927)
    7516 *223 (CptPort
     7928*235 (CptPort
    75177929uid 5068,0
    75187930ps "OnEdgeStrategy"
     
    75497961)
    75507962)
    7551 *224 (CptPort
     7963*236 (CptPort
    75527964uid 5995,0
    75537965ps "OnEdgeStrategy"
     
    75797991n "config_started"
    75807992t "std_logic"
    7581 o 9
     7993o 11
    75827994suid 12,0
    75837995i "'0'"
     
    75857997)
    75867998)
    7587 *225 (CptPort
    7588 uid 8500,0
     7999*237 (CptPort
     8000uid 10184,0
    75898001ps "OnEdgeStrategy"
    75908002shape (Triangle
    7591 uid 8501,0
     8003uid 10185,0
    75928004ro 90
    75938005va (VaSet
     
    75988010)
    75998011tg (CPTG
    7600 uid 8502,0
     8012uid 10186,0
    76018013ps "CptPortTextPlaceStrategy"
    76028014stg "RightVerticalLayoutStrategy"
    76038015f (Text
    7604 uid 8503,0
    7605 va (VaSet
    7606 )
    7607 xt "83800,109500,91000,110500"
    7608 st "drs_address : (3:0)"
     8016uid 10187,0
     8017va (VaSet
     8018)
     8019xt "85400,109500,91000,110500"
     8020st "config_rw_ack"
    76098021ju 2
    76108022blo "91000,110300"
     
    76148026m 1
    76158027decl (Decl
    7616 n "drs_address"
    7617 t "std_logic_vector"
    7618 b "(3 DOWNTO 0)"
    7619 o 11
    7620 suid 13,0
    7621 )
    7622 )
    7623 )
    7624 *226 (CptPort
    7625 uid 8504,0
     8028n "config_rw_ack"
     8029t "std_logic"
     8030prec "-- --"
     8031preAdd 0
     8032posAdd 0
     8033o 9
     8034suid 15,0
     8035i "'0'"
     8036)
     8037)
     8038)
     8039*238 (CptPort
     8040uid 10188,0
    76268041ps "OnEdgeStrategy"
    76278042shape (Triangle
    7628 uid 8505,0
     8043uid 10189,0
    76298044ro 90
    76308045va (VaSet
     
    76358050)
    76368051tg (CPTG
    7637 uid 8506,0
     8052uid 10190,0
    76388053ps "CptPortTextPlaceStrategy"
    76398054stg "RightVerticalLayoutStrategy"
    76408055f (Text
    7641 uid 8507,0
    7642 va (VaSet
    7643 )
    7644 xt "83800,110500,91000,111500"
    7645 st "drs_address_mode"
     8056uid 10191,0
     8057va (VaSet
     8058)
     8059xt "84700,110500,91000,111500"
     8060st "config_rw_ready"
    76468061ju 2
    76478062blo "91000,111300"
     
    76518066m 1
    76528067decl (Decl
    7653 n "drs_address_mode"
    7654 t "std_logic"
    7655 o 12
    7656 suid 14,0
     8068n "config_rw_ready"
     8069t "std_logic"
     8070prec "-- --"
     8071preAdd 0
     8072posAdd 0
     8073o 10
     8074suid 16,0
     8075i "'0'"
    76578076)
    76588077)
     
    76758094stg "VerticalLayoutStrategy"
    76768095textVec [
    7677 *227 (Text
     8096*239 (Text
    76788097uid 5075,0
    76798098va (VaSet
     
    76858104tm "BdLibraryNameMgr"
    76868105)
    7687 *228 (Text
     8106*240 (Text
    76888107uid 5076,0
    76898108va (VaSet
     
    76958114tm "CptNameMgr"
    76968115)
    7697 *229 (Text
     8116*241 (Text
    76988117uid 5077,0
    76998118va (VaSet
     
    77418160archFileType "UNKNOWN"
    77428161)
    7743 *230 (Net
     8162*242 (Net
    77448163uid 5088,0
    77458164decl (Decl
     
    77558174font "Courier New,8,0"
    77568175)
    7757 xt "-103000,61800,-71000,62600"
    7758 st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)
    7759 "
    7760 )
    7761 )
    7762 *231 (Net
     8176xt "-103000,62600,-71000,63400"
     8177st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)"
     8178)
     8179)
     8180*243 (Net
    77638181uid 5096,0
    77648182decl (Decl
     
    77738191font "Courier New,8,0"
    77748192)
    7775 xt "-103000,64200,-80500,65000"
    7776 st "SIGNAL config_data_valid      : std_logic
    7777 "
    7778 )
    7779 )
    7780 *232 (Net
     8193xt "-103000,65000,-80500,65800"
     8194st "SIGNAL config_data_valid      : std_logic"
     8195)
     8196)
     8197*244 (Net
    77818198uid 5104,0
    77828199decl (Decl
     
    77918208font "Courier New,8,0"
    77928209)
    7793 xt "-103000,62600,-80500,63400"
    7794 st "SIGNAL config_busy            : std_logic
    7795 "
    7796 )
    7797 )
    7798 *233 (Net
     8210xt "-103000,63400,-80500,64200"
     8211st "SIGNAL config_busy            : std_logic"
     8212)
     8213)
     8214*245 (Net
    77998215uid 5112,0
    78008216decl (Decl
     
    78108226font "Courier New,8,0"
    78118227)
    7812 xt "-103000,63400,-70500,64200"
    7813 st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)
    7814 "
    7815 )
    7816 )
    7817 *234 (Net
     8228xt "-103000,64200,-70500,65000"
     8229st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)"
     8230)
     8231)
     8232*246 (Net
    78188233uid 5120,0
    78198234decl (Decl
     
    78288243font "Courier New,8,0"
    78298244)
    7830 xt "-103000,73800,-80500,74600"
    7831 st "SIGNAL config_wr_en           : std_logic
    7832 "
    7833 )
    7834 )
    7835 *235 (Net
     8245xt "-103000,77800,-80500,78600"
     8246st "SIGNAL config_wr_en           : std_logic"
     8247)
     8248)
     8249*247 (Net
    78368250uid 5128,0
    78378251decl (Decl
     
    78468260font "Courier New,8,0"
    78478261)
    7848 xt "-103000,65000,-80500,65800"
    7849 st "SIGNAL config_rd_en           : std_logic
    7850 "
    7851 )
    7852 )
    7853 *236 (Net
     8262xt "-103000,65800,-80500,66600"
     8263st "SIGNAL config_rd_en           : std_logic"
     8264)
     8265)
     8266*248 (Net
    78548267uid 5144,0
    78558268decl (Decl
     
    78648277font "Courier New,8,0"
    78658278)
    7866 xt "-103000,74600,-78000,75400"
    7867 st "SIGNAL dac_array              : dac_array_type
    7868 "
    7869 )
    7870 )
    7871 *237 (Net
     8279xt "-103000,78600,-78000,79400"
     8280st "SIGNAL dac_array              : dac_array_type"
     8281)
     8282)
     8283*249 (Net
    78728284uid 5194,0
    78738285decl (Decl
     
    78828294font "Courier New,8,0"
    78838295)
    7884 xt "-103000,69000,-80500,69800"
    7885 st "SIGNAL config_start_cm        : std_logic
    7886 "
    7887 )
    7888 )
    7889 *238 (Net
     8296xt "-103000,73000,-80500,73800"
     8297st "SIGNAL config_start_cm        : std_logic"
     8298)
     8299)
     8300*250 (Net
    78908301uid 5196,0
    78918302decl (Decl
     
    79008311font "Courier New,8,0"
    79018312)
    7902 xt "-103000,66600,-80500,67400"
    7903 st "SIGNAL config_ready_cm        : std_logic
    7904 "
    7905 )
    7906 )
    7907 *239 (Net
     8313xt "-103000,67400,-80500,68200"
     8314st "SIGNAL config_ready_cm        : std_logic"
     8315)
     8316)
     8317*251 (Net
    79088318uid 5220,0
    79098319decl (Decl
     
    79218331font "Courier New,8,0"
    79228332)
    7923 xt "-103000,43800,-57000,44600"
    7924 st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
    7925 "
    7926 )
    7927 )
    7928 *240 (Net
    7929 uid 5279,0
    7930 decl (Decl
    7931 n "s_trigger"
    7932 t "std_logic"
    7933 o 69
    7934 suid 134,0
    7935 i "'0'"
    7936 )
    7937 declText (MLText
    7938 uid 5280,0
    7939 va (VaSet
    7940 font "Courier New,8,0"
    7941 )
    7942 xt "-103000,93000,-59500,93800"
    7943 st "SIGNAL s_trigger              : std_logic                                    := '0'
    7944 "
    7945 )
    7946 )
    7947 *241 (Net
     8333xt "-103000,44600,-57000,45400"
     8334st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
     8335)
     8336)
     8337*252 (Net
    79488338uid 5472,0
    79498339decl (Decl
     
    79588348font "Courier New,8,0"
    79598349)
    7960 xt "-103000,96200,-80500,97000"
    7961 st "SIGNAL sensor_ready           : std_logic
    7962 "
    7963 )
    7964 )
    7965 *242 (Net
     8350xt "-103000,102600,-80500,103400"
     8351st "SIGNAL sensor_ready           : std_logic"
     8352)
     8353)
     8354*253 (Net
    79668355uid 5478,0
    79678356decl (Decl
     
    79768365font "Courier New,8,0"
    79778366)
    7978 xt "-103000,95400,-76500,96200"
    7979 st "SIGNAL sensor_array           : sensor_array_type
    7980 "
    7981 )
    7982 )
    7983 *243 (Net
     8367xt "-103000,101800,-76500,102600"
     8368st "SIGNAL sensor_array           : sensor_array_type"
     8369)
     8370)
     8371*254 (Net
    79848372uid 5588,0
    79858373decl (Decl
     
    79948382font "Courier New,8,0"
    79958383)
    7996 xt "-103000,67400,-80500,68200"
    7997 st "SIGNAL config_ready_spi       : std_logic
    7998 "
    7999 )
    8000 )
    8001 *244 (Net
     8384xt "-103000,68200,-80500,69000"
     8385st "SIGNAL config_ready_spi       : std_logic"
     8386)
     8387)
     8388*255 (Net
    80028389uid 5632,0
    80038390lang 10
     
    80148401font "Courier New,8,0"
    80158402)
    8016 xt "-103000,60200,-71000,61000"
    8017 st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)
    8018 "
    8019 )
    8020 )
    8021 *245 (Net
     8403xt "-103000,61000,-71000,61800"
     8404st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)"
     8405)
     8406)
     8407*256 (Net
    80228408uid 5640,0
    80238409decl (Decl
     
    80328418font "Courier New,8,0"
    80338419)
    8034 xt "-103000,59400,-75500,60200"
    8035 st "SIGNAL adc_data_array_int     : adc_data_array_type
    8036 "
    8037 )
    8038 )
    8039 *246 (SaComponent
     8420xt "-103000,60200,-75500,61000"
     8421st "SIGNAL adc_data_array_int     : adc_data_array_type"
     8422)
     8423)
     8424*257 (SaComponent
    80408425uid 5678,0
    80418426optionalChildren [
    8042 *247 (CptPort
     8427*258 (CptPort
    80438428uid 5658,0
    80448429ps "OnEdgeStrategy"
     
    80758460)
    80768461)
    8077 *248 (CptPort
     8462*259 (CptPort
    80788463uid 5662,0
    80798464ps "OnEdgeStrategy"
     
    81128497)
    81138498)
    8114 *249 (CptPort
     8499*260 (CptPort
    81158500uid 5666,0
    81168501ps "OnEdgeStrategy"
     
    81518536)
    81528537)
    8153 *250 (CptPort
     8538*261 (CptPort
    81548539uid 5670,0
    81558540ps "OnEdgeStrategy"
     
    81878572)
    81888573)
    8189 *251 (CptPort
     8574*262 (CptPort
    81908575uid 5674,0
    81918576ps "OnEdgeStrategy"
     
    82408625stg "VerticalLayoutStrategy"
    82418626textVec [
    8242 *252 (Text
     8627*263 (Text
    82438628uid 5681,0
    82448629va (VaSet
     
    82508635tm "BdLibraryNameMgr"
    82518636)
    8252 *253 (Text
     8637*264 (Text
    82538638uid 5682,0
    82548639va (VaSet
     
    82608645tm "CptNameMgr"
    82618646)
    8262 *254 (Text
     8647*265 (Text
    82638648uid 5683,0
    82648649va (VaSet
     
    83098694archFileType "UNKNOWN"
    83108695)
    8311 *255 (Net
     8696*266 (Net
    83128697uid 5743,0
    83138698decl (Decl
     
    83238708font "Courier New,8,0"
    83248709)
    8325 xt "-103000,69800,-59500,70600"
    8326 st "SIGNAL config_start_spi       : std_logic                                    := '0'
    8327 "
    8328 )
    8329 )
    8330 *256 (SaComponent
     8710xt "-103000,73800,-59500,74600"
     8711st "SIGNAL config_start_spi       : std_logic                                    := '0'"
     8712)
     8713)
     8714*267 (SaComponent
    83318715uid 5793,0
    83328716optionalChildren [
    8333 *257 (CptPort
     8717*268 (CptPort
    83348718uid 5753,0
    83358719ps "OnEdgeStrategy"
     
    83668750)
    83678751)
    8368 *258 (CptPort
     8752*269 (CptPort
    83698753uid 5761,0
    83708754ps "OnEdgeStrategy"
     
    84018785)
    84028786)
    8403 *259 (CptPort
     8787*270 (CptPort
    84048788uid 5765,0
    84058789ps "OnEdgeStrategy"
     
    84378821)
    84388822)
    8439 *260 (CptPort
     8823*271 (CptPort
    84408824uid 5769,0
    84418825ps "OnEdgeStrategy"
     
    84728856)
    84738857)
    8474 *261 (CptPort
     8858*272 (CptPort
    84758859uid 5773,0
    84768860ps "OnEdgeStrategy"
     
    85088892)
    85098893)
    8510 *262 (CptPort
     8894*273 (CptPort
    85118895uid 5777,0
    85128896ps "OnEdgeStrategy"
     
    85448928)
    85458929)
    8546 *263 (CptPort
     8930*274 (CptPort
    85478931uid 5781,0
    85488932ps "OnEdgeStrategy"
     
    85798963)
    85808964)
    8581 *264 (CptPort
     8965*275 (CptPort
    85828966uid 5785,0
    85838967ps "OnEdgeStrategy"
     
    86158999)
    86169000)
    8617 *265 (CptPort
     9001*276 (CptPort
    86189002uid 5789,0
    86199003ps "OnEdgeStrategy"
     
    86519035)
    86529036)
    8653 *266 (CptPort
     9037*277 (CptPort
    86549038uid 5986,0
    86559039ps "OnEdgeStrategy"
     
    86889072)
    86899073)
    8690 *267 (CptPort
     9074*278 (CptPort
    86919075uid 6154,0
    86929076ps "OnEdgeStrategy"
     
    87249108)
    87259109)
    8726 *268 (CptPort
     9110*279 (CptPort
    87279111uid 6317,0
    87289112ps "OnEdgeStrategy"
     
    87789162stg "VerticalLayoutStrategy"
    87799163textVec [
    8780 *269 (Text
     9164*280 (Text
    87819165uid 5796,0
    87829166va (VaSet
     
    87889172tm "BdLibraryNameMgr"
    87899173)
    8790 *270 (Text
     9174*281 (Text
    87919175uid 5797,0
    87929176va (VaSet
     
    87989182tm "CptNameMgr"
    87999183)
    8800 *271 (Text
     9184*282 (Text
    88019185uid 5798,0
    88029186va (VaSet
     
    88449228archFileType "UNKNOWN"
    88459229)
    8846 *272 (Net
     9230*283 (Net
    88479231uid 5811,0
    88489232decl (Decl
     
    88579241font "Courier New,8,0"
    88589242)
    8859 xt "-103000,47000,-84500,47800"
    8860 st "sclk                   : std_logic
    8861 "
    8862 )
    8863 )
    8864 *273 (Net
     9243xt "-103000,47800,-84500,48600"
     9244st "sclk                   : std_logic"
     9245)
     9246)
     9247*284 (Net
    88659248uid 5819,0
    88669249decl (Decl
     
    88779260font "Courier New,8,0"
    88789261)
    8879 xt "-103000,54200,-84500,55000"
    8880 st "sio                    : std_logic
    8881 "
    8882 )
    8883 )
    8884 *274 (Net
     9262xt "-103000,55000,-84500,55800"
     9263st "sio                    : std_logic"
     9264)
     9265)
     9266*285 (Net
    88859267uid 5827,0
    88869268decl (Decl
     
    88959277font "Courier New,8,0"
    88969278)
    8897 xt "-103000,40600,-84500,41400"
    8898 st "dac_cs                 : std_logic
    8899 "
    8900 )
    8901 )
    8902 *275 (Net
     9279xt "-103000,41400,-84500,42200"
     9280st "dac_cs                 : std_logic"
     9281)
     9282)
     9283*286 (Net
    89039284uid 5835,0
    89049285decl (Decl
     
    89149295font "Courier New,8,0"
    89159296)
    8916 xt "-103000,47800,-74500,48600"
    8917 st "sensor_cs              : std_logic_vector(3 DOWNTO 0)
    8918 "
    8919 )
    8920 )
    8921 *276 (PortIoOut
     9297xt "-103000,48600,-74500,49400"
     9298st "sensor_cs              : std_logic_vector(3 DOWNTO 0)"
     9299)
     9300)
     9301*287 (PortIoOut
    89229302uid 5843,0
    89239303shape (CompositeShape
     
    89649344)
    89659345)
    8966 *277 (PortIoInOut
     9346*288 (PortIoInOut
    89679347uid 5849,0
    89689348shape (CompositeShape
     
    90099389)
    90109390)
    9011 *278 (PortIoOut
     9391*289 (PortIoOut
    90129392uid 5855,0
    90139393shape (CompositeShape
     
    90549434)
    90559435)
    9056 *279 (PortIoOut
     9436*290 (PortIoOut
    90579437uid 5861,0
    90589438shape (CompositeShape
     
    90999479)
    91009480)
    9101 *280 (Net
     9481*291 (Net
    91029482uid 5948,0
    91039483decl (Decl
     
    91139493font "Courier New,8,0"
    91149494)
    9115 xt "-103000,83400,-59500,84200"
    9116 st "SIGNAL new_config             : std_logic                                    := '0'
    9117 "
    9118 )
    9119 )
    9120 *281 (Net
     9495xt "-103000,88200,-59500,89000"
     9496st "SIGNAL new_config             : std_logic                                    := '0'"
     9497)
     9498)
     9499*292 (Net
    91219500uid 5960,0
    91229501decl (Decl
     
    91319510font "Courier New,8,0"
    91329511)
    9133 xt "-103000,70600,-80500,71400"
    9134 st "SIGNAL config_started         : std_logic
    9135 "
    9136 )
    9137 )
    9138 *282 (Net
     9512xt "-103000,74600,-80500,75400"
     9513st "SIGNAL config_started         : std_logic"
     9514)
     9515)
     9516*293 (Net
    91399517uid 6012,0
    91409518decl (Decl
     
    91509528font "Courier New,8,0"
    91519529)
    9152 xt "-103000,73000,-59500,73800"
    9153 st "SIGNAL config_started_spi     : std_logic                                    := '0'
    9154 "
    9155 )
    9156 )
    9157 *283 (Net
     9530xt "-103000,77000,-59500,77800"
     9531st "SIGNAL config_started_spi     : std_logic                                    := '0'"
     9532)
     9533)
     9534*294 (Net
    91589535uid 6014,0
    91599536decl (Decl
     
    91699546font "Courier New,8,0"
    91709547)
    9171 xt "-103000,71400,-59500,72200"
    9172 st "SIGNAL config_started_cu      : std_logic                                    := '0'
    9173 "
    9174 )
    9175 )
    9176 *284 (Net
     9548xt "-103000,75400,-59500,76200"
     9549st "SIGNAL config_started_cu      : std_logic                                    := '0'"
     9550)
     9551)
     9552*295 (Net
    91779553uid 6016,0
    91789554decl (Decl
     
    91879563font "Courier New,8,0"
    91889564)
    9189 xt "-103000,72200,-80500,73000"
    9190 st "SIGNAL config_started_mm      : std_logic
    9191 "
    9192 )
    9193 )
    9194 *285 (Net
     9565xt "-103000,76200,-80500,77000"
     9566st "SIGNAL config_started_mm      : std_logic"
     9567)
     9568)
     9569*296 (Net
    91959570uid 6158,0
    91969571decl (Decl
     
    92069581font "Courier New,8,0"
    92079582)
    9208 xt "-103000,44600,-63000,45400"
    9209 st "mosi                   : std_logic                                    := '0'
    9210 "
    9211 )
    9212 )
    9213 *286 (PortIoOut
     9583xt "-103000,45400,-63000,46200"
     9584st "mosi                   : std_logic                                    := '0'"
     9585)
     9586)
     9587*297 (PortIoOut
    92149588uid 6166,0
    92159589shape (CompositeShape
     
    92569630)
    92579631)
    9258 *287 (Net
     9632*298 (Net
    92599633uid 6360,0
    92609634decl (Decl
     
    92729646font "Courier New,8,0"
    92739647)
    9274 xt "-103000,41400,-49500,42200"
    9275 st "denable                : std_logic                                    := '0' -- default domino wave off
    9276 "
    9277 )
    9278 )
    9279 *288 (PortIoOut
     9648xt "-103000,42200,-49500,43000"
     9649st "denable                : std_logic                                    := '0' -- default domino wave off"
     9650)
     9651)
     9652*299 (PortIoOut
    92809653uid 6368,0
    92819654shape (CompositeShape
     
    92909663sl 0
    92919664ro 270
    9292 xt "153500,74625,155000,75375"
     9665xt "154500,74625,156000,75375"
    92939666)
    92949667(Line
     
    92969669sl 0
    92979670ro 270
    9298 xt "153000,75000,153500,75000"
    9299 pts [
    9300 "153000,75000"
    9301 "153500,75000"
     9671xt "154000,75000,154500,75000"
     9672pts [
     9673"154000,75000"
     9674"154500,75000"
    93029675]
    93039676)
     
    93149687va (VaSet
    93159688)
    9316 xt "156000,74500,159000,75500"
     9689xt "157000,74500,160000,75500"
    93179690st "denable"
    9318 blo "156000,75300"
     9691blo "157000,75300"
    93199692tm "WireNameMgr"
    93209693)
    93219694)
    93229695)
    9323 *289 (Net
     9696*300 (Net
    93249697uid 6450,0
    93259698decl (Decl
     
    93359708font "Courier New,8,0"
    93369709)
    9337 xt "-103000,82600,-59500,83400"
    9338 st "SIGNAL dwrite_enable          : std_logic                                    := '1'
    9339 "
    9340 )
    9341 )
    9342 *290 (MWC
     9710xt "-103000,87400,-59500,88200"
     9711st "SIGNAL dwrite_enable          : std_logic                                    := '1'"
     9712)
     9713)
     9714*301 (MWC
    93439715uid 6529,0
    93449716optionalChildren [
    9345 *291 (CptPort
     9717*302 (CptPort
    93469718uid 6501,0
    93479719optionalChildren [
    9348 *292 (Line
     9720*303 (Line
    93499721uid 6505,0
    93509722layer 5
     
    93599731]
    93609732)
    9361 *293 (Property
     9733*304 (Property
    93629734uid 6506,0
    93639735pclass "_MW_GEOM_"
     
    94049776)
    94059777)
    9406 *294 (CptPort
     9778*305 (CptPort
    94079779uid 6507,0
    94089780optionalChildren [
    9409 *295 (Line
     9781*306 (Line
    94109782uid 6511,0
    94119783layer 5
     
    94599831)
    94609832)
    9461 *296 (CptPort
     9833*307 (CptPort
    94629834uid 6512,0
    94639835optionalChildren [
    9464 *297 (Line
     9836*308 (Line
    94659837uid 6516,0
    94669838layer 5
     
    95149886)
    95159887)
    9516 *298 (CommentGraphic
     9888*309 (CommentGraphic
    95179889uid 6517,0
    95189890optionalChildren [
    9519 *299 (Property
     9891*310 (Property
    95209892uid 6519,0
    95219893pclass "_MW_GEOM_"
     
    95419913oxt "11000,10000,11000,10000"
    95429914)
    9543 *300 (CommentGraphic
     9915*311 (CommentGraphic
    95449916uid 6520,0
    95459917optionalChildren [
    9546 *301 (Property
     9918*312 (Property
    95479919uid 6522,0
    95489920pclass "_MW_GEOM_"
     
    95689940oxt "11000,6000,11000,6000"
    95699941)
    9570 *302 (Grouping
     9942*313 (Grouping
    95719943uid 6523,0
    95729944optionalChildren [
    9573 *303 (CommentGraphic
     9945*314 (CommentGraphic
    95749946uid 6525,0
    95759947shape (PolyLine2D
     
    95929964oxt "9000,6000,11000,10000"
    95939965)
    9594 *304 (CommentGraphic
     9966*315 (CommentGraphic
    95959967uid 6527,0
    95969968shape (Arc2D
     
    964510017stg "VerticalLayoutStrategy"
    964610018textVec [
    9647 *305 (Text
     10019*316 (Text
    964810020uid 6532,0
    964910021va (VaSet
     
    965510027blo "3500,59300"
    965610028)
    9657 *306 (Text
     10029*317 (Text
    965810030uid 6533,0
    965910031va (VaSet
     
    966410036blo "3500,60300"
    966510037)
    9666 *307 (Text
     10038*318 (Text
    966710039uid 6534,0
    966810040va (VaSet
     
    970910081)
    971010082)
    9711 *308 (Net
     10083*319 (Net
    971210084uid 6544,0
    971310085decl (Decl
     
    972310095font "Courier New,8,0"
    972410096)
    9725 xt "-103000,81800,-59500,82600"
    9726 st "SIGNAL dwrite                 : std_logic                                    := '1'
    9727 "
    9728 )
    9729 )
    9730 *309 (SaComponent
     10097xt "-103000,86600,-59500,87400"
     10098st "SIGNAL dwrite                 : std_logic                                    := '1'"
     10099)
     10100)
     10101*320 (SaComponent
    973110102uid 8277,0
    973210103optionalChildren [
    9733 *310 (CptPort
     10104*321 (CptPort
    973410105uid 8246,0
    973510106ps "OnEdgeStrategy"
     
    976810139)
    976910140)
    9770 *311 (CptPort
     10141*322 (CptPort
    977110142uid 8250,0
    977210143ps "OnEdgeStrategy"
     
    980610177)
    980710178)
    9808 *312 (CptPort
     10179*323 (CptPort
    980910180uid 8254,0
    981010181ps "OnEdgeStrategy"
     
    984410215)
    984510216)
    9846 *313 (CptPort
     10217*324 (CptPort
    984710218uid 8258,0
    984810219ps "OnEdgeStrategy"
     
    988210253)
    988310254)
    9884 *314 (CptPort
     10255*325 (CptPort
    988510256uid 8262,0
    988610257ps "OnEdgeStrategy"
     
    992010291)
    992110292)
    9922 *315 (CptPort
     10293*326 (CptPort
    992310294uid 8266,0
    992410295ps "OnEdgeStrategy"
     
    995910330)
    996010331)
    9961 *316 (CptPort
     10332*327 (CptPort
    996210333uid 8270,0
    996310334ps "OnEdgeStrategy"
     
    1001610387stg "VerticalLayoutStrategy"
    1001710388textVec [
    10018 *317 (Text
     10389*328 (Text
    1001910390uid 8280,0
    1002010391va (VaSet
     
    1002610397tm "BdLibraryNameMgr"
    1002710398)
    10028 *318 (Text
     10399*329 (Text
    1002910400uid 8281,0
    1003010401va (VaSet
     
    1003610407tm "CptNameMgr"
    1003710408)
    10038 *319 (Text
     10409*330 (Text
    1003910410uid 8282,0
    1004010411va (VaSet
     
    1008410455archFileType "UNKNOWN"
    1008510456)
    10086 *320 (Net
     10457*331 (Net
    1008710458uid 8414,0
    1008810459lang 2
     
    1009810469font "Courier New,8,0"
    1009910470)
    10100 xt "-103000,98600,-80500,99400"
    10101 st "SIGNAL wiz_ack                : std_logic
    10102 "
    10103 )
    10104 )
    10105 *321 (Net
     10471xt "-103000,108200,-80500,109000"
     10472st "SIGNAL wiz_ack                : std_logic"
     10473)
     10474)
     10475*332 (Net
    1010610476uid 8508,0
    1010710477decl (Decl
     
    1011810488font "Courier New,8,0"
    1011910489)
    10120 xt "-103000,76200,-53500,77000"
    10121 st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
    10122 "
    10123 )
    10124 )
    10125 *322 (Net
     10490xt "-103000,80200,-53500,81000"
     10491st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')"
     10492)
     10493)
     10494*333 (Net
    1012610495uid 8516,0
    1012710496decl (Decl
     
    1013610505font "Courier New,8,0"
    1013710506)
    10138 xt "-103000,77000,-80500,77800"
    10139 st "SIGNAL drs_address_mode       : std_logic
    10140 "
    10141 )
    10142 )
    10143 *323 (MWC
     10507xt "-103000,81000,-80500,81800"
     10508st "SIGNAL drs_address_mode       : std_logic"
     10509)
     10510)
     10511*334 (MWC
    1014410512uid 8562,0
    1014510513optionalChildren [
    10146 *324 (CptPort
     10514*335 (CptPort
    1014710515uid 8524,0
    1014810516optionalChildren [
    10149 *325 (Line
     10517*336 (Line
    1015010518uid 8528,0
    1015110519layer 5
     
    1021110579)
    1021210580)
    10213 *326 (CptPort
     10581*337 (CptPort
    1021410582uid 8529,0
    1021510583optionalChildren [
    10216 *327 (Line
     10584*338 (Line
    1021710585uid 8533,0
    1021810586layer 5
     
    1022810596]
    1022910597)
    10230 *328 (Property
     10598*339 (Property
    1023110599uid 8534,0
    1023210600pclass "_MW_GEOM_"
     
    1028310651)
    1028410652)
    10285 *329 (CptPort
     10653*340 (CptPort
    1028610654uid 8535,0
    1028710655optionalChildren [
    10288 *330 (Line
     10656*341 (Line
    1028910657uid 8539,0
    1029010658layer 5
     
    1035010718)
    1035110719)
    10352 *331 (CptPort
     10720*342 (CptPort
    1035310721uid 8540,0
    1035410722optionalChildren [
    10355 *332 (Line
     10723*343 (Line
    1035610724uid 8544,0
    1035710725layer 5
     
    1041310781)
    1041410782)
    10415 *333 (CommentGraphic
     10783*344 (CommentGraphic
    1041610784uid 8545,0
    1041710785shape (CustomPolygon
     
    1043610804oxt "7000,7000,9000,11000"
    1043710805)
    10438 *334 (CommentGraphic
     10806*345 (CommentGraphic
    1043910807uid 8547,0
    1044010808optionalChildren [
    10441 *335 (Property
     10809*346 (Property
    1044210810uid 8549,0
    1044310811pclass "_MW_GEOM_"
     
    1046310831oxt "9000,7000,9000,7000"
    1046410832)
    10465 *336 (CommentGraphic
     10833*347 (CommentGraphic
    1046610834uid 8550,0
    1046710835optionalChildren [
    10468 *337 (Property
     10836*348 (Property
    1046910837uid 8552,0
    1047010838pclass "_MW_GEOM_"
     
    1049010858oxt "9000,11000,9000,11000"
    1049110859)
    10492 *338 (CommentText
     10860*349 (CommentText
    1049310861uid 8553,0
    1049410862shape (Rectangle
     
    1052110889)
    1052210890)
    10523 *339 (CommentText
     10891*350 (CommentText
    1052410892uid 8556,0
    1052510893shape (Rectangle
     
    1055310921)
    1055410922)
    10555 *340 (CommentText
     10923*351 (CommentText
    1055610924uid 8559,0
    1055710925shape (Rectangle
     
    1060410972stg "VerticalLayoutStrategy"
    1060510973textVec [
    10606 *341 (Text
     10974*352 (Text
    1060710975uid 8565,0
    1060810976va (VaSet
     
    1061410982blo "-30650,102900"
    1061510983)
    10616 *342 (Text
     10984*353 (Text
    1061710985uid 8566,0
    1061810986va (VaSet
     
    1062310991blo "-30650,103900"
    1062410992)
    10625 *343 (Text
     10993*354 (Text
    1062610994uid 8567,0
    1062710995va (VaSet
     
    1066911037)
    1067011038)
    10671 *344 (Net
     11039*355 (Net
    1067211040uid 8583,0
    1067311041decl (Decl
     
    1068411052font "Courier New,8,0"
    1068511053)
    10686 xt "-103000,77800,-53500,78600"
    10687 st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
    10688 "
    10689 )
    10690 )
    10691 *345 (MWC
     11054xt "-103000,81800,-53500,82600"
     11055st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')"
     11056)
     11057)
     11058*356 (MWC
    1069211059uid 8721,0
    1069311060optionalChildren [
    10694 *346 (CptPort
     11061*357 (CptPort
    1069511062uid 8693,0
    1069611063optionalChildren [
    10697 *347 (Line
     11064*358 (Line
    1069811065uid 8697,0
    1069911066layer 5
     
    1070811075]
    1070911076)
    10710 *348 (Property
     11077*359 (Property
    1071111078uid 8698,0
    1071211079pclass "_MW_GEOM_"
     
    1075211119)
    1075311120)
    10754 *349 (CptPort
     11121*360 (CptPort
    1075511122uid 8699,0
    1075611123optionalChildren [
    10757 *350 (Line
     11124*361 (Line
    1075811125uid 8703,0
    1075911126layer 5
     
    1080611173)
    1080711174)
    10808 *351 (CptPort
     11175*362 (CptPort
    1080911176uid 8704,0
    1081011177optionalChildren [
    10811 *352 (Line
     11178*363 (Line
    1081211179uid 8708,0
    1081311180layer 5
     
    1086011227)
    1086111228)
    10862 *353 (CommentGraphic
     11229*364 (CommentGraphic
    1086311230uid 8709,0
    1086411231optionalChildren [
    10865 *354 (Property
     11232*365 (Property
    1086611233uid 8711,0
    1086711234pclass "_MW_GEOM_"
     
    1088711254oxt "11000,6000,11000,6000"
    1088811255)
    10889 *355 (CommentGraphic
     11256*366 (CommentGraphic
    1089011257uid 8712,0
    1089111258optionalChildren [
    10892 *356 (Property
     11259*367 (Property
    1089311260uid 8714,0
    1089411261pclass "_MW_GEOM_"
     
    1091411281oxt "11000,10000,11000,10000"
    1091511282)
    10916 *357 (Grouping
     11283*368 (Grouping
    1091711284uid 8715,0
    1091811285optionalChildren [
    10919 *358 (CommentGraphic
     11286*369 (CommentGraphic
    1092011287uid 8717,0
    1092111288shape (PolyLine2D
     
    1093811305oxt "9000,6000,11000,10000"
    1093911306)
    10940 *359 (CommentGraphic
     11307*370 (CommentGraphic
    1094111308uid 8719,0
    1094211309shape (Arc2D
     
    1099111358stg "VerticalLayoutStrategy"
    1099211359textVec [
    10993 *360 (Text
     11360*371 (Text
    1099411361uid 8724,0
    1099511362va (VaSet
     
    1100111368blo "-11500,113300"
    1100211369)
    11003 *361 (Text
     11370*372 (Text
    1100411371uid 8725,0
    1100511372va (VaSet
     
    1101011377blo "-11500,114300"
    1101111378)
    11012 *362 (Text
     11379*373 (Text
    1101311380uid 8726,0
    1101411381va (VaSet
     
    1105511422)
    1105611423)
    11057 *363 (Net
     11424*374 (Net
    1105811425uid 8730,0
    1105911426decl (Decl
     
    1106811435font "Courier New,8,0"
    1106911436)
    11070 xt "-103000,93800,-80500,94600"
    11071 st "SIGNAL sclk1                  : std_logic
    11072 "
    11073 )
    11074 )
    11075 *364 (Net
     11437xt "-103000,100200,-80500,101000"
     11438st "SIGNAL sclk1                  : std_logic"
     11439)
     11440)
     11441*375 (Net
    1107611442uid 8746,0
    1107711443decl (Decl
     
    1108611452font "Courier New,8,0"
    1108711453)
    11088 xt "-103000,94600,-80500,95400"
    11089 st "SIGNAL sclk_enable            : std_logic
    11090 "
    11091 )
    11092 )
    11093 *365 (Net
     11454xt "-103000,101000,-80500,101800"
     11455st "SIGNAL sclk_enable            : std_logic"
     11456)
     11457)
     11458*376 (Net
    1109411459uid 9004,0
    1109511460decl (Decl
     
    1110511470font "Courier New,8,0"
    1110611471)
    11107 xt "-103000,39000,-63000,39800"
    11108 st "adc_clk_en             : std_logic                                    := '0'
    11109 "
    11110 )
    11111 )
    11112 *366 (PortIoOut
     11472xt "-103000,39800,-63000,40600"
     11473st "adc_clk_en             : std_logic                                    := '0'"
     11474)
     11475)
     11476*377 (PortIoOut
    1111311477uid 9012,0
    1111411478shape (CompositeShape
     
    1115511519)
    1115611520)
    11157 *367 (SaComponent
     11521*378 (SaComponent
    1115811522uid 9175,0
    1115911523optionalChildren [
    11160 *368 (CptPort
     11524*379 (CptPort
    1116111525uid 9120,0
    1116211526ps "OnEdgeStrategy"
     
    1119511559)
    1119611560)
    11197 *369 (CptPort
     11561*380 (CptPort
    1119811562uid 9124,0
    1119911563ps "OnEdgeStrategy"
     
    1123211596)
    1123311597)
    11234 *370 (CptPort
     11598*381 (CptPort
    1123511599uid 9128,0
    1123611600ps "OnEdgeStrategy"
     
    1126711631)
    1126811632)
    11269 *371 (CptPort
     11633*382 (CptPort
    1127011634uid 9132,0
    1127111635ps "OnEdgeStrategy"
     
    1131711681)
    1131811682)
    11319 *372 (CptPort
     11683*383 (CptPort
    1132011684uid 9137,0
    1132111685ps "OnEdgeStrategy"
     
    1136811732)
    1136911733)
    11370 *373 (CptPort
     11734*384 (CptPort
    1137111735uid 9142,0
    1137211736ps "OnEdgeStrategy"
     
    1141911783)
    1142011784)
    11421 *374 (CptPort
     11785*385 (CptPort
    1142211786uid 9147,0
    1142311787ps "OnEdgeStrategy"
     
    1145811822)
    1145911823)
    11460 *375 (CptPort
     11824*386 (CptPort
    1146111825uid 9155,0
    1146211826ps "OnEdgeStrategy"
     
    1149511859)
    1149611860)
    11497 *376 (CptPort
     11861*387 (CptPort
    1149811862uid 9159,0
    1149911863ps "OnEdgeStrategy"
     
    1153211896)
    1153311897)
    11534 *377 (CptPort
     11898*388 (CptPort
    1153511899uid 9163,0
    1153611900ps "OnEdgeStrategy"
     
    1156911933)
    1157011934)
    11571 *378 (CptPort
     11935*389 (CptPort
    1157211936uid 9167,0
    1157311937ps "OnEdgeStrategy"
     
    1160611970)
    1160711971)
    11608 *379 (CptPort
     11972*390 (CptPort
    1160911973uid 9171,0
    1161011974ps "OnEdgeStrategy"
     
    1164312007)
    1164412008)
    11645 *380 (CptPort
     12009*391 (CptPort
    1164612010uid 9211,0
    1164712011ps "OnEdgeStrategy"
     
    1168012044)
    1168112045)
    11682 *381 (CptPort
     12046*392 (CptPort
    1168312047uid 9215,0
    1168412048ps "OnEdgeStrategy"
     
    1171512079)
    1171612080)
    11717 *382 (CptPort
     12081*393 (CptPort
    1171812082uid 9219,0
    1171912083ps "OnEdgeStrategy"
     
    1175012114)
    1175112115)
    11752 *383 (CptPort
     12116*394 (CptPort
    1175312117uid 10030,0
    1175412118ps "OnEdgeStrategy"
     
    1180212166stg "VerticalLayoutStrategy"
    1180312167textVec [
    11804 *384 (Text
     12168*395 (Text
    1180512169uid 9178,0
    1180612170va (VaSet
     
    1181212176tm "BdLibraryNameMgr"
    1181312177)
    11814 *385 (Text
     12178*396 (Text
    1181512179uid 9179,0
    1181612180va (VaSet
     
    1182212186tm "CptNameMgr"
    1182312187)
    11824 *386 (Text
     12188*397 (Text
    1182512189uid 9180,0
    1182612190va (VaSet
     
    1186912233archFileType "UNKNOWN"
    1187012234)
    11871 *387 (Net
     12235*398 (Net
    1187212236uid 9231,0
    1187312237decl (Decl
     
    1188512249font "Courier New,8,0"
    1188612250)
    11887 xt "-103000,85000,-44000,85800"
    11888 st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards
    11889 "
    11890 )
    11891 )
    11892 *388 (Net
     12251xt "-103000,89800,-44000,90600"
     12252st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards"
     12253)
     12254)
     12255*399 (Net
    1189312256uid 9239,0
    1189412257decl (Decl
     
    1190712270font "Courier New,8,0"
    1190812271)
    11909 xt "-103000,85800,-43000,86600"
    11910 st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once
    11911 "
    11912 )
    11913 )
    11914 *389 (Net
     12272xt "-103000,90600,-43000,91400"
     12273st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once"
     12274)
     12275)
     12276*400 (Net
    1191512277uid 9267,0
    1191612278decl (Decl
     
    1192612288)
    1192712289xt "-103000,31800,-84500,32600"
    11928 st "LOCKED_extraOUT        : std_logic
    11929 "
    11930 )
    11931 )
    11932 *390 (PortIoOut
     12290st "LOCKED_extraOUT        : std_logic"
     12291)
     12292)
     12293*401 (PortIoOut
    1193312294uid 9275,0
    1193412295shape (CompositeShape
     
    1197412335)
    1197512336)
    11976 *391 (Net
     12337*402 (Net
    1197712338uid 9281,0
    1197812339decl (Decl
     
    1198812349)
    1198912350xt "-103000,33400,-84500,34200"
    11990 st "PSDONE_extraOUT        : std_logic
    11991 "
    11992 )
    11993 )
    11994 *392 (PortIoOut
     12351st "PSDONE_extraOUT        : std_logic"
     12352)
     12353)
     12354*403 (PortIoOut
    1199512355uid 9289,0
    1199612356shape (CompositeShape
     
    1203612396)
    1203712397)
    12038 *393 (Net
     12398*404 (Net
    1203912399uid 9295,0
    1204012400decl (Decl
     
    1205012410)
    1205112411xt "-103000,35000,-84500,35800"
    12052 st "PSINCDEC_OUT           : std_logic
    12053 "
    12054 )
    12055 )
    12056 *394 (PortIoOut
     12412st "PSINCDEC_OUT           : std_logic"
     12413)
     12414)
     12415*405 (PortIoOut
    1205712416uid 9303,0
    1205812417shape (CompositeShape
     
    1209812457)
    1209912458)
    12100 *395 (Net
     12459*406 (Net
    1210112460uid 9309,0
    1210212461decl (Decl
     
    1211212471)
    1211312472xt "-103000,34200,-84500,35000"
    12114 st "PSEN_OUT               : std_logic
    12115 "
    12116 )
    12117 )
    12118 *396 (PortIoOut
     12473st "PSEN_OUT               : std_logic"
     12474)
     12475)
     12476*407 (PortIoOut
    1211912477uid 9317,0
    1212012478shape (CompositeShape
     
    1216012518)
    1216112519)
    12162 *397 (Net
     12520*408 (Net
    1216312521uid 9323,0
    1216412522decl (Decl
     
    1217412532)
    1217512533xt "-103000,32600,-84500,33400"
    12176 st "PSCLK_OUT              : std_logic
    12177 "
    12178 )
    12179 )
    12180 *398 (PortIoOut
     12534st "PSCLK_OUT              : std_logic"
     12535)
     12536)
     12537*409 (PortIoOut
    1218112538uid 9331,0
    1218212539shape (CompositeShape
     
    1222212579)
    1222312580)
    12224 *399 (Net
     12581*410 (Net
    1222512582uid 9351,0
    1222612583decl (Decl
     
    1223812595)
    1223912596xt "-103000,31000,-84500,31800"
    12240 st "DCM_locked             : std_logic
    12241 "
    12242 )
    12243 )
    12244 *400 (PortIoOut
     12597st "DCM_locked             : std_logic"
     12598)
     12599)
     12600*411 (PortIoOut
    1224512601uid 9359,0
    1224612602shape (CompositeShape
     
    1228612642)
    1228712643)
    12288 *401 (Net
     12644*412 (Net
    1228912645uid 9365,0
    1229012646decl (Decl
     
    1230312659font "Courier New,8,0"
    1230412660)
    12305 xt "-103000,45400,-57000,46200"
    12306 st "offset                 : std_logic_vector(7 downto 0)                 := (OTHERS => '0')
    12307 "
    12308 )
    12309 )
    12310 *402 (PortIoOut
     12661xt "-103000,46200,-57000,47000"
     12662st "offset                 : std_logic_vector(7 downto 0)                 := (OTHERS => '0')"
     12663)
     12664)
     12665*413 (PortIoOut
    1231112666uid 9374,0
    1231212667shape (CompositeShape
     
    1235212707)
    1235312708)
    12354 *403 (Net
     12709*414 (Net
    1235512710uid 9380,0
    1235612711decl (Decl
     
    1236912724font "Courier New,8,0"
    1237012725)
    12371 xt "-103000,48600,-63000,50200"
     12726xt "-103000,49400,-63000,51000"
    1237212727st "-- status:
    12373 shifting               : std_logic                                    := '0'
    12374 "
    12375 )
    12376 )
    12377 *404 (PortIoOut
     12728shifting               : std_logic                                    := '0'"
     12729)
     12730)
     12731*415 (PortIoOut
    1237812732uid 9389,0
    1237912733shape (CompositeShape
     
    1241912773)
    1242012774)
    12421 *405 (Net
     12775*416 (Net
    1242212776uid 9395,0
    1242312777decl (Decl
     
    1243512789font "Courier New,8,0"
    1243612790)
    12437 xt "-103000,46200,-63000,47000"
    12438 st "ready                  : std_logic                                    := '0'
    12439 "
    12440 )
    12441 )
    12442 *406 (PortIoOut
     12791xt "-103000,47000,-63000,47800"
     12792st "ready                  : std_logic                                    := '0'"
     12793)
     12794)
     12795*417 (PortIoOut
    1244312796uid 9404,0
    1244412797shape (CompositeShape
     
    1248412837)
    1248512838)
    12486 *407 (MWC
     12839*418 (MWC
    1248712840uid 9430,0
    1248812841optionalChildren [
    12489 *408 (CptPort
     12842*419 (CptPort
    1249012843uid 9410,0
    1249112844optionalChildren [
    12492 *409 (Line
     12845*420 (Line
    1249312846uid 9414,0
    1249412847layer 5
     
    1255312906)
    1255412907)
    12555 *410 (CptPort
     12908*421 (CptPort
    1255612909uid 9415,0
    1255712910optionalChildren [
    12558 *411 (Line
     12911*422 (Line
    1255912912uid 9419,0
    1256012913layer 5
     
    1261812971)
    1261912972)
    12620 *412 (CommentGraphic
     12973*423 (CommentGraphic
    1262112974uid 9420,0
    1262212975shape (PolyLine2D
     
    1263912992oxt "6000,6000,7000,7000"
    1264012993)
    12641 *413 (CommentGraphic
     12994*424 (CommentGraphic
    1264212995uid 9422,0
    1264312996shape (PolyLine2D
     
    1266013013oxt "6000,7000,7000,8000"
    1266113014)
    12662 *414 (CommentGraphic
     13015*425 (CommentGraphic
    1266313016uid 9424,0
    1266413017shape (PolyLine2D
     
    1268113034oxt "6988,7329,7988,7329"
    1268213035)
    12683 *415 (CommentGraphic
     13036*426 (CommentGraphic
    1268413037uid 9426,0
    1268513038shape (PolyLine2D
     
    1270013053oxt "8000,7000,9000,7000"
    1270113054)
    12702 *416 (CommentGraphic
     13055*427 (CommentGraphic
    1270313056uid 9428,0
    1270413057shape (PolyLine2D
     
    1274113094stg "VerticalLayoutStrategy"
    1274213095textVec [
    12743 *417 (Text
     13096*428 (Text
    1274413097uid 9433,0
    1274513098va (VaSet
     
    1275113104blo "-2650,-11100"
    1275213105)
    12753 *418 (Text
     13106*429 (Text
    1275413107uid 9434,0
    1275513108va (VaSet
     
    1276013113blo "-2650,-10100"
    1276113114)
    12762 *419 (Text
     13115*430 (Text
    1276313116uid 9435,0
    1276413117va (VaSet
     
    1280513158)
    1280613159)
    12807 *420 (MWC
     13160*431 (MWC
    1280813161uid 9472,0
    1280913162optionalChildren [
    12810 *421 (CptPort
     13163*432 (CptPort
    1281113164uid 9481,0
    1281213165optionalChildren [
    12813 *422 (Line
     13166*433 (Line
    1281413167uid 9486,0
    1281513168layer 5
     
    1287213225)
    1287313226)
    12874 *423 (CptPort
     13227*434 (CptPort
    1287513228uid 9487,0
    1287613229optionalChildren [
    12877 *424 (Line
     13230*435 (Line
    1287813231uid 9492,0
    1287913232layer 5
     
    1293613289)
    1293713290)
    12938 *425 (CommentGraphic
     13291*436 (CommentGraphic
    1293913292uid 9493,0
    1294013293shape (PolyLine2D
     
    1295713310oxt "6000,6000,7000,7000"
    1295813311)
    12959 *426 (CommentGraphic
     13312*437 (CommentGraphic
    1296013313uid 9495,0
    1296113314shape (PolyLine2D
     
    1297813331oxt "6000,7000,7000,8000"
    1297913332)
    12980 *427 (CommentGraphic
     13333*438 (CommentGraphic
    1298113334uid 9497,0
    1298213335shape (PolyLine2D
     
    1299913352oxt "6988,7329,7988,7329"
    1300013353)
    13001 *428 (CommentGraphic
     13354*439 (CommentGraphic
    1300213355uid 9499,0
    1300313356shape (PolyLine2D
     
    1301813371oxt "8000,7000,9000,7000"
    1301913372)
    13020 *429 (CommentGraphic
     13373*440 (CommentGraphic
    1302113374uid 9501,0
    1302213375shape (PolyLine2D
     
    1305913412stg "VerticalLayoutStrategy"
    1306013413textVec [
    13061 *430 (Text
     13414*441 (Text
    1306213415uid 9475,0
    1306313416va (VaSet
     
    1306913422blo "-2650,-7100"
    1307013423)
    13071 *431 (Text
     13424*442 (Text
    1307213425uid 9476,0
    1307313426va (VaSet
     
    1307813431blo "-2650,-6100"
    1307913432)
    13080 *432 (Text
     13433*443 (Text
    1308113434uid 9477,0
    1308213435va (VaSet
     
    1312313476)
    1312413477)
    13125 *433 (PortIoOut
     13478*444 (PortIoOut
    1312613479uid 9523,0
    1312713480shape (CompositeShape
     
    1316713520)
    1316813521)
    13169 *434 (PortIoOut
     13522*445 (PortIoOut
    1317013523uid 9545,0
    1317113524shape (CompositeShape
     
    1321113564)
    1321213565)
    13213 *435 (Net
     13566*446 (Net
    1321413567uid 9551,0
    1321513568decl (Decl
     
    1322513578)
    1322613579xt "-103000,35800,-84500,36600"
    13227 st "PS_DIR_IN              : std_logic
    13228 "
    13229 )
    13230 )
    13231 *436 (Net
     13580st "PS_DIR_IN              : std_logic"
     13581)
     13582)
     13583*447 (Net
    1323213584uid 9553,0
    1323313585decl (Decl
     
    1324313595)
    1324413596xt "-103000,36600,-84500,37400"
    13245 st "PS_DO_IN               : std_logic
    13246 "
    13247 )
    13248 )
    13249 *437 (MWC
     13597st "PS_DO_IN               : std_logic"
     13598)
     13599)
     13600*448 (MWC
    1325013601uid 9662,0
    1325113602optionalChildren [
    13252 *438 (CptPort
     13603*449 (CptPort
    1325313604uid 9642,0
    1325413605optionalChildren [
    13255 *439 (Line
     13606*450 (Line
    1325613607uid 9646,0
    1325713608layer 5
     
    1331213663)
    1331313664)
    13314 *440 (CptPort
     13665*451 (CptPort
    1331513666uid 9647,0
    1331613667optionalChildren [
    13317 *441 (Line
     13668*452 (Line
    1331813669uid 9651,0
    1331913670layer 5
     
    1337713728)
    1337813729)
    13379 *442 (CommentGraphic
     13730*453 (CommentGraphic
    1338013731uid 9652,0
    1338113732shape (PolyLine2D
     
    1339813749oxt "6000,6000,7000,7000"
    1339913750)
    13400 *443 (CommentGraphic
     13751*454 (CommentGraphic
    1340113752uid 9654,0
    1340213753shape (PolyLine2D
     
    1341913770oxt "6000,7000,7000,8000"
    1342013771)
    13421 *444 (CommentGraphic
     13772*455 (CommentGraphic
    1342213773uid 9656,0
    1342313774shape (PolyLine2D
     
    1344013791oxt "6988,7329,7988,7329"
    1344113792)
    13442 *445 (CommentGraphic
     13793*456 (CommentGraphic
    1344313794uid 9658,0
    1344413795shape (PolyLine2D
     
    1345913810oxt "8000,7000,9000,7000"
    1346013811)
    13461 *446 (CommentGraphic
     13812*457 (CommentGraphic
    1346213813uid 9660,0
    1346313814shape (PolyLine2D
     
    1350013851stg "VerticalLayoutStrategy"
    1350113852textVec [
    13502 *447 (Text
     13853*458 (Text
    1350313854uid 9665,0
    1350413855va (VaSet
     
    1351013861blo "28350,900"
    1351113862)
    13512 *448 (Text
     13863*459 (Text
    1351313864uid 9666,0
    1351413865va (VaSet
     
    1351913870blo "28350,1900"
    1352013871)
    13521 *449 (Text
     13872*460 (Text
    1352213873uid 9667,0
    1352313874va (VaSet
     
    1356413915)
    1356513916)
    13566 *450 (MWC
     13917*461 (MWC
    1356713918uid 9679,0
    1356813919optionalChildren [
    13569 *451 (CptPort
     13920*462 (CptPort
    1357013921uid 9688,0
    1357113922optionalChildren [
    13572 *452 (Line
     13923*463 (Line
    1357313924uid 9693,0
    1357413925layer 5
     
    1362813979)
    1362913980)
    13630 *453 (CptPort
     13981*464 (CptPort
    1363113982uid 9694,0
    1363213983optionalChildren [
    13633 *454 (Line
     13984*465 (Line
    1363413985uid 9699,0
    1363513986layer 5
     
    1369214043)
    1369314044)
    13694 *455 (CommentGraphic
     14045*466 (CommentGraphic
    1369514046uid 9700,0
    1369614047shape (PolyLine2D
     
    1371314064oxt "6000,6000,7000,7000"
    1371414065)
    13715 *456 (CommentGraphic
     14066*467 (CommentGraphic
    1371614067uid 9702,0
    1371714068shape (PolyLine2D
     
    1373414085oxt "6000,7000,7000,8000"
    1373514086)
    13736 *457 (CommentGraphic
     14087*468 (CommentGraphic
    1373714088uid 9704,0
    1373814089shape (PolyLine2D
     
    1375514106oxt "6988,7329,7988,7329"
    1375614107)
    13757 *458 (CommentGraphic
     14108*469 (CommentGraphic
    1375814109uid 9706,0
    1375914110shape (PolyLine2D
     
    1377414125oxt "8000,7000,9000,7000"
    1377514126)
    13776 *459 (CommentGraphic
     14127*470 (CommentGraphic
    1377714128uid 9708,0
    1377814129shape (PolyLine2D
     
    1381514166stg "VerticalLayoutStrategy"
    1381614167textVec [
    13817 *460 (Text
     14168*471 (Text
    1381814169uid 9682,0
    1381914170va (VaSet
     
    1382514176blo "28350,5900"
    1382614177)
    13827 *461 (Text
     14178*472 (Text
    1382814179uid 9683,0
    1382914180va (VaSet
     
    1383414185blo "28350,6900"
    1383514186)
    13836 *462 (Text
     14187*473 (Text
    1383714188uid 9684,0
    1383814189va (VaSet
     
    1387914230)
    1388014231)
    13881 *463 (MWC
     14232*474 (MWC
    1388214233uid 9710,0
    1388314234optionalChildren [
    13884 *464 (CptPort
     14235*475 (CptPort
    1388514236uid 9719,0
    1388614237optionalChildren [
    13887 *465 (Line
     14238*476 (Line
    1388814239uid 9724,0
    1388914240layer 5
     
    1394314294)
    1394414295)
    13945 *466 (CptPort
     14296*477 (CptPort
    1394614297uid 9725,0
    1394714298optionalChildren [
    13948 *467 (Line
     14299*478 (Line
    1394914300uid 9730,0
    1395014301layer 5
     
    1400714358)
    1400814359)
    14009 *468 (CommentGraphic
     14360*479 (CommentGraphic
    1401014361uid 9731,0
    1401114362shape (PolyLine2D
     
    1402814379oxt "6000,6000,7000,7000"
    1402914380)
    14030 *469 (CommentGraphic
     14381*480 (CommentGraphic
    1403114382uid 9733,0
    1403214383shape (PolyLine2D
     
    1404914400oxt "6000,7000,7000,8000"
    1405014401)
    14051 *470 (CommentGraphic
     14402*481 (CommentGraphic
    1405214403uid 9735,0
    1405314404shape (PolyLine2D
     
    1407014421oxt "6988,7329,7988,7329"
    1407114422)
    14072 *471 (CommentGraphic
     14423*482 (CommentGraphic
    1407314424uid 9737,0
    1407414425shape (PolyLine2D
     
    1408914440oxt "8000,7000,9000,7000"
    1409014441)
    14091 *472 (CommentGraphic
     14442*483 (CommentGraphic
    1409214443uid 9739,0
    1409314444shape (PolyLine2D
     
    1413014481stg "VerticalLayoutStrategy"
    1413114482textVec [
    14132 *473 (Text
     14483*484 (Text
    1413314484uid 9713,0
    1413414485va (VaSet
     
    1414014491blo "28350,9900"
    1414114492)
    14142 *474 (Text
     14493*485 (Text
    1414314494uid 9714,0
    1414414495va (VaSet
     
    1414914500blo "28350,10900"
    1415014501)
    14151 *475 (Text
     14502*486 (Text
    1415214503uid 9715,0
    1415314504va (VaSet
     
    1419414545)
    1419514546)
    14196 *476 (PortIoOut
     14547*487 (PortIoOut
    1419714548uid 9761,0
    1419814549shape (CompositeShape
     
    1423814589)
    1423914590)
    14240 *477 (Net
     14591*488 (Net
    1424114592uid 9767,0
    1424214593decl (Decl
     
    1425214603)
    1425314604xt "-103000,28600,-84500,29400"
    14254 st "CLK50_OUT              : std_logic
    14255 "
    14256 )
    14257 )
    14258 *478 (PortIoOut
     14605st "CLK50_OUT              : std_logic"
     14606)
     14607)
     14608*489 (PortIoOut
    1425914609uid 9777,0
    1426014610shape (CompositeShape
     
    1430014650)
    1430114651)
    14302 *479 (Net
     14652*490 (Net
    1430314653uid 9783,0
    1430414654decl (Decl
     
    1431414664)
    1431514665xt "-103000,27000,-84500,27800"
    14316 st "CLK25_OUT              : std_logic
    14317 "
    14318 )
    14319 )
    14320 *480 (PortIoOut
     14666st "CLK25_OUT              : std_logic"
     14667)
     14668)
     14669*491 (PortIoOut
    1432114670uid 9793,0
    1432214671shape (CompositeShape
     
    1436214711)
    1436314712)
    14364 *481 (Net
     14713*492 (Net
    1436514714uid 9799,0
    1436614715decl (Decl
     
    1437614725)
    1437714726xt "-103000,27800,-84500,28600"
    14378 st "CLK25_PSOUT            : std_logic
    14379 "
    14380 )
    14381 )
    14382 *482 (Net
     14727st "CLK25_PSOUT            : std_logic"
     14728)
     14729)
     14730*493 (Net
    1438314731uid 9941,0
    1438414732decl (Decl
     
    1439614744font "Courier New,8,0"
    1439714745)
    14398 xt "-103000,86600,-35500,87400"
    14399 st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift
    14400 "
    14401 )
    14402 )
    14403 *483 (Net
     14746xt "-103000,91400,-35500,92200"
     14747st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
     14748)
     14749)
     14750*494 (Net
    1440414751uid 9949,0
    1440514752decl (Decl
     
    1441514762font "Courier New,8,0"
    1441614763)
    14417 xt "-103000,97000,-59500,97800"
    14418 st "SIGNAL srclk_enable           : std_logic                                    := '0'
    14419 "
    14420 )
    14421 )
    14422 *484 (MWC
     14764xt "-103000,103400,-59500,104200"
     14765st "SIGNAL srclk_enable           : std_logic                                    := '0'"
     14766)
     14767)
     14768*495 (MWC
    1442314769uid 9957,0
    1442414770optionalChildren [
    14425 *485 (CptPort
     14771*496 (CptPort
    1442614772uid 9966,0
    1442714773optionalChildren [
    14428 *486 (Line
     14774*497 (Line
    1442914775uid 9970,0
    1443014776layer 5
     
    1443914785]
    1444014786)
    14441 *487 (Property
     14787*498 (Property
    1444214788uid 9971,0
    1444314789pclass "_MW_GEOM_"
     
    1448314829)
    1448414830)
    14485 *488 (CptPort
     14831*499 (CptPort
    1448614832uid 9972,0
    1448714833optionalChildren [
    14488 *489 (Line
     14834*500 (Line
    1448914835uid 9976,0
    1449014836layer 5
     
    1453714883)
    1453814884)
    14539 *490 (CptPort
     14885*501 (CptPort
    1454014886uid 9977,0
    1454114887optionalChildren [
    14542 *491 (Line
     14888*502 (Line
    1454314889uid 9981,0
    1454414890layer 5
     
    1459114937)
    1459214938)
    14593 *492 (CommentGraphic
     14939*503 (CommentGraphic
    1459414940uid 9982,0
    1459514941optionalChildren [
    14596 *493 (Property
     14942*504 (Property
    1459714943uid 9984,0
    1459814944pclass "_MW_GEOM_"
     
    1461814964oxt "11000,10000,11000,10000"
    1461914965)
    14620 *494 (CommentGraphic
     14966*505 (CommentGraphic
    1462114967uid 9985,0
    1462214968optionalChildren [
    14623 *495 (Property
     14969*506 (Property
    1462414970uid 9987,0
    1462514971pclass "_MW_GEOM_"
     
    1464514991oxt "11000,6000,11000,6000"
    1464614992)
    14647 *496 (Grouping
     14993*507 (Grouping
    1464814994uid 9988,0
    1464914995optionalChildren [
    14650 *497 (CommentGraphic
     14996*508 (CommentGraphic
    1465114997uid 9990,0
    1465214998shape (PolyLine2D
     
    1466915015oxt "9000,6000,11000,10000"
    1467015016)
    14671 *498 (CommentGraphic
     15017*509 (CommentGraphic
    1467215018uid 9992,0
    1467315019shape (Arc2D
     
    1472215068stg "VerticalLayoutStrategy"
    1472315069textVec [
    14724 *499 (Text
     15070*510 (Text
    1472515071uid 9960,0
    1472615072va (VaSet
     
    1473215078blo "-29500,53300"
    1473315079)
    14734 *500 (Text
     15080*511 (Text
    1473515081uid 9961,0
    1473615082va (VaSet
     
    1474115087blo "-29500,54300"
    1474215088)
    14743 *501 (Text
     15089*512 (Text
    1474415090uid 9962,0
    1474515091va (VaSet
     
    1478615132)
    1478715133)
    14788 *502 (Net
     15134*513 (Net
    1478915135uid 10008,0
    1479015136decl (Decl
     
    1480015146font "Courier New,8,0"
    1480115147)
    14802 xt "-103000,58600,-59500,59400"
    14803 st "SIGNAL SRCLK1                 : std_logic                                    := '0'
    14804 "
    14805 )
    14806 )
    14807 *503 (Wire
     15148xt "-103000,59400,-59500,60200"
     15149st "SIGNAL SRCLK1                 : std_logic                                    := '0'"
     15150)
     15151)
     15152*514 (Net
     15153uid 10192,0
     15154decl (Decl
     15155n "config_rw_ack"
     15156t "std_logic"
     15157prec "-- --"
     15158preAdd 0
     15159posAdd 0
     15160o 107
     15161suid 227,0
     15162i "'0'"
     15163)
     15164declText (MLText
     15165uid 10193,0
     15166va (VaSet
     15167font "Courier New,8,0"
     15168)
     15169xt "-103000,69000,-59500,70600"
     15170st "-- --
     15171SIGNAL config_rw_ack          : std_logic                                    := '0'"
     15172)
     15173)
     15174*515 (Net
     15175uid 10200,0
     15176decl (Decl
     15177n "config_rw_ready"
     15178t "std_logic"
     15179prec "-- --"
     15180preAdd 0
     15181posAdd 0
     15182o 108
     15183suid 228,0
     15184i "'0'"
     15185)
     15186declText (MLText
     15187uid 10201,0
     15188va (VaSet
     15189font "Courier New,8,0"
     15190)
     15191xt "-103000,70600,-59500,72200"
     15192st "-- --
     15193SIGNAL config_rw_ready        : std_logic                                    := '0'"
     15194)
     15195)
     15196*516 (Net
     15197uid 10264,0
     15198decl (Decl
     15199n "s_trigger"
     15200t "std_logic"
     15201o 109
     15202suid 230,0
     15203)
     15204declText (MLText
     15205uid 10265,0
     15206va (VaSet
     15207font "Courier New,8,0"
     15208)
     15209xt "-103000,99400,-80500,100200"
     15210st "SIGNAL s_trigger              : std_logic"
     15211)
     15212)
     15213*517 (Net
     15214uid 10296,0
     15215decl (Decl
     15216n "start_srin_write_8b"
     15217t "std_logic"
     15218o 110
     15219suid 231,0
     15220)
     15221declText (MLText
     15222uid 10297,0
     15223va (VaSet
     15224font "Courier New,8,0"
     15225)
     15226xt "-103000,105800,-80500,106600"
     15227st "SIGNAL start_srin_write_8b    : std_logic"
     15228)
     15229)
     15230*518 (Net
     15231uid 10302,0
     15232decl (Decl
     15233n "srin_write_ack"
     15234t "std_logic"
     15235o 111
     15236suid 232,0
     15237i "'0'"
     15238)
     15239declText (MLText
     15240uid 10303,0
     15241va (VaSet
     15242font "Courier New,8,0"
     15243)
     15244xt "-103000,104200,-59500,105000"
     15245st "SIGNAL srin_write_ack         : std_logic                                    := '0'"
     15246)
     15247)
     15248*519 (Net
     15249uid 10308,0
     15250decl (Decl
     15251n "srin_write_ready"
     15252t "std_logic"
     15253o 112
     15254suid 233,0
     15255i "'0'"
     15256)
     15257declText (MLText
     15258uid 10309,0
     15259va (VaSet
     15260font "Courier New,8,0"
     15261)
     15262xt "-103000,105000,-59500,105800"
     15263st "SIGNAL srin_write_ready       : std_logic                                    := '0'"
     15264)
     15265)
     15266*520 (Net
     15267uid 10314,0
     15268decl (Decl
     15269n "drs_srin_data"
     15270t "std_logic_vector"
     15271b "(7 downto 0)"
     15272o 113
     15273suid 234,0
     15274i "(others => '0')"
     15275)
     15276declText (MLText
     15277uid 10315,0
     15278va (VaSet
     15279font "Courier New,8,0"
     15280)
     15281xt "-103000,85800,-53500,86600"
     15282st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')"
     15283)
     15284)
     15285*521 (Net
     15286uid 10320,0
     15287decl (Decl
     15288n "SRIN_out"
     15289t "std_logic"
     15290o 114
     15291suid 235,0
     15292i "'0'"
     15293)
     15294declText (MLText
     15295uid 10321,0
     15296va (VaSet
     15297font "Courier New,8,0"
     15298)
     15299xt "-103000,39000,-63000,39800"
     15300st "SRIN_out               : std_logic                                    := '0'"
     15301)
     15302)
     15303*522 (PortIoOut
     15304uid 10328,0
     15305shape (CompositeShape
     15306uid 10329,0
     15307va (VaSet
     15308vasetType 1
     15309fg "0,0,32768"
     15310)
     15311optionalChildren [
     15312(Pentagon
     15313uid 10330,0
     15314sl 0
     15315ro 90
     15316xt "-36000,56625,-34500,57375"
     15317)
     15318(Line
     15319uid 10331,0
     15320sl 0
     15321ro 90
     15322xt "-34500,57000,-34000,57000"
     15323pts [
     15324"-34000,57000"
     15325"-34500,57000"
     15326]
     15327)
     15328]
     15329)
     15330stc 0
     15331sf 1
     15332tg (WTG
     15333uid 10332,0
     15334ps "PortIoTextPlaceStrategy"
     15335stg "STSignalDisplayStrategy"
     15336f (Text
     15337uid 10333,0
     15338va (VaSet
     15339)
     15340xt "-40700,56500,-37000,57500"
     15341st "SRIN_out"
     15342ju 2
     15343blo "-37000,57300"
     15344tm "WireNameMgr"
     15345)
     15346)
     15347)
     15348*523 (MWC
     15349uid 10380,0
     15350optionalChildren [
     15351*524 (CptPort
     15352uid 10344,0
     15353optionalChildren [
     15354*525 (Line
     15355uid 10348,0
     15356layer 5
     15357sl 0
     15358va (VaSet
     15359vasetType 3
     15360)
     15361xt "-30000,70000,-28409,70000"
     15362pts [
     15363"-30000,70000"
     15364"-28409,70000"
     15365]
     15366)
     15367]
     15368ps "OnEdgeStrategy"
     15369shape (Triangle
     15370uid 10345,0
     15371ro 90
     15372va (VaSet
     15373vasetType 1
     15374isHidden 1
     15375fg "0,65535,65535"
     15376)
     15377xt "-30750,69625,-30000,70375"
     15378)
     15379tg (CPTG
     15380uid 10346,0
     15381ps "CptPortTextPlaceStrategy"
     15382stg "VerticalLayoutStrategy"
     15383f (Text
     15384uid 10347,0
     15385sl 0
     15386va (VaSet
     15387isHidden 1
     15388font "arial,8,0"
     15389)
     15390xt "-33000,69700,-31200,70700"
     15391st "din1"
     15392blo "-33000,70500"
     15393)
     15394)
     15395thePort (LogicalPort
     15396decl (Decl
     15397n "din1"
     15398t "std_logic"
     15399preAdd 0
     15400posAdd 0
     15401o 10
     15402suid 1,0
     15403)
     15404)
     15405)
     15406*526 (CptPort
     15407uid 10349,0
     15408optionalChildren [
     15409*527 (Property
     15410uid 10353,0
     15411pclass "_MW_GEOM_"
     15412pname "fixed"
     15413ptn "String"
     15414)
     15415*528 (Line
     15416uid 10354,0
     15417layer 5
     15418sl 0
     15419va (VaSet
     15420vasetType 3
     15421)
     15422xt "-24999,69000,-24000,69000"
     15423pts [
     15424"-24000,69000"
     15425"-24999,69000"
     15426]
     15427)
     15428]
     15429ps "OnEdgeStrategy"
     15430shape (Triangle
     15431uid 10350,0
     15432ro 90
     15433va (VaSet
     15434vasetType 1
     15435isHidden 1
     15436fg "0,65535,65535"
     15437)
     15438xt "-24000,68625,-23250,69375"
     15439)
     15440tg (CPTG
     15441uid 10351,0
     15442ps "CptPortTextPlaceStrategy"
     15443stg "RightVerticalLayoutStrategy"
     15444f (Text
     15445uid 10352,0
     15446sl 0
     15447va (VaSet
     15448isHidden 1
     15449font "arial,8,0"
     15450)
     15451xt "-22750,68532,-20950,69532"
     15452st "dout"
     15453ju 2
     15454blo "-20950,69332"
     15455)
     15456)
     15457thePort (LogicalPort
     15458m 1
     15459decl (Decl
     15460n "dout"
     15461t "std_logic"
     15462preAdd 0
     15463posAdd 0
     15464o 115
     15465suid 2,0
     15466)
     15467)
     15468)
     15469*529 (CptPort
     15470uid 10355,0
     15471optionalChildren [
     15472*530 (Line
     15473uid 10359,0
     15474layer 5
     15475sl 0
     15476va (VaSet
     15477vasetType 3
     15478)
     15479xt "-30000,68000,-28409,68000"
     15480pts [
     15481"-30000,68000"
     15482"-28409,68000"
     15483]
     15484)
     15485]
     15486ps "OnEdgeStrategy"
     15487shape (Triangle
     15488uid 10356,0
     15489ro 90
     15490va (VaSet
     15491vasetType 1
     15492isHidden 1
     15493fg "0,65535,65535"
     15494)
     15495xt "-30750,67625,-30000,68375"
     15496)
     15497tg (CPTG
     15498uid 10357,0
     15499ps "CptPortTextPlaceStrategy"
     15500stg "VerticalLayoutStrategy"
     15501f (Text
     15502uid 10358,0
     15503sl 0
     15504va (VaSet
     15505isHidden 1
     15506font "arial,8,0"
     15507)
     15508xt "-33115,67294,-31315,68294"
     15509st "din0"
     15510blo "-33115,68094"
     15511)
     15512)
     15513thePort (LogicalPort
     15514decl (Decl
     15515n "din0"
     15516t "std_logic"
     15517o 109
     15518suid 3,0
     15519)
     15520)
     15521)
     15522*531 (CommentGraphic
     15523uid 10360,0
     15524shape (Arc2D
     15525pts [
     15526"-29000,67004"
     15527"-26737,67521"
     15528"-25000,69000"
     15529]
     15530uid 10361,0
     15531layer 8
     15532sl 0
     15533va (VaSet
     15534vasetType 1
     15535fg "0,65535,65535"
     15536bg "0,65535,65535"
     15537lineColor "26368,26368,26368"
     15538)
     15539xt "-29000,67003,-25000,69000"
     15540)
     15541oxt "7000,6003,11000,8000"
     15542)
     15543*532 (CommentGraphic
     15544uid 10362,0
     15545shape (Arc2D
     15546pts [
     15547"-25000,69005"
     15548"-26551,70394"
     15549"-29004,70998"
     15550]
     15551uid 10363,0
     15552layer 0
     15553sl 0
     15554va (VaSet
     15555vasetType 1
     15556fg "0,65535,65535"
     15557bg "0,65535,65535"
     15558lineColor "26368,26368,26368"
     15559)
     15560xt "-29004,69005,-25000,70999"
     15561)
     15562oxt "6996,8005,11000,10000"
     15563)
     15564*533 (Grouping
     15565uid 10364,0
     15566optionalChildren [
     15567*534 (CommentGraphic
     15568uid 10366,0
     15569optionalChildren [
     15570*535 (Property
     15571uid 10368,0
     15572pclass "_MW_GEOM_"
     15573pname "arc"
     15574ptn "String"
     15575)
     15576]
     15577shape (CustomPolygon
     15578pts [
     15579"-29000,70998"
     15580"-29000,67000"
     15581"-27817,67211"
     15582"-26048,68156"
     15583"-25000,69000"
     15584"-26952,70132"
     15585"-29000,70998"
     15586]
     15587uid 10367,0
     15588layer 0
     15589sl 0
     15590va (VaSet
     15591vasetType 1
     15592transparent 1
     15593fg "0,65535,65535"
     15594lineColor "32768,0,32768"
     15595fillStyle 1
     15596)
     15597xt "-29000,67000,-25000,70998"
     15598)
     15599oxt "7000,6000,11000,9998"
     15600)
     15601*536 (CommentGraphic
     15602uid 10369,0
     15603optionalChildren [
     15604*537 (Property
     15605uid 10371,0
     15606pclass "_MW_GEOM_"
     15607pname "arc"
     15608ptn "String"
     15609)
     15610]
     15611shape (Arc2D
     15612pts [
     15613"-29000,67000"
     15614"-28237,69001"
     15615"-29000,71000"
     15616]
     15617uid 10370,0
     15618layer 0
     15619sl 0
     15620va (VaSet
     15621vasetType 1
     15622transparent 1
     15623fg "0,65535,65535"
     15624bg "0,65535,65535"
     15625lineColor "26368,26368,26368"
     15626fillStyle 1
     15627)
     15628xt "-29000,67000,-28236,71000"
     15629)
     15630oxt "7000,6000,7762,10000"
     15631)
     15632]
     15633shape (GroupingShape
     15634uid 10365,0
     15635sl 0
     15636va (VaSet
     15637vasetType 1
     15638fg "65535,65535,65535"
     15639lineStyle 2
     15640lineWidth 2
     15641)
     15642xt "-29000,67000,-25000,71000"
     15643)
     15644oxt "7000,6000,11000,10000"
     15645)
     15646*538 (CommentGraphic
     15647uid 10372,0
     15648shape (PolyLine2D
     15649pts [
     15650"-25000,69000"
     15651"-25000,69000"
     15652]
     15653uid 10373,0
     15654layer 0
     15655sl 0
     15656va (VaSet
     15657vasetType 1
     15658transparent 1
     15659fg "49152,49152,49152"
     15660)
     15661xt "-25000,69000,-25000,69000"
     15662)
     15663oxt "11000,8000,11000,8000"
     15664)
     15665*539 (CommentGraphic
     15666uid 10374,0
     15667optionalChildren [
     15668*540 (Property
     15669uid 10376,0
     15670pclass "_MW_GEOM_"
     15671pname "expand"
     15672ptn "String"
     15673)
     15674]
     15675shape (PolyLine2D
     15676pts [
     15677"-29000,67000"
     15678"-29000,67000"
     15679]
     15680uid 10375,0
     15681layer 0
     15682sl 0
     15683va (VaSet
     15684vasetType 1
     15685transparent 1
     15686fg "49152,49152,49152"
     15687)
     15688xt "-29000,67000,-29000,67000"
     15689)
     15690oxt "7000,6000,7000,6000"
     15691)
     15692*541 (CommentGraphic
     15693uid 10377,0
     15694optionalChildren [
     15695*542 (Property
     15696uid 10379,0
     15697pclass "_MW_GEOM_"
     15698pname "expand"
     15699ptn "String"
     15700)
     15701]
     15702shape (PolyLine2D
     15703pts [
     15704"-29000,71000"
     15705"-29000,71000"
     15706]
     15707uid 10378,0
     15708layer 0
     15709sl 0
     15710va (VaSet
     15711vasetType 1
     15712transparent 1
     15713fg "49152,49152,49152"
     15714)
     15715xt "-29000,71000,-29000,71000"
     15716)
     15717oxt "7000,10000,7000,10000"
     15718)
     15719]
     15720shape (Rectangle
     15721uid 10381,0
     15722va (VaSet
     15723vasetType 1
     15724transparent 1
     15725fg "65535,65535,65535"
     15726lineWidth -1
     15727)
     15728xt "-30000,67000,-24000,71000"
     15729fos 1
     15730)
     15731showPorts 0
     15732oxt "6000,6000,12000,10000"
     15733ttg (MlTextGroup
     15734uid 10382,0
     15735ps "CenterOffsetStrategy"
     15736stg "VerticalLayoutStrategy"
     15737textVec [
     15738*543 (Text
     15739uid 10383,0
     15740va (VaSet
     15741isHidden 1
     15742font "arial,8,0"
     15743)
     15744xt "-28500,69500,-23700,70500"
     15745st "moduleware"
     15746blo "-28500,70300"
     15747)
     15748*544 (Text
     15749uid 10384,0
     15750va (VaSet
     15751font "arial,8,0"
     15752)
     15753xt "-28500,70500,-27400,71500"
     15754st "or"
     15755blo "-28500,71300"
     15756)
     15757*545 (Text
     15758uid 10385,0
     15759va (VaSet
     15760font "arial,8,0"
     15761)
     15762xt "-28500,71500,-26700,72500"
     15763st "U_9"
     15764blo "-28500,72300"
     15765tm "InstanceNameMgr"
     15766)
     15767]
     15768)
     15769ga (GenericAssociation
     15770uid 10386,0
     15771ps "EdgeToEdgeStrategy"
     15772matrix (Matrix
     15773uid 10387,0
     15774text (MLText
     15775uid 10388,0
     15776va (VaSet
     15777font "arial,8,0"
     15778)
     15779xt "-45000,58000,-45000,58000"
     15780)
     15781header ""
     15782)
     15783elements [
     15784]
     15785)
     15786sed 1
     15787awe 1
     15788portVis (PortSigDisplay
     15789sN 0
     15790sTC 0
     15791selT 0
     15792)
     15793prms (Property
     15794pclass "params"
     15795pname "params"
     15796ptn "String"
     15797)
     15798de 2
     15799visOptions (mwParamsVisibilityOptions
     15800)
     15801)
     15802*546 (Net
     15803uid 10449,0
     15804decl (Decl
     15805n "trigger_out"
     15806t "std_logic"
     15807preAdd 0
     15808posAdd 0
     15809o 115
     15810suid 240,0
     15811)
     15812declText (MLText
     15813uid 10450,0
     15814va (VaSet
     15815font "Courier New,8,0"
     15816)
     15817xt "-103000,107400,-80500,108200"
     15818st "SIGNAL trigger_out            : std_logic"
     15819)
     15820)
     15821*547 (Net
     15822uid 10465,0
     15823lang 2
     15824decl (Decl
     15825n "ram_write_ready_ack"
     15826t "std_logic"
     15827prec "-- --"
     15828preAdd 0
     15829posAdd 0
     15830o 115
     15831suid 242,0
     15832i "'0'"
     15833)
     15834declText (MLText
     15835uid 10466,0
     15836va (VaSet
     15837font "Courier New,8,0"
     15838)
     15839xt "-103000,96200,-59500,97800"
     15840st "-- --
     15841SIGNAL ram_write_ready_ack    : std_logic                                    := '0'"
     15842)
     15843)
     15844*548 (Wire
    1480815845uid 322,0
    1480915846shape (OrthoPolyLine
     
    1482115858)
    1482215859start &26
    14823 end &313
     15860end &324
    1482415861sat 32
    1482515862eat 32
     
    1484415881on &2
    1484515882)
    14846 *504 (Wire
     15883*549 (Wire
    1484715884uid 328,0
    1484815885shape (OrthoPolyLine
     
    1486015897)
    1486115898start &25
    14862 end &312
     15899end &323
    1486315900sat 32
    1486415901eat 32
     
    1488315920on &3
    1488415921)
    14885 *505 (Wire
     15922*550 (Wire
    1488615923uid 334,0
    1488715924shape (OrthoPolyLine
     
    1489915936)
    1490015937start &24
    14901 end &311
     15938end &322
    1490215939sat 32
    1490315940eat 32
     
    1492215959on &4
    1492315960)
    14924 *506 (Wire
     15961*551 (Wire
    1492515962uid 364,0
    1492615963shape (OrthoPolyLine
     
    1493015967lineWidth 2
    1493115968)
    14932 xt "91750,49000,126250,54000"
    14933 pts [
    14934 "126250,54000"
    14935 "113000,54000"
    14936 "113000,49000"
     15969xt "91750,49000,127250,54000"
     15970pts [
     15971"127250,54000"
     15972"123000,54000"
     15973"123000,49000"
    1493715974"91750,49000"
    1493815975]
    1493915976)
    14940 start &80
    14941 end &315
     15977start &84
     15978end &326
    1494215979sat 32
    1494315980eat 32
     
    1496215999on &5
    1496316000)
    14964 *507 (Wire
     16001*552 (Wire
    1496516002uid 370,0
    1496616003shape (OrthoPolyLine
     
    1497016007lineWidth 2
    1497116008)
    14972 xt "91750,50000,126250,55000"
    14973 pts [
    14974 "126250,55000"
    14975 "112000,55000"
    14976 "112000,50000"
     16009xt "91750,50000,127250,55000"
     16010pts [
     16011"127250,55000"
     16012"124000,55000"
     16013"124000,50000"
    1497716014"91750,50000"
    1497816015]
    1497916016)
    14980 start &79
    14981 end &316
     16017start &83
     16018end &327
    1498216019sat 32
    1498316020eat 32
     
    1500216039on &6
    1500316040)
    15004 *508 (Wire
     16041*553 (Wire
    1500516042uid 376,0
    1500616043shape (OrthoPolyLine
     
    1500916046vasetType 3
    1501016047)
    15011 xt "148750,52000,153000,52000"
    15012 pts [
    15013 "148750,52000"
    15014 "153000,52000"
    15015 ]
    15016 )
    15017 start &70
     16048xt "149750,52000,154000,52000"
     16049pts [
     16050"149750,52000"
     16051"154000,52000"
     16052]
     16053)
     16054start &74
    1501816055end &14
    1501916056sat 32
     
    1503216069isHidden 1
    1503316070)
    15034 xt "150000,51000,153600,52000"
     16071xt "151000,51000,154600,52000"
    1503516072st "wiz_reset"
    15036 blo "150000,51800"
     16073blo "151000,51800"
    1503716074tm "WireNameMgr"
    1503816075)
     
    1504016077on &7
    1504116078)
    15042 *509 (Wire
     16079*554 (Wire
    1504316080uid 384,0
    1504416081shape (OrthoPolyLine
     
    1504816085lineWidth 2
    1504916086)
    15050 xt "148750,60000,153000,60000"
    15051 pts [
    15052 "148750,60000"
    15053 "153000,60000"
    15054 ]
    15055 )
    15056 start &71
     16087xt "149750,60000,154000,60000"
     16088pts [
     16089"149750,60000"
     16090"154000,60000"
     16091]
     16092)
     16093start &75
    1505716094end &15
    1505816095sat 32
     
    1507216109isHidden 1
    1507316110)
    15074 xt "150000,59000,153400,60000"
     16111xt "151000,59000,154400,60000"
    1507516112st "wiz_addr"
    15076 blo "150000,59800"
     16113blo "151000,59800"
    1507716114tm "WireNameMgr"
    1507816115)
     
    1508016117on &8
    1508116118)
    15082 *510 (Wire
     16119*555 (Wire
    1508316120uid 392,0
    1508416121shape (OrthoPolyLine
     
    1508816125lineWidth 2
    1508916126)
    15090 xt "148750,61000,153000,61000"
    15091 pts [
    15092 "148750,61000"
    15093 "153000,61000"
    15094 ]
    15095 )
    15096 start &72
     16127xt "149750,61000,154000,61000"
     16128pts [
     16129"149750,61000"
     16130"154000,61000"
     16131]
     16132)
     16133start &76
    1509716134end &16
    1509816135sat 32
     
    1511216149isHidden 1
    1511316150)
    15114 xt "150000,60000,153300,61000"
     16151xt "151000,60000,154300,61000"
    1511516152st "wiz_data"
    15116 blo "150000,60800"
     16153blo "151000,60800"
    1511716154tm "WireNameMgr"
    1511816155)
     
    1512016157on &9
    1512116158)
    15122 *511 (Wire
     16159*556 (Wire
    1512316160uid 400,0
    1512416161shape (OrthoPolyLine
     
    1512716164vasetType 3
    1512816165)
    15129 xt "148750,53000,153000,53000"
    15130 pts [
    15131 "148750,53000"
    15132 "153000,53000"
    15133 ]
    15134 )
    15135 start &73
     16166xt "149750,53000,154000,53000"
     16167pts [
     16168"149750,53000"
     16169"154000,53000"
     16170]
     16171)
     16172start &77
    1513616173end &17
    1513716174sat 32
     
    1515016187isHidden 1
    1515116188)
    15152 xt "150000,52000,152700,53000"
     16189xt "151000,52000,153700,53000"
    1515316190st "wiz_cs"
    15154 blo "150000,52800"
     16191blo "151000,52800"
    1515516192tm "WireNameMgr"
    1515616193)
     
    1515816195on &10
    1515916196)
    15160 *512 (Wire
     16197*557 (Wire
    1516116198uid 408,0
    1516216199shape (OrthoPolyLine
     
    1516516202vasetType 3
    1516616203)
    15167 xt "148750,54000,153000,54000"
    15168 pts [
    15169 "148750,54000"
    15170 "153000,54000"
    15171 ]
    15172 )
    15173 start &74
     16204xt "149750,54000,154000,54000"
     16205pts [
     16206"149750,54000"
     16207"154000,54000"
     16208]
     16209)
     16210start &78
    1517416211end &18
    1517516212sat 32
     
    1518816225isHidden 1
    1518916226)
    15190 xt "150000,53000,152700,54000"
     16227xt "151000,53000,153700,54000"
    1519116228st "wiz_wr"
    15192 blo "150000,53800"
     16229blo "151000,53800"
    1519316230tm "WireNameMgr"
    1519416231)
     
    1519616233on &11
    1519716234)
    15198 *513 (Wire
     16235*558 (Wire
    1519916236uid 424,0
    1520016237shape (OrthoPolyLine
     
    1520316240vasetType 3
    1520416241)
    15205 xt "148750,55000,153000,55000"
    15206 pts [
    15207 "148750,55000"
    15208 "153000,55000"
    15209 ]
    15210 )
    15211 start &75
     16242xt "149750,55000,154000,55000"
     16243pts [
     16244"149750,55000"
     16245"154000,55000"
     16246]
     16247)
     16248start &79
    1521216249end &20
    1521316250sat 32
     
    1522616263isHidden 1
    1522716264)
    15228 xt "150000,54000,152600,55000"
     16265xt "151000,54000,153600,55000"
    1522916266st "wiz_rd"
    15230 blo "150000,54800"
     16267blo "151000,54800"
    1523116268tm "WireNameMgr"
    1523216269)
     
    1523416271on &12
    1523516272)
    15236 *514 (Wire
     16273*559 (Wire
    1523716274uid 432,0
    1523816275shape (OrthoPolyLine
     
    1524116278vasetType 3
    1524216279)
    15243 xt "148750,56000,153000,56000"
    15244 pts [
    15245 "153000,56000"
    15246 "148750,56000"
     16280xt "149750,56000,154000,56000"
     16281pts [
     16282"154000,56000"
     16283"149750,56000"
    1524716284]
    1524816285)
    1524916286start &21
    15250 end &76
     16287end &80
    1525116288sat 32
    1525216289eat 32
     
    1526416301isHidden 1
    1526516302)
    15266 xt "150000,55000,152700,56000"
     16303xt "151000,55000,153700,56000"
    1526716304st "wiz_int"
    15268 blo "150000,55800"
     16305blo "151000,55800"
    1526916306tm "WireNameMgr"
    1527016307)
     
    1527216309on &13
    1527316310)
    15274 *515 (Wire
     16311*560 (Wire
    1527516312uid 1411,0
    1527616313shape (OrthoPolyLine
     
    1528616323]
    1528716324)
    15288 start &155
     16325start &162
    1528916326end &28
    1529016327sat 32
     
    1530916346)
    1531016347)
    15311 on &65
    15312 )
    15313 *516 (Wire
     16348on &69
     16349)
     16350*561 (Wire
    1531416351uid 1425,0
    15315 optionalChildren [
    15316 *517 (BdJunction
    15317 uid 4391,0
    15318 ps "OnConnectorStrategy"
    15319 shape (Circle
    15320 uid 4392,0
    15321 va (VaSet
    15322 vasetType 1
    15323 )
    15324 xt "-22400,68600,-21600,69400"
    15325 radius 400
    15326 )
    15327 )
    15328 ]
    1532916352shape (OrthoPolyLine
    1533016353uid 1426,0
     
    1533216355vasetType 3
    1533316356)
    15334 xt "-26000,69000,18250,69000"
    15335 pts [
    15336 "-26000,69000"
    15337 "18250,69000"
    15338 ]
    15339 )
    15340 start &67
    15341 end &30
     16357xt "-32000,70000,-30000,70000"
     16358pts [
     16359"-32000,70000"
     16360"-30000,70000"
     16361]
     16362)
     16363start &71
     16364end &524
    1534216365es 0
    1534316366sat 32
     
    1535616379isHidden 1
    1535716380)
    15358 xt "5000,63000,7800,64000"
     16381xt "-38000,69000,-35200,70000"
    1535916382st "trigger"
    15360 blo "5000,63800"
     16383blo "-38000,69800"
    1536116384tm "WireNameMgr"
    1536216385)
    1536316386)
    15364 on &66
    15365 )
    15366 *518 (Wire
     16387on &70
     16388)
     16389*562 (Wire
    1536716390uid 1682,0
    1536816391shape (OrthoPolyLine
     
    1537816401]
    1537916402)
    15380 start &156
     16403start &163
    1538116404end &31
    1538216405sat 32
     
    1540116424)
    1540216425)
    15403 on &106
    15404 )
    15405 *519 (Wire
     16426on &112
     16427)
     16428*563 (Wire
    1540616429uid 1983,0
    1540716430shape (OrthoPolyLine
     
    1541916442]
    1542016443)
    15421 start &108
     16444start &114
    1542216445end &29
    1542316446sat 32
     
    1544016463)
    1544116464)
    15442 on &114
    15443 )
    15444 *520 (Wire
     16465on &120
     16466)
     16467*564 (Wire
    1544516468uid 2299,0
    1544616469shape (OrthoPolyLine
     
    1545816481]
    1545916482)
    15460 start &117
     16483start &123
    1546116484end &27
    1546216485sat 32
     
    1548016503)
    1548116504)
    15482 on &115
    15483 )
    15484 *521 (Wire
     16505on &121
     16506)
     16507*565 (Wire
    1548516508uid 2470,0
    1548616509shape (OrthoPolyLine
     
    1548916512vasetType 3
    1549016513)
    15491 xt "103750,68000,126250,68000"
     16514xt "103750,68000,127250,68000"
    1549216515pts [
    1549316516"103750,68000"
    15494 "115000,68000"
    15495 "126250,68000"
    15496 ]
    15497 )
    15498 start &124
    15499 end &82
     16517"127250,68000"
     16518]
     16519)
     16520start &130
     16521end &86
    1550016522sat 32
    1550116523eat 32
     
    1551716539)
    1551816540)
    15519 on &138
    15520 )
    15521 *522 (Wire
     16541on &145
     16542)
     16543*566 (Wire
    1552216544uid 2476,0
    1552316545shape (OrthoPolyLine
     
    1552616548vasetType 3
    1552716549)
    15528 xt "103750,69000,126250,69000"
     16550xt "103750,69000,127250,69000"
    1552916551pts [
    1553016552"103750,69000"
    15531 "115000,69000"
    15532 "126250,69000"
    15533 ]
    15534 )
    15535 start &127
    15536 end &81
     16553"127250,69000"
     16554]
     16555)
     16556start &133
     16557end &85
    1553716558sat 32
    1553816559eat 32
     
    1555416575)
    1555516576)
    15556 on &139
    15557 )
    15558 *523 (Wire
     16577on &146
     16578)
     16579*567 (Wire
    1555916580uid 2482,0
    1556016581shape (OrthoPolyLine
     
    1556416585lineWidth 2
    1556516586)
    15566 xt "103750,70000,126250,70000"
     16587xt "103750,70000,127250,70000"
    1556716588pts [
    1556816589"103750,70000"
    15569 "115000,70000"
    15570 "126250,70000"
    15571 ]
    15572 )
    15573 start &130
    15574 end &77
     16590"127250,70000"
     16591]
     16592)
     16593start &136
     16594end &81
    1557516595sat 32
    1557616596eat 32
     
    1559316613)
    1559416614)
    15595 on &140
    15596 )
    15597 *524 (Wire
     16615on &147
     16616)
     16617*568 (Wire
    1559816618uid 2488,0
    1559916619shape (OrthoPolyLine
     
    1560316623lineWidth 2
    1560416624)
    15605 xt "103750,71000,126250,71000"
     16625xt "103750,71000,127250,71000"
    1560616626pts [
    1560716627"103750,71000"
    15608 "115000,71000"
    15609 "126250,71000"
    15610 ]
    15611 )
    15612 start &126
    15613 end &78
     16628"127250,71000"
     16629]
     16630)
     16631start &132
     16632end &82
    1561416633sat 32
    1561516634eat 32
     
    1563216651)
    1563316652)
    15634 on &141
    15635 )
    15636 *525 (Wire
     16653on &148
     16654)
     16655*569 (Wire
    1563716656uid 2494,0
    1563816657shape (OrthoPolyLine
     
    1564216661lineWidth 2
    1564316662)
    15644 xt "103750,72000,126250,72000"
     16663xt "103750,72000,127250,72000"
    1564516664pts [
    1564616665"103750,72000"
    15647 "115000,72000"
    15648 "126250,72000"
    15649 ]
    15650 )
    15651 start &125
    15652 end &83
     16666"127250,72000"
     16667]
     16668)
     16669start &131
     16670end &87
    1565316671sat 32
    1565416672eat 32
     
    1567116689)
    1567216690)
    15673 on &142
    15674 )
    15675 *526 (Wire
     16691on &149
     16692)
     16693*570 (Wire
    1567616694uid 2500,0
    1567716695shape (OrthoPolyLine
     
    1568016698vasetType 3
    1568116699)
    15682 xt "103750,73000,126250,73000"
     16700xt "103750,73000,127250,73000"
    1568316701pts [
    1568416702"103750,73000"
    15685 "115000,73000"
    15686 "126250,73000"
    15687 ]
    15688 )
    15689 start &128
    15690 end &84
     16703"127250,73000"
     16704]
     16705)
     16706start &134
     16707end &88
    1569116708sat 32
    1569216709eat 32
     
    1570816725)
    1570916726)
    15710 on &143
    15711 )
    15712 *527 (Wire
     16727on &150
     16728)
     16729*571 (Wire
    1571316730uid 2506,0
    1571416731shape (OrthoPolyLine
     
    1571716734vasetType 3
    1571816735)
    15719 xt "103750,74000,126250,74000"
     16736xt "103750,74000,127250,74000"
    1572016737pts [
    1572116738"103750,74000"
    15722 "115000,74000"
    15723 "126250,74000"
    15724 ]
    15725 )
    15726 start &129
    15727 end &85
     16739"127250,74000"
     16740]
     16741)
     16742start &135
     16743end &89
    1572816744sat 32
    1572916745eat 32
     
    1574516761)
    1574616762)
    15747 on &144
    15748 )
    15749 *528 (Wire
     16763on &151
     16764)
     16765*572 (Wire
    1575016766uid 2576,0
    1575116767shape (OrthoPolyLine
     
    1576316779)
    1576416780start &32
    15765 end &121
     16781end &127
    1576616782sat 32
    1576716783eat 32
     
    1578316799)
    1578416800)
    15785 on &145
    15786 )
    15787 *529 (Wire
     16801on &152
     16802)
     16803*573 (Wire
    1578816804uid 2582,0
    1578916805shape (OrthoPolyLine
     
    1580116817)
    1580216818start &33
    15803 end &122
     16819end &128
    1580416820sat 32
    1580516821eat 32
     
    1582116837)
    1582216838)
    15823 on &146
    15824 )
    15825 *530 (Wire
     16839on &153
     16840)
     16841*574 (Wire
    1582616842uid 2588,0
    1582716843shape (OrthoPolyLine
     
    1583316849pts [
    1583416850"40750,70000"
    15835 "64000,70000"
    15836 "64000,75000"
     16851"63000,70000"
     16852"63000,75000"
    1583716853"71250,75000"
    1583816854]
    1583916855)
    15840 start &53
    15841 end &120
     16856start &52
     16857end &126
    1584216858ss 0
    1584316859sat 32
     
    1586016876)
    1586116877)
    15862 on &147
    15863 )
    15864 *531 (Wire
     16878on &154
     16879)
     16880*575 (Wire
    1586516881uid 2594,0
    1586616882shape (OrthoPolyLine
     
    1587216888pts [
    1587316889"40750,72000"
    15874 "62000,72000"
    15875 "62000,77000"
     16890"61000,72000"
     16891"61000,77000"
    1587616892"71250,77000"
    1587716893]
    1587816894)
    15879 start &49
    15880 end &119
     16895start &48
     16896end &125
    1588116897sat 32
    1588216898eat 32
     
    1589816914)
    1589916915)
    15900 on &148
    15901 )
    15902 *532 (Wire
     16916on &155
     16917)
     16918*576 (Wire
    1590316919uid 2600,0
    1590416920shape (OrthoPolyLine
     
    1591016926pts [
    1591116927"40750,74000"
    15912 "61000,74000"
    15913 "61000,78000"
     16928"60000,74000"
     16929"60000,78000"
    1591416930"71250,78000"
    1591516931]
    1591616932)
    1591716933start &34
    15918 end &123
     16934end &129
    1591916935sat 32
    1592016936eat 32
     
    1593616952)
    1593716953)
    15938 on &149
    15939 )
    15940 *533 (Wire
     16954on &156
     16955)
     16956*577 (Wire
    1594116957uid 2642,0
    1594216958shape (OrthoPolyLine
     
    1594916965pts [
    1595016966"40750,75000"
    15951 "60000,75000"
    15952 "60000,79000"
     16967"59000,75000"
     16968"59000,79000"
    1595316969"71250,79000"
    1595416970]
    1595516971)
    1595616972start &36
    15957 end &132
     16973end &138
    1595816974sat 32
    1595916975eat 32
     
    1597616992)
    1597716993)
    15978 on &150
    15979 )
    15980 *534 (Wire
     16994on &157
     16995)
     16996*578 (Wire
    1598116997uid 2778,0
    1598216998shape (OrthoPolyLine
     
    1599217008)
    1599317009start &37
    15994 end &152
     17010end &159
    1599517011sat 32
    1599617012eat 32
     
    1601417030)
    1601517031)
    16016 on &151
    16017 )
    16018 *535 (Wire
     17032on &158
     17033)
     17034*579 (Wire
    1601917035uid 2786,0
    1602017036shape (OrthoPolyLine
     
    1603017046]
    1603117047)
    16032 start &153
    16033 end &250
     17048start &160
     17049end &261
    1603417050sat 32
    1603517051eat 32
     
    1605417070)
    1605517071)
    16056 on &174
    16057 )
    16058 *536 (Wire
    16059 uid 2876,0
    16060 shape (OrthoPolyLine
    16061 uid 2877,0
    16062 va (VaSet
    16063 vasetType 3
    16064 )
    16065 xt "-22000,64000,-18750,69000"
    16066 pts [
    16067 "-22000,69000"
    16068 "-22000,64000"
    16069 "-18750,64000"
    16070 ]
    16071 )
    16072 start &517
    16073 end &109
    16074 es 0
    16075 sat 32
    16076 eat 32
    16077 st 0
    16078 sf 1
    16079 tg (WTG
    16080 uid 2880,0
    16081 ps "ConnStartEndStrategy"
    16082 stg "STSignalDisplayStrategy"
    16083 f (Text
    16084 uid 2881,0
    16085 ro 270
    16086 va (VaSet
    16087 )
    16088 xt "-23000,64200,-22000,67000"
    16089 st "trigger"
    16090 blo "-22200,67000"
    16091 tm "WireNameMgr"
    16092 )
    16093 )
    16094 on &66
    16095 )
    16096 *537 (Wire
     17072on &181
     17073)
     17074*580 (Wire
    1609717075uid 3888,0
    1609817076optionalChildren [
    16099 *538 (BdJunction
     17077*581 (BdJunction
    1610017078uid 4230,0
    1610117079ps "OnConnectorStrategy"
     
    1610917087)
    1611017088)
    16111 *539 (BdJunction
     17089*582 (BdJunction
    1611217090uid 4244,0
    1611317091ps "OnConnectorStrategy"
     
    1611717095vasetType 1
    1611817096)
    16119 xt "108600,18600,109400,19400"
     17097xt "108600,51600,109400,52400"
    1612017098radius 400
    1612117099)
    1612217100)
    16123 *540 (BdJunction
     17101*583 (BdJunction
    1612417102uid 9677,0
    1612517103ps "OnConnectorStrategy"
     
    1614017118lineColor "0,0,65535"
    1614117119)
    16142 xt "-1250,19000,126250,52000"
     17120xt "-1250,19000,127250,52000"
    1614317121pts [
    1614417122"-1250,19000"
    16145 "123000,19000"
    16146 "123000,52000"
    16147 "126250,52000"
    16148 ]
    16149 )
    16150 start &368
    16151 end &69
     17123"106000,19000"
     17124"106000,52000"
     17125"127250,52000"
     17126]
     17127)
     17128start &379
     17129end &73
    1615217130sat 32
    1615317131eat 32
     
    1617017148)
    1617117149)
    16172 on &170
    16173 )
    16174 *541 (Wire
     17150on &177
     17151)
     17152*584 (Wire
    1617517153uid 3984,0
    1617617154optionalChildren [
    16177 *542 (BdJunction
     17155*585 (BdJunction
    1617817156uid 9751,0
    1617917157ps "OnConnectorStrategy"
     
    1620217180]
    1620317181)
    16204 start &169
    16205 end &380
     17182start &176
     17183end &391
    1620617184sat 32
    1620717185eat 32
     
    1622517203)
    1622617204)
    16227 on &168
    16228 )
    16229 *543 (Wire
     17205on &175
     17206)
     17207*586 (Wire
    1623017208uid 4042,0
    1623117209shape (OrthoPolyLine
     
    1624117219)
    1624217220start &1
    16243 end &370
     17221end &381
    1624417222sat 32
    1624517223eat 32
     
    1626317241)
    1626417242)
    16265 on &173
    16266 )
    16267 *544 (Wire
     17243on &180
     17244)
     17245*587 (Wire
    1626817246uid 4226,0
    1626917247shape (OrthoPolyLine
     
    1628017258]
    1628117259)
    16282 start &172
    16283 end &538
     17260start &179
     17261end &581
    1628417262sat 32
    1628517263eat 32
     
    1630317281)
    1630417282)
    16305 on &170
    16306 )
    16307 *545 (Wire
     17283on &177
     17284)
     17285*588 (Wire
    1630817286uid 4240,0
    1630917287shape (OrthoPolyLine
     
    1631317291lineColor "0,0,65535"
    1631417292)
    16315 xt "91750,19000,109000,44000"
     17293xt "91750,44000,109000,52000"
    1631617294pts [
    1631717295"91750,44000"
    1631817296"109000,44000"
    16319 "109000,19000"
    16320 ]
    16321 )
    16322 start &314
    16323 end &539
     17297"109000,52000"
     17298]
     17299)
     17300start &325
     17301end &582
    1632417302sat 32
    1632517303eat 32
     
    1634217320)
    1634317321)
    16344 on &170
    16345 )
    16346 *546 (Wire
     17322on &177
     17323)
     17324*589 (Wire
    1634717325uid 4272,0
    1634817326shape (OrthoPolyLine
     
    1635717335]
    1635817336)
    16359 start &176
    16360 end &247
     17337start &183
     17338end &258
    1636117339sat 32
    1636217340eat 32
     
    1638017358)
    1638117359)
    16382 on &175
    16383 )
    16384 *547 (Wire
     17360on &182
     17361)
     17362*590 (Wire
    1638517363uid 4401,0
    1638617364shape (OrthoPolyLine
     
    1638917367vasetType 3
    1639017368)
    16391 xt "1750,53000,18250,53000"
     17369xt "1750,49000,18250,53000"
    1639217370pts [
    1639317371"18250,53000"
    16394 "1750,53000"
     17372"7000,53000"
     17373"7000,49000"
     17374"1750,49000"
    1639517375]
    1639617376)
    1639717377start &40
    16398 end &195
     17378end &202
    1639917379sat 32
    1640017380eat 32
     
    1641617396)
    1641717397)
    16418 on &177
    16419 )
    16420 *548 (Wire
     17398on &184
     17399)
     17400*591 (Wire
    1642117401uid 4407,0
    1642217402shape (OrthoPolyLine
     
    1642517405vasetType 3
    1642617406)
    16427 xt "1750,52000,18250,52000"
     17407xt "1750,48000,18250,52000"
    1642817408pts [
    1642917409"18250,52000"
    16430 "1750,52000"
     17410"8000,52000"
     17411"8000,48000"
     17412"1750,48000"
    1643117413]
    1643217414)
    1643317415start &44
    16434 end &201
     17416end &208
    1643517417sat 32
    1643617418eat 32
     
    1645217434)
    1645317435)
    16454 on &178
    16455 )
    16456 *549 (Wire
     17436on &185
     17437)
     17438*592 (Wire
    1645717439uid 4419,0
    1645817440shape (OrthoPolyLine
     
    1646117443vasetType 3
    1646217444)
    16463 xt "1750,50000,18250,50000"
     17445xt "1750,46000,18250,50000"
    1646417446pts [
    1646517447"18250,50000"
    16466 "1750,50000"
     17448"10000,50000"
     17449"10000,46000"
     17450"1750,46000"
    1646717451]
    1646817452)
    1646917453start &42
    16470 end &196
     17454end &203
    1647117455sat 32
    1647217456eat 32
     
    1648817472)
    1648917473)
    16490 on &179
    16491 )
    16492 *550 (Wire
     17474on &186
     17475)
     17476*593 (Wire
    1649317477uid 4537,0
    1649417478shape (OrthoPolyLine
     
    1650417488]
    1650517489)
    16506 start &326
    16507 end &182
     17490start &337
     17491end &189
    1650817492sat 32
    1650917493eat 32
     
    1652817512)
    1652917513)
    16530 on &180
    16531 )
    16532 *551 (Wire
     17514on &187
     17515)
     17516*594 (Wire
    1653317517uid 4545,0
    1653417518shape (OrthoPolyLine
     
    1654317527]
    1654417528)
    16545 start &291
    16546 end &183
     17529start &302
     17530end &190
    1654717531sat 32
    1654817532eat 32
     
    1656517549)
    1656617550)
    16567 on &181
    16568 )
    16569 *552 (Wire
     17551on &188
     17552)
     17553*595 (Wire
    1657017554uid 4671,0
    1657117555shape (OrthoPolyLine
     
    1658017564]
    1658117565)
    16582 start &188
    16583 end &197
     17566start &195
     17567end &204
    1658417568sat 32
    1658517569eat 32
     
    1660317587)
    1660417588)
    16605 on &184
    16606 )
    16607 *553 (Wire
     17589on &191
     17590)
     17591*596 (Wire
    1660817592uid 4679,0
    1660917593shape (OrthoPolyLine
     
    1661817602]
    1661917603)
    16620 start &189
    16621 end &198
     17604start &196
     17605end &205
    1662217606sat 32
    1662317607eat 32
     
    1664117625)
    1664217626)
    16643 on &185
    16644 )
    16645 *554 (Wire
     17627on &192
     17628)
     17629*597 (Wire
    1664617630uid 4687,0
    1664717631shape (OrthoPolyLine
     
    1665617640]
    1665717641)
    16658 start &190
    16659 end &199
     17642start &197
     17643end &206
    1666017644sat 32
    1666117645eat 32
     
    1667917663)
    1668017664)
    16681 on &186
    16682 )
    16683 *555 (Wire
     17665on &193
     17666)
     17667*598 (Wire
    1668417668uid 4695,0
    1668517669shape (OrthoPolyLine
     
    1669417678]
    1669517679)
    16696 start &191
    16697 end &200
     17680start &198
     17681end &207
    1669817682sat 32
    1669917683eat 32
     
    1671717701)
    1671817702)
    16719 on &187
    16720 )
    16721 *556 (Wire
     17703on &194
     17704)
     17705*599 (Wire
    1672217706uid 4743,0
    1672317707shape (OrthoPolyLine
     
    1672617710vasetType 3
    1672717711)
    16728 xt "1750,51000,18250,51000"
    16729 pts [
    16730 "1750,51000"
     17712xt "1750,47000,18250,51000"
     17713pts [
     17714"1750,47000"
     17715"9000,47000"
     17716"9000,51000"
    1673117717"18250,51000"
    1673217718]
    1673317719)
    16734 start &202
     17720start &209
    1673517721end &43
    1673617722sat 32
     
    1675317739)
    1675417740)
    16755 on &192
    16756 )
    16757 *557 (Wire
     17741on &199
     17742)
     17743*600 (Wire
    1675817744uid 4757,0
    1675917745optionalChildren [
    16760 *558 (BdJunction
     17746*601 (BdJunction
    1676117747uid 6076,0
    1676217748ps "OnConnectorStrategy"
     
    1678517771]
    1678617772)
    16787 start &194
    16788 end *559 (BdJunction
     17773start &201
     17774end *602 (BdJunction
    1678917775uid 6080,0
    1679017776ps "OnConnectorStrategy"
     
    1681817804)
    1681917805)
    16820 on &171
    16821 )
    16822 *560 (Wire
     17806on &178
     17807)
     17808*603 (Wire
    1682317809uid 4948,0
    1682417810shape (OrthoPolyLine
     
    1683317819]
    1683417820)
    16835 start &203
    16836 end &209
     17821start &210
     17822end &221
    1683717823sat 32
    1683817824eat 32
     
    1685617842)
    1685717843)
    16858 on &208
    16859 )
    16860 *561 (Wire
     17844on &220
     17845)
     17846*604 (Wire
    1686117847uid 4962,0
    1686217848shape (OrthoPolyLine
     
    1687117857]
    1687217858)
    16873 start &485
    16874 end &211
     17859start &496
     17860end &223
    1687517861sat 32
    1687617862eat 32
     
    1689417880)
    1689517881)
    16896 on &210
    16897 )
    16898 *562 (Wire
     17882on &222
     17883)
     17884*605 (Wire
    1689917885uid 5090,0
    1690017886shape (OrthoPolyLine
     
    1690417890lineWidth 2
    1690517891)
    16906 xt "92750,79000,126250,100000"
    16907 pts [
    16908 "126250,79000"
    16909 "116000,79000"
    16910 "116000,100000"
     17892xt "92750,79000,127250,100000"
     17893pts [
     17894"127250,79000"
     17895"120000,79000"
     17896"120000,100000"
    1691117897"92750,100000"
    1691217898]
    1691317899)
    16914 start &88
    16915 end &214
     17900start &92
     17901end &226
    1691617902sat 32
    1691717903eat 32
     
    1692717913va (VaSet
    1692817914)
    16929 xt "119000,78000,126000,79000"
     17915xt "120000,78000,127000,79000"
    1693017916st "config_addr : (7:0)"
    16931 blo "119000,78800"
     17917blo "120000,78800"
    1693217918tm "WireNameMgr"
    1693317919)
    1693417920)
    16935 on &230
    16936 )
    16937 *563 (Wire
     17921on &242
     17922)
     17923*606 (Wire
    1693817924uid 5098,0
    1693917925shape (OrthoPolyLine
     
    1694817934]
    1694917935)
    16950 start &215
     17936start &227
    1695117937sat 32
    1695217938eat 16
     
    1696717953)
    1696817954)
    16969 on &231
    16970 )
    16971 *564 (Wire
     17955on &243
     17956)
     17957*607 (Wire
    1697217958uid 5106,0
    1697317959shape (OrthoPolyLine
     
    1697617962vasetType 3
    1697717963)
    16978 xt "92750,84000,126250,106000"
     17964xt "92750,84000,127250,106000"
    1697917965pts [
    1698017966"92750,106000"
    16981 "121000,106000"
    16982 "121000,84000"
    16983 "126250,84000"
    16984 ]
    16985 )
    16986 start &216
    16987 end &89
     17967"124000,106000"
     17968"124000,84000"
     17969"127250,84000"
     17970]
     17971)
     17972start &228
     17973end &93
    1698817974sat 32
    1698917975eat 32
     
    1700417990)
    1700517991)
    17006 on &232
    17007 )
    17008 *565 (Wire
     17992on &244
     17993)
     17994*608 (Wire
    1700917995uid 5114,0
    1701017996shape (OrthoPolyLine
     
    1701418000lineWidth 2
    1701518001)
    17016 xt "92750,80000,126250,101000"
     18002xt "92750,80000,127250,101000"
    1701718003pts [
    1701818004"92750,101000"
    17019 "117000,101000"
    17020 "117000,80000"
    17021 "126250,80000"
    17022 ]
    17023 )
    17024 start &217
    17025 end &90
     18005"121000,101000"
     18006"121000,80000"
     18007"127250,80000"
     18008]
     18009)
     18010start &229
     18011end &94
    1702618012sat 32
    1702718013eat 32
     
    1704318029)
    1704418030)
    17045 on &233
    17046 )
    17047 *566 (Wire
     18031on &245
     18032)
     18033*609 (Wire
    1704818034uid 5122,0
    1704918035shape (OrthoPolyLine
     
    1705218038vasetType 3
    1705318039)
    17054 xt "92750,82000,126250,104000"
    17055 pts [
    17056 "126250,82000"
    17057 "119000,82000"
    17058 "119000,104000"
     18040xt "92750,82000,127250,104000"
     18041pts [
     18042"127250,82000"
     18043"122000,82000"
     18044"122000,104000"
    1705918045"92750,104000"
    1706018046]
    1706118047)
    17062 start &92
    17063 end &219
     18048start &96
     18049end &231
    1706418050sat 32
    1706518051eat 32
     
    1707418060va (VaSet
    1707518061)
    17076 xt "121000,81000,126300,82000"
     18062xt "122000,81000,127300,82000"
    1707718063st "config_wr_en"
    17078 blo "121000,81800"
     18064blo "122000,81800"
    1707918065tm "WireNameMgr"
    1708018066)
    1708118067)
    17082 on &234
    17083 )
    17084 *567 (Wire
     18068on &246
     18069)
     18070*610 (Wire
    1708518071uid 5130,0
    1708618072shape (OrthoPolyLine
     
    1708918075vasetType 3
    1709018076)
    17091 xt "92750,83000,126250,105000"
    17092 pts [
    17093 "126250,83000"
    17094 "120000,83000"
    17095 "120000,105000"
     18077xt "92750,83000,127250,105000"
     18078pts [
     18079"127250,83000"
     18080"123000,83000"
     18081"123000,105000"
    1709618082"92750,105000"
    1709718083]
    1709818084)
    17099 start &94
    17100 end &221
     18085start &98
     18086end &233
    1710118087sat 32
    1710218088eat 32
     
    1711118097va (VaSet
    1711218098)
    17113 xt "121000,82000,126200,83000"
     18099xt "122000,82000,127200,83000"
    1711418100st "config_rd_en"
    17115 blo "121000,82800"
     18101blo "122000,82800"
    1711618102tm "WireNameMgr"
    1711718103)
    1711818104)
    17119 on &235
    17120 )
    17121 *568 (Wire
     18105on &247
     18106)
     18107*611 (Wire
    1712218108uid 5138,0
    1712318109optionalChildren [
    17124 *569 (BdJunction
     18110*612 (BdJunction
    1712518111uid 5400,0
    1712618112ps "OnConnectorStrategy"
     
    1714818134]
    1714918135)
    17150 start &218
     18136start &230
    1715118137end &35
    1715218138ss 0
     
    1717018156)
    1717118157)
    17172 on &154
    17173 )
    17174 *570 (Wire
     18158on &161
     18159)
     18160*613 (Wire
    1717518161uid 5146,0
    1717618162shape (OrthoPolyLine
     
    1718518171]
    1718618172)
    17187 start &220
    17188 end &258
     18173start &232
     18174end &269
    1718918175es 0
    1719018176sat 32
     
    1720618192)
    1720718193)
    17208 on &236
    17209 )
    17210 *571 (Wire
     18194on &248
     18195)
     18196*614 (Wire
    1721118197uid 5168,0
    1721218198shape (OrthoPolyLine
     
    1722118207]
    1722218208)
    17223 start &569
    17224 end &131
     18209start &612
     18210end &137
    1722518211sat 32
    1722618212eat 32
     
    1724218228)
    1724318229)
    17244 on &154
    17245 )
    17246 *572 (Wire
     18230on &161
     18231)
     18232*615 (Wire
    1724718233uid 5184,0
    1724818234shape (OrthoPolyLine
     
    1725918245]
    1726018246)
    17261 start &222
     18247start &234
    1726218248end &47
    1726318249sat 32
     
    1727918265)
    1728018266)
    17281 on &237
    17282 )
    17283 *573 (Wire
     18267on &249
     18268)
     18269*616 (Wire
    1728418270uid 5190,0
    1728518271shape (OrthoPolyLine
     
    1729618282]
    1729718283)
    17298 start &223
     18284start &235
    1729918285end &46
    1730018286sat 32
     
    1731618302)
    1731718303)
    17318 on &238
    17319 )
    17320 *574 (Wire
     18304on &250
     18305)
     18306*617 (Wire
    1732118307uid 5222,0
    1732218308shape (OrthoPolyLine
     
    1732618312lineWidth 2
    1732718313)
    17328 xt "148750,71000,153000,71000"
    17329 pts [
    17330 "148750,71000"
    17331 "153000,71000"
    17332 ]
    17333 )
    17334 start &86
     18314xt "149750,71000,154000,71000"
     18315pts [
     18316"149750,71000"
     18317"154000,71000"
     18318]
     18319)
     18320start &90
    1733518321end &19
    1733618322sat 32
     
    1735018336isHidden 1
    1735118337)
    17352 xt "150750,70000,152150,71000"
     18338xt "151750,70000,153150,71000"
    1735318339st "led"
    17354 blo "150750,70800"
     18340blo "151750,70800"
    1735518341tm "WireNameMgr"
    1735618342)
    1735718343)
    17358 on &239
    17359 )
    17360 *575 (Wire
    17361 uid 5281,0
    17362 shape (OrthoPolyLine
    17363 uid 5282,0
    17364 va (VaSet
    17365 vasetType 3
    17366 )
    17367 xt "40750,53000,126250,59000"
    17368 pts [
    17369 "126250,59000"
    17370 "73000,59000"
    17371 "73000,53000"
    17372 "40750,53000"
    17373 ]
    17374 )
    17375 start &87
    17376 end &48
    17377 sat 32
    17378 eat 32
    17379 st 0
    17380 sf 1
    17381 si 0
    17382 tg (WTG
    17383 uid 5283,0
    17384 ps "ConnStartEndStrategy"
    17385 stg "STSignalDisplayStrategy"
    17386 f (Text
    17387 uid 5284,0
    17388 va (VaSet
    17389 )
    17390 xt "121250,58000,124850,59000"
    17391 st "s_trigger"
    17392 blo "121250,58800"
    17393 tm "WireNameMgr"
    17394 )
    17395 )
    17396 on &240
    17397 )
    17398 *576 (Wire
     18344on &251
     18345)
     18346*618 (Wire
    1739918347uid 5404,0
    1740018348shape (OrthoPolyLine
     
    1741118359]
    1741218360)
    17413 start &259
    17414 end &50
     18361start &270
     18362end &49
    1741518363sat 32
    1741618364eat 32
     
    1743118379)
    1743218380)
    17433 on &243
    17434 )
    17435 *577 (Wire
     18381on &254
     18382)
     18383*619 (Wire
    1743618384uid 5474,0
    1743718385shape (OrthoPolyLine
     
    1744818396]
    1744918397)
    17450 start &262
    17451 end &52
     18398start &273
     18399end &51
    1745218400sat 32
    1745318401eat 32
     
    1746818416)
    1746918417)
    17470 on &241
    17471 )
    17472 *578 (Wire
     18418on &252
     18419)
     18420*620 (Wire
    1747318421uid 5480,0
    1747418422shape (OrthoPolyLine
     
    1748518433]
    1748618434)
    17487 start &261
    17488 end &51
     18435start &272
     18436end &50
    1748918437sat 32
    1749018438eat 32
     
    1750518453)
    1750618454)
    17507 on &242
    17508 )
    17509 *579 (Wire
     18455on &253
     18456)
     18457*621 (Wire
    1751018458uid 5582,0
    1751118459shape (OrthoPolyLine
     
    1752118469]
    1752218470)
    17523 end &213
     18471end &225
    1752418472sat 16
    1752518473eat 32
     
    1754018488)
    1754118489)
    17542 on &170
    17543 )
    17544 *580 (Wire
     18490on &177
     18491)
     18492*622 (Wire
    1754518493uid 5602,0
    1754618494optionalChildren [
    17547 &559
    17548 *581 (BdJunction
     18495&602
     18496*623 (BdJunction
    1754918497uid 6086,0
    1755018498ps "OnConnectorStrategy"
     
    1757618524)
    1757718525start &23
    17578 end &310
     18526end &321
    1757918527sat 32
    1758018528eat 32
     
    1759718545)
    1759818546)
    17599 on &171
    17600 )
    17601 *582 (Wire
     18547on &178
     18548)
     18549*624 (Wire
    1760218550uid 5626,0
    1760318551shape (OrthoPolyLine
     
    1761318561)
    1761418562start &45
    17615 end &248
     18563end &259
    1761618564sat 32
    1761718565eat 32
     
    1763318581)
    1763418582)
    17635 on &245
    17636 )
    17637 *583 (Wire
     18583on &256
     18584)
     18585*625 (Wire
    1763818586uid 5634,0
    1763918587shape (OrthoPolyLine
     
    1765018598)
    1765118599start &38
    17652 end &249
     18600end &260
    1765318601sat 32
    1765418602eat 32
     
    1767118619)
    1767218620)
    17673 on &244
    17674 )
    17675 *584 (Wire
     18621on &255
     18622)
     18623*626 (Wire
    1767618624uid 5646,0
    1767718625shape (OrthoPolyLine
     
    1768718635]
    1768818636)
    17689 end &251
     18637end &262
    1769018638sat 16
    1769118639eat 32
     
    1770718655)
    1770818656)
    17709 on &168
    17710 )
    17711 *585 (Wire
     18657on &175
     18658)
     18659*627 (Wire
    1771218660uid 5745,0
    1771318661shape (OrthoPolyLine
     
    1772418672]
    1772518673)
    17726 start &54
    17727 end &260
     18674start &53
     18675end &271
    1772818676sat 32
    1772918677eat 32
     
    1774518693)
    1774618694)
    17747 on &255
    17748 )
    17749 *586 (Wire
     18695on &266
     18696)
     18697*628 (Wire
    1775018698uid 5805,0
    1775118699shape (OrthoPolyLine
     
    1776018708]
    1776118709)
    17762 end &265
     18710end &276
    1776318711sat 16
    1776418712eat 32
     
    1777918727)
    1778018728)
    17781 on &170
    17782 )
    17783 *587 (Wire
     18729on &177
     18730)
     18731*629 (Wire
    1778418732uid 5813,0
    1778518733shape (OrthoPolyLine
     
    1779418742]
    1779518743)
    17796 start &346
    17797 end &276
     18744start &357
     18745end &287
    1779818746sat 32
    1779918747eat 32
     
    1781718765)
    1781818766)
    17819 on &272
    17820 )
    17821 *588 (Wire
     18767on &283
     18768)
     18769*630 (Wire
    1782218770uid 5821,0
    1782318771shape (OrthoPolyLine
     
    1783218780]
    1783318781)
    17834 start &268
    17835 end &277
     18782start &279
     18783end &288
    1783618784sat 32
    1783718785eat 32
     
    1785518803)
    1785618804)
    17857 on &273
    17858 )
    17859 *589 (Wire
     18805on &284
     18806)
     18807*631 (Wire
    1786018808uid 5829,0
    1786118809shape (OrthoPolyLine
     
    1787018818]
    1787118819)
    17872 start &263
    17873 end &278
     18820start &274
     18821end &289
    1787418822sat 32
    1787518823eat 32
     
    1789318841)
    1789418842)
    17895 on &274
    17896 )
    17897 *590 (Wire
     18843on &285
     18844)
     18845*632 (Wire
    1789818846uid 5837,0
    1789918847shape (OrthoPolyLine
     
    1790918857]
    1791018858)
    17911 start &264
    17912 end &279
     18859start &275
     18860end &290
    1791318861sat 32
    1791418862eat 32
     
    1793318881)
    1793418882)
    17935 on &275
    17936 )
    17937 *591 (Wire
     18883on &286
     18884)
     18885*633 (Wire
    1793818886uid 5950,0
    1793918887shape (OrthoPolyLine
     
    1794218890vasetType 3
    1794318891)
    17944 xt "40750,54000,126250,60000"
    17945 pts [
    17946 "126250,60000"
    17947 "72000,60000"
    17948 "72000,54000"
     18892xt "40750,54000,127250,60000"
     18893pts [
     18894"127250,60000"
     18895"110000,60000"
     18896"110000,54000"
    1794918897"40750,54000"
    1795018898]
    1795118899)
    17952 start &93
    17953 end &56
     18900start &97
     18901end &55
    1795418902sat 32
    1795518903eat 32
     
    1796518913va (VaSet
    1796618914)
    17967 xt "120250,59000,124850,60000"
     18915xt "121250,59000,125850,60000"
    1796818916st "new_config"
    17969 blo "120250,59800"
     18917blo "121250,59800"
    1797018918tm "WireNameMgr"
    1797118919)
    1797218920)
    17973 on &280
    17974 )
    17975 *592 (Wire
     18921on &291
     18922)
     18923*634 (Wire
    1797618924uid 5962,0
    1797718925shape (OrthoPolyLine
     
    1798018928vasetType 3
    1798118929)
    17982 xt "40750,55000,126250,61000"
    17983 pts [
    17984 "126250,61000"
    17985 "71000,61000"
    17986 "71000,55000"
     18930xt "40750,55000,127250,61000"
     18931pts [
     18932"127250,61000"
     18933"111000,61000"
     18934"111000,55000"
    1798718935"40750,55000"
    1798818936]
    1798918937)
    17990 start &91
    17991 end &55
     18938start &95
     18939end &54
    1799218940sat 32
    1799318941eat 32
     
    1800318951va (VaSet
    1800418952)
    18005 xt "119250,60000,124850,61000"
     18953xt "120250,60000,125850,61000"
    1800618954st "config_started"
    18007 blo "119250,60800"
     18955blo "120250,60800"
    1800818956tm "WireNameMgr"
    1800918957)
    1801018958)
    18011 on &281
    18012 )
    18013 *593 (Wire
     18959on &292
     18960)
     18961*635 (Wire
    1801418962uid 6002,0
    1801518963shape (OrthoPolyLine
     
    1802618974]
    1802718975)
    18028 start &224
    18029 end &57
     18976start &236
     18977end &56
    1803018978sat 32
    1803118979eat 32
     
    1804718995)
    1804818996)
    18049 on &283
    18050 )
    18051 *594 (Wire
     18997on &294
     18998)
     18999*636 (Wire
    1805219000uid 6008,0
    1805319001shape (OrthoPolyLine
     
    1806419012]
    1806519013)
    18066 start &266
    18067 end &59
     19014start &277
     19015end &58
    1806819016sat 32
    1806919017eat 32
     
    1808519033)
    1808619034)
    18087 on &282
    18088 )
    18089 *595 (Wire
     19035on &293
     19036)
     19037*637 (Wire
    1809019038uid 6018,0
    1809119039shape (OrthoPolyLine
     
    1809719045pts [
    1809819046"40750,71000"
    18099 "63000,71000"
    18100 "63000,76000"
     19047"62000,71000"
     19048"62000,76000"
    1810119049"71250,76000"
    1810219050]
    1810319051)
    18104 start &58
    18105 end &133
     19052start &57
     19053end &139
    1810619054sat 32
    1810719055eat 32
     
    1812319071)
    1812419072)
    18125 on &284
    18126 )
    18127 *596 (Wire
     19073on &295
     19074)
     19075*638 (Wire
    1812819076uid 6064,0
    1812919077shape (OrthoPolyLine
     
    1813819086]
    1813919087)
    18140 end &60
     19088end &59
    1814119089sat 16
    1814219090eat 32
     
    1815819106)
    1815919107)
    18160 on &236
    18161 )
    18162 *597 (Wire
     19108on &248
     19109)
     19110*639 (Wire
    1816319111uid 6072,0
    1816419112optionalChildren [
    18165 *598 (BdJunction
     19113*640 (BdJunction
    1816619114uid 9745,0
    1816719115ps "OnConnectorStrategy"
     
    1818919137]
    1819019138)
    18191 start &369
    18192 end &558
     19139start &380
     19140end &601
    1819319141sat 32
    1819419142eat 32
     
    1821119159)
    1821219160)
    18213 on &171
    18214 )
    18215 *599 (Wire
     19161on &178
     19162)
     19163*641 (Wire
    1821619164uid 6082,0
    1821719165shape (OrthoPolyLine
     
    1822819176]
    1822919177)
    18230 start &118
    18231 end &581
     19178start &124
     19179end &623
    1823219180sat 32
    1823319181eat 32
     
    1825019198)
    1825119199)
    18252 on &171
    18253 )
    18254 *600 (Wire
     19200on &178
     19201)
     19202*642 (Wire
    1825519203uid 6160,0
    1825619204shape (OrthoPolyLine
     
    1826519213]
    1826619214)
    18267 start &267
    18268 end &286
     19215start &278
     19216end &297
    1826919217sat 32
    1827019218eat 32
     
    1828819236)
    1828919237)
    18290 on &285
    18291 )
    18292 *601 (Wire
     19238on &296
     19239)
     19240*643 (Wire
    1829319241uid 6276,0
    1829419242shape (OrthoPolyLine
     
    1830319251]
    1830419252)
    18305 end &110
     19253end &116
    1830619254sat 16
    1830719255eat 32
     
    1832219270)
    1832319271)
    18324 on &168
    18325 )
    18326 *602 (Wire
     19272on &175
     19273)
     19274*644 (Wire
    1832719275uid 6362,0
    1832819276shape (OrthoPolyLine
     
    1833119279vasetType 3
    1833219280)
    18333 xt "148750,75000,153000,75000"
    18334 pts [
    18335 "148750,75000"
    18336 "153000,75000"
    18337 ]
    18338 )
    18339 start &95
    18340 end &288
     19281xt "149750,75000,154000,75000"
     19282pts [
     19283"149750,75000"
     19284"154000,75000"
     19285]
     19286)
     19287start &99
     19288end &299
    1834119289sat 32
    1834219290eat 32
     
    1835419302isHidden 1
    1835519303)
    18356 xt "150000,74000,153000,75000"
     19304xt "151000,74000,154000,75000"
    1835719305st "denable"
    18358 blo "150000,74800"
     19306blo "151000,74800"
    1835919307tm "WireNameMgr"
    1836019308)
    1836119309)
    18362 on &287
    18363 )
    18364 *603 (Wire
     19310on &298
     19311)
     19312*645 (Wire
    1836519313uid 6452,0
    1836619314shape (OrthoPolyLine
     
    1836919317vasetType 3
    1837019318)
    18371 xt "148750,76000,154000,76000"
    18372 pts [
    18373 "148750,76000"
    18374 "154000,76000"
    18375 ]
    18376 )
    18377 start &96
     19319xt "149750,76000,155000,76000"
     19320pts [
     19321"149750,76000"
     19322"155000,76000"
     19323]
     19324)
     19325start &100
    1837819326sat 32
    1837919327eat 16
     
    1839019338va (VaSet
    1839119339)
    18392 xt "155000,76000,160400,77000"
     19340xt "156000,76000,161400,77000"
    1839319341st "dwrite_enable"
    18394 blo "155000,76800"
     19342blo "156000,76800"
    1839519343tm "WireNameMgr"
    1839619344)
    1839719345)
    18398 on &289
    18399 )
    18400 *604 (Wire
     19346on &300
     19347)
     19348*646 (Wire
    1840119349uid 6540,0
    1840219350shape (OrthoPolyLine
     
    1841119359]
    1841219360)
    18413 start &294
     19361start &305
    1841419362end &41
    1841519363sat 32
     
    1843319381)
    1843419382)
    18435 on &308
    18436 )
    18437 *605 (Wire
     19383on &319
     19384)
     19385*647 (Wire
    1843819386uid 6548,0
    1843919387shape (OrthoPolyLine
     
    1844419392xt "7000,61000,15000,61000"
    1844519393pts [
     19394"15000,61000"
    1844619395"7000,61000"
    18447 "15000,61000"
    18448 ]
    18449 )
    18450 start &296
    18451 sat 32
    18452 eat 16
     19396]
     19397)
     19398end &307
     19399ss 0
     19400es 0
     19401sat 16
     19402eat 32
    1845319403stc 0
    1845419404st 0
     
    1846319413va (VaSet
    1846419414)
    18465 xt "7000,60000,12400,61000"
     19415xt "15000,60000,20400,61000"
    1846619416st "dwrite_enable"
    18467 blo "7000,60800"
     19417blo "15000,60800"
    1846819418tm "WireNameMgr"
    1846919419)
    1847019420)
    18471 on &289
    18472 )
    18473 *606 (Wire
     19421on &300
     19422)
     19423*648 (Wire
    1847419424uid 8416,0
    1847519425shape (OrthoPolyLine
     
    1847819428vasetType 3
    1847919429)
    18480 xt "103750,75000,126250,75000"
     19430xt "103750,75000,127250,75000"
    1848119431pts [
    1848219432"103750,75000"
    18483 "126250,75000"
    18484 ]
    18485 )
    18486 start &134
    18487 end &97
     19433"127250,75000"
     19434]
     19435)
     19436start &140
     19437end &101
    1848819438sat 32
    1848919439eat 32
     
    1850519455)
    1850619456)
    18507 on &320
    18508 )
    18509 *607 (Wire
    18510 uid 8510,0
    18511 shape (OrthoPolyLine
    18512 uid 8511,0
    18513 va (VaSet
    18514 vasetType 3
    18515 lineWidth 2
    18516 )
    18517 xt "92750,110000,102000,110000"
    18518 pts [
    18519 "92750,110000"
    18520 "102000,110000"
    18521 ]
    18522 )
    18523 start &225
    18524 sat 32
    18525 eat 16
    18526 sty 1
    18527 st 0
    18528 sf 1
    18529 si 0
    18530 tg (WTG
    18531 uid 8514,0
    18532 ps "ConnStartEndStrategy"
    18533 stg "STSignalDisplayStrategy"
    18534 f (Text
    18535 uid 8515,0
    18536 va (VaSet
    18537 )
    18538 xt "94000,109000,101200,110000"
    18539 st "drs_address : (3:0)"
    18540 blo "94000,109800"
    18541 tm "WireNameMgr"
    18542 )
    18543 )
    18544 on &321
    18545 )
    18546 *608 (Wire
    18547 uid 8518,0
    18548 shape (OrthoPolyLine
    18549 uid 8519,0
    18550 va (VaSet
    18551 vasetType 3
    18552 )
    18553 xt "92750,111000,102000,111000"
    18554 pts [
    18555 "92750,111000"
    18556 "102000,111000"
    18557 ]
    18558 )
    18559 start &226
    18560 sat 32
    18561 eat 16
    18562 st 0
    18563 sf 1
    18564 si 0
    18565 tg (WTG
    18566 uid 8522,0
    18567 ps "ConnStartEndStrategy"
    18568 stg "STSignalDisplayStrategy"
    18569 f (Text
    18570 uid 8523,0
    18571 va (VaSet
    18572 )
    18573 xt "94000,110000,101200,111000"
    18574 st "drs_address_mode"
    18575 blo "94000,110800"
    18576 tm "WireNameMgr"
    18577 )
    18578 )
    18579 on &322
    18580 )
    18581 *609 (Wire
     19457on &331
     19458)
     19459*649 (Wire
    1858219460uid 8577,0
    1858319461shape (OrthoPolyLine
     
    1858719465lineWidth 2
    1858819466)
    18589 xt "7000,57000,18250,57000"
    18590 pts [
    18591 "18250,57000"
    18592 "7000,57000"
     19467xt "13000,64000,18250,64000"
     19468pts [
     19469"18250,64000"
     19470"13000,64000"
     19471"13000,64000"
    1859319472]
    1859419473)
     
    1860819487va (VaSet
    1860919488)
    18610 xt "8000,56000,18400,57000"
     19489xt "8000,62000,18400,63000"
    1861119490st "drs_channel_internal : (3:0)"
    18612 blo "8000,56800"
     19491blo "8000,62800"
    1861319492tm "WireNameMgr"
    1861419493)
    1861519494)
    18616 on &344
    18617 )
    18618 *610 (Wire
     19495on &355
     19496)
     19497*650 (Wire
    1861919498uid 8587,0
    1862019499shape (OrthoPolyLine
     
    1863019509]
    1863119510)
    18632 end &324
     19511end &335
    1863319512sat 16
    1863419513eat 32
     
    1865219531)
    1865319532)
    18654 on &344
    18655 )
    18656 *611 (Wire
     19533on &355
     19534)
     19535*651 (Wire
    1865719536uid 8595,0
    1865819537shape (OrthoPolyLine
     
    1866819547]
    1866919548)
    18670 end &329
     19549end &340
    1867119550sat 16
    1867219551eat 32
     
    1869019569)
    1869119570)
    18692 on &321
    18693 )
    18694 *612 (Wire
     19571on &332
     19572)
     19573*652 (Wire
    1869519574uid 8603,0
    1869619575shape (OrthoPolyLine
     
    1870619585]
    1870719586)
    18708 end &331
     19587end &342
    1870919588sat 16
    1871019589eat 32
     
    1872719606)
    1872819607)
    18729 on &322
    18730 )
    18731 *613 (Wire
     19608on &333
     19609)
     19610*653 (Wire
    1873219611uid 8732,0
    1873319612shape (OrthoPolyLine
     
    1874419623]
    1874519624)
    18746 start &257
    18747 end &351
     19625start &268
     19626end &362
    1874819627sat 32
    1874919628eat 32
     
    1876519644)
    1876619645)
    18767 on &363
    18768 )
    18769 *614 (Wire
     19646on &374
     19647)
     19648*654 (Wire
    1877019649uid 8738,0
    1877119650shape (OrthoPolyLine
     
    1878019659]
    1878119660)
    18782 end &349
     19661end &360
    1878319662sat 16
    1878419663eat 32
     
    1880119680)
    1880219681)
    18803 on &364
    18804 )
    18805 *615 (Wire
     19682on &375
     19683)
     19684*655 (Wire
    1880619685uid 8752,0
    1880719686shape (OrthoPolyLine
     
    1881019689vasetType 3
    1881119690)
    18812 xt "148750,77000,154000,77000"
    18813 pts [
    18814 "148750,77000"
    18815 "154000,77000"
    18816 ]
    18817 )
    18818 start &98
     19691xt "149750,77000,155000,77000"
     19692pts [
     19693"149750,77000"
     19694"155000,77000"
     19695]
     19696)
     19697start &102
    1881919698sat 32
    1882019699eat 16
     
    1883019709va (VaSet
    1883119710)
    18832 xt "155000,77000,159700,78000"
     19711xt "156000,77000,160700,78000"
    1883319712st "sclk_enable"
    18834 blo "155000,77800"
     19713blo "156000,77800"
    1883519714tm "WireNameMgr"
    1883619715)
    1883719716)
    18838 on &364
    18839 )
    18840 *616 (Wire
     19717on &375
     19718)
     19719*656 (Wire
    1884119720uid 9006,0
    1884219721shape (OrthoPolyLine
     
    1885119730]
    1885219731)
    18853 start &61
    18854 end &366
     19732start &60
     19733end &377
    1885519734sat 32
    1885619735eat 32
     
    1887419753)
    1887519754)
    18876 on &365
    18877 )
    18878 *617 (Wire
     19755on &376
     19756)
     19757*657 (Wire
    1887919758uid 9233,0
    1888019759shape (OrthoPolyLine
     
    1888319762vasetType 3
    1888419763)
    18885 xt "148750,81000,154000,81000"
    18886 pts [
    18887 "148750,81000"
    18888 "154000,81000"
    18889 ]
    18890 )
    18891 start &99
     19764xt "149750,81000,155000,81000"
     19765pts [
     19766"149750,81000"
     19767"155000,81000"
     19768]
     19769)
     19770start &103
    1889219771sat 32
    1889319772eat 16
     
    1890319782va (VaSet
    1890419783)
    18905 xt "155000,81000,159900,82000"
     19784xt "156000,81000,160900,82000"
    1890619785st "ps_direction"
    18907 blo "155000,81800"
     19786blo "156000,81800"
    1890819787tm "WireNameMgr"
    1890919788)
    1891019789)
    18911 on &387
    18912 )
    18913 *618 (Wire
     19790on &398
     19791)
     19792*658 (Wire
    1891419793uid 9241,0
    1891519794shape (OrthoPolyLine
     
    1891819797vasetType 3
    1891919798)
    18920 xt "148750,82000,154000,82000"
    18921 pts [
    18922 "148750,82000"
    18923 "154000,82000"
    18924 ]
    18925 )
    18926 start &100
     19799xt "149750,82000,155000,82000"
     19800pts [
     19801"149750,82000"
     19802"155000,82000"
     19803]
     19804)
     19805start &104
    1892719806sat 32
    1892819807eat 16
     
    1893819817va (VaSet
    1893919818)
    18940 xt "155000,82000,162000,83000"
     19819xt "156000,82000,163000,83000"
    1894119820st "ps_do_phase_shift"
    18942 blo "155000,82800"
     19821blo "156000,82800"
    1894319822tm "WireNameMgr"
    1894419823)
    1894519824)
    18946 on &388
    18947 )
    18948 *619 (Wire
     19825on &399
     19826)
     19827*659 (Wire
    1894919828uid 9253,0
    1895019829optionalChildren [
    18951 *620 (BdJunction
     19830*660 (BdJunction
    1895219831uid 9507,0
    1895319832ps "OnConnectorStrategy"
     
    1897319852]
    1897419853)
    18975 end &381
     19854end &392
    1897619855sat 16
    1897719856eat 32
     
    1899219871)
    1899319872)
    18994 on &387
    18995 )
    18996 *621 (Wire
     19873on &398
     19874)
     19875*661 (Wire
    1899719876uid 9261,0
    1899819877optionalChildren [
    18999 *622 (BdJunction
     19878*662 (BdJunction
    1900019879uid 9513,0
    1900119880ps "OnConnectorStrategy"
     
    1902119900]
    1902219901)
    19023 end &382
     19902end &393
    1902419903sat 16
    1902519904eat 32
     
    1904019919)
    1904119920)
    19042 on &388
    19043 )
    19044 *623 (Wire
     19921on &399
     19922)
     19923*663 (Wire
    1904519924uid 9269,0
    1904619925shape (OrthoPolyLine
     
    1905519934]
    1905619935)
    19057 start &379
    19058 end &390
     19936start &390
     19937end &401
    1905919938sat 32
    1906019939eat 32
     
    1907819957)
    1907919958)
    19080 on &389
    19081 )
    19082 *624 (Wire
     19959on &400
     19960)
     19961*664 (Wire
    1908319962uid 9283,0
    1908419963shape (OrthoPolyLine
     
    1909319972]
    1909419973)
    19095 start &378
    19096 end &392
     19974start &389
     19975end &403
    1909719976sat 32
    1909819977eat 32
     
    1911619995)
    1911719996)
    19118 on &391
    19119 )
    19120 *625 (Wire
     19997on &402
     19998)
     19999*665 (Wire
    1912120000uid 9297,0
    1912220001shape (OrthoPolyLine
     
    1913120010]
    1913220011)
    19133 start &377
    19134 end &394
     20012start &388
     20013end &405
    1913520014sat 32
    1913620015eat 32
     
    1915420033)
    1915520034)
    19156 on &393
    19157 )
    19158 *626 (Wire
     20035on &404
     20036)
     20037*666 (Wire
    1915920038uid 9311,0
    1916020039shape (OrthoPolyLine
     
    1916920048]
    1917020049)
    19171 start &376
    19172 end &396
     20050start &387
     20051end &407
    1917320052sat 32
    1917420053eat 32
     
    1919220071)
    1919320072)
    19194 on &395
    19195 )
    19196 *627 (Wire
     20073on &406
     20074)
     20075*667 (Wire
    1919720076uid 9325,0
    1919820077shape (OrthoPolyLine
     
    1920720086]
    1920820087)
    19209 start &375
    19210 end &398
     20088start &386
     20089end &409
    1921120090sat 32
    1921220091eat 32
     
    1923020109)
    1923120110)
    19232 on &397
    19233 )
    19234 *628 (Wire
     20111on &408
     20112)
     20113*668 (Wire
    1923520114uid 9353,0
    1923620115shape (OrthoPolyLine
     
    1924520124]
    1924620125)
    19247 start &374
    19248 end &400
     20126start &385
     20127end &411
    1924920128sat 32
    1925020129eat 32
     
    1926820147)
    1926920148)
    19270 on &399
    19271 )
    19272 *629 (Wire
     20149on &410
     20150)
     20151*669 (Wire
    1927320152uid 9367,0
    1927420153shape (OrthoPolyLine
     
    1928420163]
    1928520164)
    19286 start &373
    19287 end &402
     20165start &384
     20166end &413
    1928820167sat 32
    1928920168eat 32
     
    1930820187)
    1930920188)
    19310 on &401
    19311 )
    19312 *630 (Wire
     20189on &412
     20190)
     20191*670 (Wire
    1931320192uid 9382,0
    1931420193shape (OrthoPolyLine
     
    1932320202]
    1932420203)
    19325 start &372
    19326 end &404
     20204start &383
     20205end &415
    1932720206sat 32
    1932820207eat 32
     
    1934620225)
    1934720226)
    19348 on &403
    19349 )
    19350 *631 (Wire
     20227on &414
     20228)
     20229*671 (Wire
    1935120230uid 9397,0
    1935220231shape (OrthoPolyLine
     
    1936120240]
    1936220241)
    19363 start &371
    19364 end &406
     20242start &382
     20243end &417
    1936520244sat 32
    1936620245eat 32
     
    1938420263)
    1938520264)
    19386 on &405
    19387 )
    19388 *632 (Wire
     20265on &416
     20266)
     20267*672 (Wire
    1938920268uid 9503,0
    1939020269shape (OrthoPolyLine
     
    1940020279]
    1940120280)
    19402 start &620
    19403 end &421
     20281start &660
     20282end &432
    1940420283sat 32
    1940520284eat 32
     
    1942220301)
    1942320302)
    19424 on &387
    19425 )
    19426 *633 (Wire
     20303on &398
     20304)
     20305*673 (Wire
    1942720306uid 9509,0
    1942820307shape (OrthoPolyLine
     
    1943820317]
    1943920318)
    19440 start &622
    19441 end &408
     20319start &662
     20320end &419
    1944220321sat 32
    1944320322eat 32
     
    1946020339)
    1946120340)
    19462 on &388
    19463 )
    19464 *634 (Wire
     20341on &399
     20342)
     20343*674 (Wire
    1946520344uid 9517,0
    1946620345shape (OrthoPolyLine
     
    1947720356]
    1947820357)
    19479 start &410
    19480 end &433
     20358start &421
     20359end &444
    1948120360sat 32
    1948220361eat 32
     
    1950020379)
    1950120380)
    19502 on &436
    19503 )
    19504 *635 (Wire
     20381on &447
     20382)
     20383*675 (Wire
    1950520384uid 9539,0
    1950620385shape (OrthoPolyLine
     
    1951720396]
    1951820397)
    19519 start &423
    19520 end &434
     20398start &434
     20399end &445
    1952120400sat 32
    1952220401eat 32
     
    1954020419)
    1954120420)
    19542 on &435
    19543 )
    19544 *636 (Wire
     20421on &446
     20422)
     20423*676 (Wire
    1954520424uid 9673,0
    1954620425shape (OrthoPolyLine
     
    1955620435]
    1955720436)
    19558 start &540
    19559 end &438
     20437start &583
     20438end &449
    1956020439sat 32
    1956120440eat 32
     
    1957820457)
    1957920458)
    19580 on &170
    19581 )
    19582 *637 (Wire
     20459on &177
     20460)
     20461*677 (Wire
    1958320462uid 9741,0
    1958420463shape (OrthoPolyLine
     
    1959520474]
    1959620475)
    19597 start &598
    19598 end &451
     20476start &640
     20477end &462
    1959920478sat 32
    1960020479eat 32
     
    1961720496)
    1961820497)
    19619 on &171
    19620 )
    19621 *638 (Wire
     20498on &178
     20499)
     20500*678 (Wire
    1962220501uid 9747,0
    1962320502shape (OrthoPolyLine
     
    1963420513]
    1963520514)
    19636 start &542
    19637 end &464
     20515start &585
     20516end &475
    1963820517sat 32
    1963920518eat 32
     
    1965620535)
    1965720536)
    19658 on &168
    19659 )
    19660 *639 (Wire
     20537on &175
     20538)
     20539*679 (Wire
    1966120540uid 9755,0
    1966220541shape (OrthoPolyLine
     
    1967120550]
    1967220551)
    19673 start &440
    19674 end &476
     20552start &451
     20553end &487
    1967520554sat 32
    1967620555eat 32
     
    1969420573)
    1969520574)
    19696 on &477
    19697 )
    19698 *640 (Wire
     20575on &488
     20576)
     20577*680 (Wire
    1969920578uid 9771,0
    1970020579shape (OrthoPolyLine
     
    1970920588]
    1971020589)
    19711 start &453
    19712 end &478
     20590start &464
     20591end &489
    1971320592sat 32
    1971420593eat 32
     
    1973220611)
    1973320612)
    19734 on &479
    19735 )
    19736 *641 (Wire
     20613on &490
     20614)
     20615*681 (Wire
    1973720616uid 9787,0
    1973820617shape (OrthoPolyLine
     
    1974720626]
    1974820627)
    19749 start &466
    19750 end &480
     20628start &477
     20629end &491
    1975120630sat 32
    1975220631eat 32
     
    1977020649)
    1977120650)
    19772 on &481
    19773 )
    19774 *642 (Wire
     20651on &492
     20652)
     20653*682 (Wire
    1977520654uid 9943,0
    1977620655shape (OrthoPolyLine
     
    1977920658vasetType 3
    1978020659)
    19781 xt "148750,83000,154000,83000"
    19782 pts [
    19783 "148750,83000"
    19784 "154000,83000"
    19785 ]
    19786 )
    19787 start &101
     20660xt "149750,83000,155000,83000"
     20661pts [
     20662"149750,83000"
     20663"155000,83000"
     20664]
     20665)
     20666start &105
    1978820667sat 32
    1978920668eat 16
     
    1979920678va (VaSet
    1980020679)
    19801 xt "155000,83000,158300,84000"
     20680xt "156000,83000,159300,84000"
    1980220681st "ps_reset"
    19803 blo "155000,83800"
     20682blo "156000,83800"
    1980420683tm "WireNameMgr"
    1980520684)
    1980620685)
    19807 on &482
    19808 )
    19809 *643 (Wire
     20686on &493
     20687)
     20688*683 (Wire
    1981020689uid 9951,0
    1981120690shape (OrthoPolyLine
     
    1981420693vasetType 3
    1981520694)
    19816 xt "148750,85000,154000,85000"
    19817 pts [
    19818 "148750,85000"
    19819 "154000,85000"
    19820 ]
    19821 )
    19822 start &102
     20695xt "149750,85000,155000,85000"
     20696pts [
     20697"149750,85000"
     20698"155000,85000"
     20699]
     20700)
     20701start &106
    1982320702sat 32
    1982420703eat 16
     
    1983420713va (VaSet
    1983520714)
    19836 xt "155000,85000,160000,86000"
     20715xt "156000,85000,161000,86000"
    1983720716st "srclk_enable"
    19838 blo "155000,85800"
     20717blo "156000,85800"
    1983920718tm "WireNameMgr"
    1984020719)
    1984120720)
    19842 on &483
    19843 )
    19844 *644 (Wire
     20721on &494
     20722)
     20723*684 (Wire
    1984520724uid 10010,0
    1984620725shape (OrthoPolyLine
     
    1985720736]
    1985820737)
    19859 start &204
    19860 end &488
     20738start &211
     20739end &499
    1986120740sat 32
    1986220741eat 32
     
    1987820757)
    1987920758)
    19880 on &502
    19881 )
    19882 *645 (Wire
     20759on &513
     20760)
     20761*685 (Wire
    1988320762uid 10018,0
    1988420763shape (OrthoPolyLine
     
    1989320772]
    1989420773)
    19895 end &490
     20774end &501
    1989620775sat 16
    1989720776eat 32
     
    1991420793)
    1991520794)
    19916 on &483
    19917 )
    19918 *646 (Wire
     20795on &494
     20796)
     20797*686 (Wire
    1991920798uid 10036,0
    1992020799shape (OrthoPolyLine
     
    1992920808]
    1993020809)
    19931 end &383
     20810end &394
    1993220811sat 16
    1993320812eat 32
     
    1994820827)
    1994920828)
    19950 on &482
     20829on &493
     20830)
     20831*687 (Wire
     20832uid 10194,0
     20833shape (OrthoPolyLine
     20834uid 10195,0
     20835va (VaSet
     20836vasetType 3
     20837)
     20838xt "92750,85000,127250,110000"
     20839pts [
     20840"92750,110000"
     20841"125000,110000"
     20842"125000,85000"
     20843"127250,85000"
     20844]
     20845)
     20846start &237
     20847end &107
     20848ss 0
     20849es 0
     20850sat 32
     20851eat 32
     20852st 0
     20853sf 1
     20854si 0
     20855tg (WTG
     20856uid 10198,0
     20857ps "ConnStartEndStrategy"
     20858stg "STSignalDisplayStrategy"
     20859f (Text
     20860uid 10199,0
     20861va (VaSet
     20862)
     20863xt "94750,109000,100350,110000"
     20864st "config_rw_ack"
     20865blo "94750,109800"
     20866tm "WireNameMgr"
     20867)
     20868)
     20869on &514
     20870)
     20871*688 (Wire
     20872uid 10202,0
     20873shape (OrthoPolyLine
     20874uid 10203,0
     20875va (VaSet
     20876vasetType 3
     20877)
     20878xt "92750,86000,127250,111000"
     20879pts [
     20880"92750,111000"
     20881"126000,111000"
     20882"126000,86000"
     20883"127250,86000"
     20884]
     20885)
     20886start &238
     20887end &108
     20888sat 32
     20889eat 32
     20890st 0
     20891sf 1
     20892si 0
     20893tg (WTG
     20894uid 10206,0
     20895ps "ConnStartEndStrategy"
     20896stg "STSignalDisplayStrategy"
     20897f (Text
     20898uid 10207,0
     20899va (VaSet
     20900)
     20901xt "94750,110000,101050,111000"
     20902st "config_rw_ready"
     20903blo "94750,110800"
     20904tm "WireNameMgr"
     20905)
     20906)
     20907on &515
     20908)
     20909*689 (Wire
     20910uid 10266,0
     20911shape (OrthoPolyLine
     20912uid 10267,0
     20913va (VaSet
     20914vasetType 3
     20915)
     20916xt "121000,64000,127250,64000"
     20917pts [
     20918"127250,64000"
     20919"124000,64000"
     20920"121000,64000"
     20921]
     20922)
     20923start &91
     20924sat 32
     20925eat 16
     20926st 0
     20927sf 1
     20928si 0
     20929tg (WTG
     20930uid 10270,0
     20931ps "ConnStartEndStrategy"
     20932stg "STSignalDisplayStrategy"
     20933f (Text
     20934uid 10271,0
     20935va (VaSet
     20936)
     20937xt "122000,63000,125600,64000"
     20938st "s_trigger"
     20939blo "122000,63800"
     20940tm "WireNameMgr"
     20941)
     20942)
     20943on &516
     20944)
     20945*690 (Wire
     20946uid 10298,0
     20947shape (OrthoPolyLine
     20948uid 10299,0
     20949va (VaSet
     20950vasetType 3
     20951)
     20952xt "1750,54000,18250,54000"
     20953pts [
     20954"1750,54000"
     20955"18250,54000"
     20956]
     20957)
     20958start &216
     20959end &62
     20960sat 32
     20961eat 32
     20962st 0
     20963sf 1
     20964si 0
     20965tg (WTG
     20966uid 10300,0
     20967ps "ConnStartEndStrategy"
     20968stg "STSignalDisplayStrategy"
     20969f (Text
     20970uid 10301,0
     20971va (VaSet
     20972)
     20973xt "3750,53000,10950,54000"
     20974st "start_srin_write_8b"
     20975blo "3750,53800"
     20976tm "WireNameMgr"
     20977)
     20978)
     20979on &517
     20980)
     20981*691 (Wire
     20982uid 10304,0
     20983shape (OrthoPolyLine
     20984uid 10305,0
     20985va (VaSet
     20986vasetType 3
     20987)
     20988xt "1750,55000,18250,55000"
     20989pts [
     20990"1750,55000"
     20991"18250,55000"
     20992]
     20993)
     20994start &214
     20995end &63
     20996sat 32
     20997eat 32
     20998st 0
     20999sf 1
     21000si 0
     21001tg (WTG
     21002uid 10306,0
     21003ps "ConnStartEndStrategy"
     21004stg "STSignalDisplayStrategy"
     21005f (Text
     21006uid 10307,0
     21007va (VaSet
     21008)
     21009xt "3750,54000,9350,55000"
     21010st "srin_write_ack"
     21011blo "3750,54800"
     21012tm "WireNameMgr"
     21013)
     21014)
     21015on &518
     21016)
     21017*692 (Wire
     21018uid 10310,0
     21019shape (OrthoPolyLine
     21020uid 10311,0
     21021va (VaSet
     21022vasetType 3
     21023)
     21024xt "1750,56000,18250,56000"
     21025pts [
     21026"1750,56000"
     21027"18250,56000"
     21028]
     21029)
     21030start &215
     21031end &64
     21032sat 32
     21033eat 32
     21034st 0
     21035sf 1
     21036si 0
     21037tg (WTG
     21038uid 10312,0
     21039ps "ConnStartEndStrategy"
     21040stg "STSignalDisplayStrategy"
     21041f (Text
     21042uid 10313,0
     21043va (VaSet
     21044)
     21045xt "3750,55000,10050,56000"
     21046st "srin_write_ready"
     21047blo "3750,55800"
     21048tm "WireNameMgr"
     21049)
     21050)
     21051on &519
     21052)
     21053*693 (Wire
     21054uid 10316,0
     21055shape (OrthoPolyLine
     21056uid 10317,0
     21057va (VaSet
     21058vasetType 3
     21059lineWidth 2
     21060)
     21061xt "1750,57000,18250,57000"
     21062pts [
     21063"18250,57000"
     21064"1750,57000"
     21065]
     21066)
     21067start &61
     21068end &212
     21069sat 32
     21070eat 32
     21071sty 1
     21072st 0
     21073sf 1
     21074si 0
     21075tg (WTG
     21076uid 10318,0
     21077ps "ConnStartEndStrategy"
     21078stg "STSignalDisplayStrategy"
     21079f (Text
     21080uid 10319,0
     21081va (VaSet
     21082)
     21083xt "4000,56000,12000,57000"
     21084st "drs_srin_data : (7:0)"
     21085blo "4000,56800"
     21086tm "WireNameMgr"
     21087)
     21088)
     21089on &520
     21090)
     21091*694 (Wire
     21092uid 10322,0
     21093shape (OrthoPolyLine
     21094uid 10323,0
     21095va (VaSet
     21096vasetType 3
     21097)
     21098xt "-34000,57000,-18750,57000"
     21099pts [
     21100"-18750,57000"
     21101"-34000,57000"
     21102]
     21103)
     21104start &213
     21105end &522
     21106sat 32
     21107eat 32
     21108stc 0
     21109st 0
     21110sf 1
     21111si 0
     21112tg (WTG
     21113uid 10326,0
     21114ps "ConnStartEndStrategy"
     21115stg "STSignalDisplayStrategy"
     21116f (Text
     21117uid 10327,0
     21118va (VaSet
     21119isHidden 1
     21120)
     21121xt "-24000,56000,-20300,57000"
     21122st "SRIN_out"
     21123blo "-24000,56800"
     21124tm "WireNameMgr"
     21125)
     21126)
     21127on &521
     21128)
     21129*695 (Wire
     21130uid 10431,0
     21131shape (OrthoPolyLine
     21132uid 10432,0
     21133va (VaSet
     21134vasetType 3
     21135)
     21136xt "-34000,68000,-30000,68000"
     21137pts [
     21138"-34000,68000"
     21139"-30000,68000"
     21140]
     21141)
     21142end &529
     21143sat 16
     21144eat 32
     21145stc 0
     21146st 0
     21147sf 1
     21148si 0
     21149tg (WTG
     21150uid 10435,0
     21151ps "ConnStartEndStrategy"
     21152stg "STSignalDisplayStrategy"
     21153f (Text
     21154uid 10436,0
     21155va (VaSet
     21156)
     21157xt "-33000,67000,-29400,68000"
     21158st "s_trigger"
     21159blo "-33000,67800"
     21160tm "WireNameMgr"
     21161)
     21162)
     21163on &516
     21164)
     21165*696 (Wire
     21166uid 10439,0
     21167optionalChildren [
     21168*697 (BdJunction
     21169uid 10447,0
     21170ps "OnConnectorStrategy"
     21171shape (Circle
     21172uid 10448,0
     21173va (VaSet
     21174vasetType 1
     21175)
     21176xt "-21400,68600,-20600,69400"
     21177radius 400
     21178)
     21179)
     21180]
     21181shape (OrthoPolyLine
     21182uid 10440,0
     21183va (VaSet
     21184vasetType 3
     21185)
     21186xt "-24000,69000,18250,69000"
     21187pts [
     21188"18250,69000"
     21189"-24000,69000"
     21190]
     21191)
     21192start &30
     21193end &526
     21194sat 32
     21195eat 32
     21196st 0
     21197sf 1
     21198si 0
     21199tg (WTG
     21200uid 10441,0
     21201ps "ConnStartEndStrategy"
     21202stg "STSignalDisplayStrategy"
     21203f (Text
     21204uid 10442,0
     21205va (VaSet
     21206)
     21207xt "14250,68000,18850,69000"
     21208st "trigger_out"
     21209blo "14250,68800"
     21210tm "WireNameMgr"
     21211)
     21212)
     21213on &546
     21214)
     21215*698 (Wire
     21216uid 10443,0
     21217shape (OrthoPolyLine
     21218uid 10444,0
     21219va (VaSet
     21220vasetType 3
     21221)
     21222xt "-21000,64000,-18750,69000"
     21223pts [
     21224"-18750,64000"
     21225"-21000,64000"
     21226"-21000,69000"
     21227]
     21228)
     21229start &115
     21230end &697
     21231sat 32
     21232eat 32
     21233stc 0
     21234st 0
     21235sf 1
     21236si 0
     21237tg (WTG
     21238uid 10445,0
     21239ps "ConnStartEndStrategy"
     21240stg "STSignalDisplayStrategy"
     21241f (Text
     21242uid 10446,0
     21243va (VaSet
     21244)
     21245xt "-20000,68000,-15400,69000"
     21246st "trigger_out"
     21247blo "-20000,68800"
     21248tm "WireNameMgr"
     21249)
     21250)
     21251on &546
     21252)
     21253*699 (Wire
     21254uid 10467,0
     21255shape (OrthoPolyLine
     21256uid 10468,0
     21257va (VaSet
     21258vasetType 3
     21259)
     21260xt "40750,66000,71250,73000"
     21261pts [
     21262"71250,73000"
     21263"64000,73000"
     21264"64000,66000"
     21265"40750,66000"
     21266]
     21267)
     21268start &141
     21269end &65
     21270es 0
     21271sat 32
     21272eat 32
     21273st 0
     21274sf 1
     21275si 0
     21276tg (WTG
     21277uid 10471,0
     21278ps "ConnStartEndStrategy"
     21279stg "STSignalDisplayStrategy"
     21280f (Text
     21281uid 10472,0
     21282va (VaSet
     21283)
     21284xt "42000,65000,49800,66000"
     21285st "ram_write_ready_ack"
     21286blo "42000,65800"
     21287tm "WireNameMgr"
     21288)
     21289)
     21290on &547
    1995121291)
    1995221292]
     
    1996221302color "26368,26368,26368"
    1996321303)
    19964 packageList *647 (PackageList
     21304packageList *700 (PackageList
    1996521305uid 41,0
    1996621306stg "VerticalLayoutStrategy"
    1996721307textVec [
    19968 *648 (Text
     21308*701 (Text
    1996921309uid 42,0
    1997021310va (VaSet
     
    1997521315blo "-87000,1800"
    1997621316)
    19977 *649 (MLText
     21317*702 (MLText
    1997821318uid 43,0
    1997921319va (VaSet
     
    2000021340stg "VerticalLayoutStrategy"
    2000121341textVec [
    20002 *650 (Text
     21342*703 (Text
    2000321343uid 45,0
    2000421344va (VaSet
     
    2001021350blo "20000,800"
    2001121351)
    20012 *651 (Text
     21352*704 (Text
    2001321353uid 46,0
    2001421354va (VaSet
     
    2002021360blo "20000,1800"
    2002121361)
    20022 *652 (MLText
     21362*705 (MLText
    2002321363uid 47,0
    2002421364va (VaSet
     
    2003021370tm "BdCompilerDirectivesTextMgr"
    2003121371)
    20032 *653 (Text
     21372*706 (Text
    2003321373uid 48,0
    2003421374va (VaSet
     
    2004021380blo "20000,4800"
    2004121381)
    20042 *654 (MLText
     21382*707 (MLText
    2004321383uid 49,0
    2004421384va (VaSet
     
    2004821388tm "BdCompilerDirectivesTextMgr"
    2004921389)
    20050 *655 (Text
     21390*708 (Text
    2005121391uid 50,0
    2005221392va (VaSet
     
    2005821398blo "20000,5800"
    2005921399)
    20060 *656 (MLText
     21400*709 (MLText
    2006121401uid 51,0
    2006221402va (VaSet
     
    2007021410)
    2007121411windowSize "1280,0,2561,1024"
    20072 viewArea "-71804,-21787,15728,50400"
    20073 cachedDiagramExtent "-105000,-60500,162300,343294"
     21412viewArea "1300,44100,167081,180819"
     21413cachedDiagramExtent "-105000,-60500,163300,343294"
    2007421414pageSetupInfo (PageSetupInfo
    2007521415ptrCmd "eDocPrintPro,winspool,"
     
    2009621436hasePageBreakOrigin 1
    2009721437pageBreakOrigin "-73000,0"
    20098 lastUid 10041,0
     21438lastUid 10547,0
    2009921439defaultCommentText (CommentText
    2010021440shape (Rectangle
     
    2015821498stg "VerticalLayoutStrategy"
    2015921499textVec [
    20160 *657 (Text
     21500*710 (Text
    2016121501va (VaSet
    2016221502font "Arial,8,1"
     
    2016721507tm "BdLibraryNameMgr"
    2016821508)
    20169 *658 (Text
     21509*711 (Text
    2017021510va (VaSet
    2017121511font "Arial,8,1"
     
    2017621516tm "BlkNameMgr"
    2017721517)
    20178 *659 (Text
     21518*712 (Text
    2017921519va (VaSet
    2018021520font "Arial,8,1"
     
    2022721567stg "VerticalLayoutStrategy"
    2022821568textVec [
    20229 *660 (Text
     21569*713 (Text
    2023021570va (VaSet
    2023121571font "Arial,8,1"
     
    2023521575blo "550,4300"
    2023621576)
    20237 *661 (Text
     21577*714 (Text
    2023821578va (VaSet
    2023921579font "Arial,8,1"
     
    2024321583blo "550,5300"
    2024421584)
    20245 *662 (Text
     21585*715 (Text
    2024621586va (VaSet
    2024721587font "Arial,8,1"
     
    2029221632stg "VerticalLayoutStrategy"
    2029321633textVec [
    20294 *663 (Text
     21634*716 (Text
    2029521635va (VaSet
    2029621636font "Arial,8,1"
     
    2030121641tm "BdLibraryNameMgr"
    2030221642)
    20303 *664 (Text
     21643*717 (Text
    2030421644va (VaSet
    2030521645font "Arial,8,1"
     
    2031021650tm "CptNameMgr"
    2031121651)
    20312 *665 (Text
     21652*718 (Text
    2031321653va (VaSet
    2031421654font "Arial,8,1"
     
    2036421704stg "VerticalLayoutStrategy"
    2036521705textVec [
    20366 *666 (Text
     21706*719 (Text
    2036721707va (VaSet
    2036821708font "Arial,8,1"
     
    2037221712blo "500,4300"
    2037321713)
    20374 *667 (Text
     21714*720 (Text
    2037521715va (VaSet
    2037621716font "Arial,8,1"
     
    2038021720blo "500,5300"
    2038121721)
    20382 *668 (Text
     21722*721 (Text
    2038321723va (VaSet
    2038421724font "Arial,8,1"
     
    2042521765stg "VerticalLayoutStrategy"
    2042621766textVec [
    20427 *669 (Text
     21767*722 (Text
    2042821768va (VaSet
    2042921769font "Arial,8,1"
     
    2043321773blo "50,4300"
    2043421774)
    20435 *670 (Text
     21775*723 (Text
    2043621776va (VaSet
    2043721777font "Arial,8,1"
     
    2044121781blo "50,5300"
    2044221782)
    20443 *671 (Text
     21783*724 (Text
    2044421784va (VaSet
    2044521785font "Arial,8,1"
     
    2048221822stg "VerticalLayoutStrategy"
    2048321823textVec [
    20484 *672 (Text
     21824*725 (Text
    2048521825va (VaSet
    2048621826font "Arial,8,1"
     
    2049121831tm "HdlTextNameMgr"
    2049221832)
    20493 *673 (Text
     21833*726 (Text
    2049421834va (VaSet
    2049521835font "Arial,8,1"
     
    2089422234stg "VerticalLayoutStrategy"
    2089522235textVec [
    20896 *674 (Text
     22236*727 (Text
    2089722237va (VaSet
    2089822238font "Arial,8,1"
     
    2090222242blo "14100,20800"
    2090322243)
    20904 *675 (MLText
     22244*728 (MLText
    2090522245va (VaSet
    2090622246)
     
    2095422294stg "VerticalLayoutStrategy"
    2095522295textVec [
    20956 *676 (Text
     22296*729 (Text
    2095722297va (VaSet
    2095822298font "Arial,8,1"
     
    2096222302blo "14100,20800"
    2096322303)
    20964 *677 (MLText
     22304*730 (MLText
    2096522305va (VaSet
    2096622306)
     
    2106122401font "Arial,8,1"
    2106222402)
    21063 xt "-105000,55800,-101200,56800"
     22403xt "-105000,56600,-101200,57600"
    2106422404st "Pre User:"
    21065 blo "-105000,56600"
     22405blo "-105000,57400"
    2106622406)
    2106722407preUserText (MLText
     
    2107822418font "Arial,8,1"
    2107922419)
    21080 xt "-105000,56800,-97900,57800"
     22420xt "-105000,57600,-97900,58600"
    2108122421st "Diagram Signals:"
    21082 blo "-105000,57600"
     22422blo "-105000,58400"
    2108322423)
    2108422424postUserLabel (Text
     
    2108722427font "Arial,8,1"
    2108822428)
    21089 xt "-105000,105800,-100300,106800"
     22429xt "-105000,115400,-100300,116400"
    2109022430st "Post User:"
    21091 blo "-105000,106600"
     22431blo "-105000,116200"
    2109222432)
    2109322433postUserText (MLText
     
    2110222442commonDM (CommonDM
    2110322443ldm (LogicalDM
    21104 suid 226,0
     22444suid 242,0
    2110522445usingSuid 1
    21106 emptyRow *678 (LEmptyRow
     22446emptyRow *731 (LEmptyRow
    2110722447)
    2110822448uid 54,0
    2110922449optionalChildren [
    21110 *679 (RefLabelRowHdr
    21111 )
    21112 *680 (TitleRowHdr
    21113 )
    21114 *681 (FilterRowHdr
    21115 )
    21116 *682 (RefLabelColHdr
     22450*732 (RefLabelRowHdr
     22451)
     22452*733 (TitleRowHdr
     22453)
     22454*734 (FilterRowHdr
     22455)
     22456*735 (RefLabelColHdr
    2111722457tm "RefLabelColHdrMgr"
    2111822458)
    21119 *683 (RowExpandColHdr
     22459*736 (RowExpandColHdr
    2112022460tm "RowExpandColHdrMgr"
    2112122461)
    21122 *684 (GroupColHdr
     22462*737 (GroupColHdr
    2112322463tm "GroupColHdrMgr"
    2112422464)
    21125 *685 (NameColHdr
     22465*738 (NameColHdr
    2112622466tm "BlockDiagramNameColHdrMgr"
    2112722467)
    21128 *686 (ModeColHdr
     22468*739 (ModeColHdr
    2112922469tm "BlockDiagramModeColHdrMgr"
    2113022470)
    21131 *687 (TypeColHdr
     22471*740 (TypeColHdr
    2113222472tm "BlockDiagramTypeColHdrMgr"
    2113322473)
    21134 *688 (BoundsColHdr
     22474*741 (BoundsColHdr
    2113522475tm "BlockDiagramBoundsColHdrMgr"
    2113622476)
    21137 *689 (InitColHdr
     22477*742 (InitColHdr
    2113822478tm "BlockDiagramInitColHdrMgr"
    2113922479)
    21140 *690 (EolColHdr
     22480*743 (EolColHdr
    2114122481tm "BlockDiagramEolColHdrMgr"
    2114222482)
    21143 *691 (LeafLogPort
     22483*744 (LeafLogPort
    2114422484port (LogicalPort
    2114522485m 4
     
    2115522495uid 516,0
    2115622496)
    21157 *692 (LeafLogPort
     22497*745 (LeafLogPort
    2115822498port (LogicalPort
    2115922499m 4
     
    2116822508uid 518,0
    2116922509)
    21170 *693 (LeafLogPort
     22510*746 (LeafLogPort
    2117122511port (LogicalPort
    2117222512m 4
     
    2118122521uid 520,0
    2118222522)
    21183 *694 (LeafLogPort
     22523*747 (LeafLogPort
    2118422524port (LogicalPort
    2118522525m 4
     
    2119422534uid 530,0
    2119522535)
    21196 *695 (LeafLogPort
     22536*748 (LeafLogPort
    2119722537port (LogicalPort
    2119822538m 4
     
    2120722547uid 532,0
    2120822548)
    21209 *696 (LeafLogPort
     22549*749 (LeafLogPort
    2121022550port (LogicalPort
    2121122551m 1
     
    2122022560uid 534,0
    2122122561)
    21222 *697 (LeafLogPort
     22562*750 (LeafLogPort
    2122322563port (LogicalPort
    2122422564m 1
     
    2123322573uid 536,0
    2123422574)
    21235 *698 (LeafLogPort
     22575*751 (LeafLogPort
    2123622576port (LogicalPort
    2123722577m 2
     
    2124622586uid 538,0
    2124722587)
    21248 *699 (LeafLogPort
     22588*752 (LeafLogPort
    2124922589port (LogicalPort
    2125022590m 1
     
    2125922599uid 540,0
    2126022600)
    21261 *700 (LeafLogPort
     22601*753 (LeafLogPort
    2126222602port (LogicalPort
    2126322603m 1
     
    2127222612uid 542,0
    2127322613)
    21274 *701 (LeafLogPort
     22614*754 (LeafLogPort
    2127522615port (LogicalPort
    2127622616m 1
     
    2128522625uid 546,0
    2128622626)
    21287 *702 (LeafLogPort
     22627*755 (LeafLogPort
    2128822628port (LogicalPort
    2128922629decl (Decl
     
    2129622636uid 548,0
    2129722637)
    21298 *703 (LeafLogPort
     22638*756 (LeafLogPort
    2129922639port (LogicalPort
    2130022640decl (Decl
     
    2131022650uid 1455,0
    2131122651)
    21312 *704 (LeafLogPort
     22652*757 (LeafLogPort
    2131322653port (LogicalPort
    2131422654decl (Decl
     
    2132322663uid 1457,0
    2132422664)
    21325 *705 (LeafLogPort
     22665*758 (LeafLogPort
    2132622666port (LogicalPort
    2132722667decl (Decl
     
    2133522675uid 1694,0
    2133622676)
    21337 *706 (LeafLogPort
     22677*759 (LeafLogPort
    2133822678port (LogicalPort
    2133922679lang 2
     
    2135122691uid 1993,0
    2135222692)
    21353 *707 (LeafLogPort
     22693*760 (LeafLogPort
    2135422694port (LogicalPort
    2135522695m 4
     
    2136622706uid 2305,0
    2136722707)
    21368 *708 (LeafLogPort
     22708*761 (LeafLogPort
    2136922709port (LogicalPort
    2137022710lang 2
     
    2137922719uid 2510,0
    2138022720)
    21381 *709 (LeafLogPort
     22721*762 (LeafLogPort
    2138222722port (LogicalPort
    2138322723lang 2
     
    2139322733uid 2512,0
    2139422734)
    21395 *710 (LeafLogPort
     22735*763 (LeafLogPort
    2139622736port (LogicalPort
    2139722737lang 2
     
    2140822748uid 2514,0
    2140922749)
    21410 *711 (LeafLogPort
     22750*764 (LeafLogPort
    2141122751port (LogicalPort
    2141222752lang 2
     
    2142422764uid 2516,0
    2142522765)
    21426 *712 (LeafLogPort
     22766*765 (LeafLogPort
    2142722767port (LogicalPort
    2142822768lang 2
     
    2143922779uid 2518,0
    2144022780)
    21441 *713 (LeafLogPort
     22781*766 (LeafLogPort
    2144222782port (LogicalPort
    2144322783lang 2
     
    2145322793uid 2520,0
    2145422794)
    21455 *714 (LeafLogPort
     22795*767 (LeafLogPort
    2145622796port (LogicalPort
    2145722797lang 2
     
    2146722807uid 2522,0
    2146822808)
    21469 *715 (LeafLogPort
     22809*768 (LeafLogPort
    2147022810port (LogicalPort
    2147122811m 4
     
    2147922819uid 2604,0
    2148022820)
    21481 *716 (LeafLogPort
     22821*769 (LeafLogPort
    2148222822port (LogicalPort
    2148322823m 4
     
    2149222832uid 2606,0
    2149322833)
    21494 *717 (LeafLogPort
     22834*770 (LeafLogPort
    2149522835port (LogicalPort
    2149622836m 4
     
    2150522845uid 2608,0
    2150622846)
    21507 *718 (LeafLogPort
     22847*771 (LeafLogPort
    2150822848port (LogicalPort
    2150922849m 4
     
    2151722857uid 2610,0
    2151822858)
    21519 *719 (LeafLogPort
     22859*772 (LeafLogPort
    2152022860port (LogicalPort
    2152122861m 4
     
    2152922869uid 2612,0
    2153022870)
    21531 *720 (LeafLogPort
     22871*773 (LeafLogPort
    2153222872port (LogicalPort
    2153322873m 4
     
    2154222882uid 2646,0
    2154322883)
    21544 *721 (LeafLogPort
     22884*774 (LeafLogPort
    2154522885port (LogicalPort
    2154622886m 1
     
    2155522895uid 2812,0
    2155622896)
    21557 *722 (LeafLogPort
     22897*775 (LeafLogPort
    2155822898port (LogicalPort
    2155922899m 4
     
    2156722907uid 2962,0
    2156822908)
    21569 *723 (LeafLogPort
     22909*776 (LeafLogPort
    2157022910port (LogicalPort
    2157122911m 1
     
    2157922919uid 3902,0
    2158022920)
    21581 *724 (LeafLogPort
     22921*777 (LeafLogPort
    2158222922port (LogicalPort
    2158322923m 1
     
    2159122931uid 4070,0
    2159222932)
    21593 *725 (LeafLogPort
     22933*778 (LeafLogPort
    2159422934port (LogicalPort
    2159522935m 4
     
    2160322943uid 4212,0
    2160422944)
    21605 *726 (LeafLogPort
     22945*779 (LeafLogPort
    2160622946port (LogicalPort
    2160722947decl (Decl
     
    2161422954uid 4234,0
    2161522955)
    21616 *727 (LeafLogPort
     22956*780 (LeafLogPort
    2161722957port (LogicalPort
    2161822958decl (Decl
     
    2162622966uid 4262,0
    2162722967)
    21628 *728 (LeafLogPort
     22968*781 (LeafLogPort
    2162922969port (LogicalPort
    2163022970decl (Decl
     
    2163722977uid 4276,0
    2163822978)
    21639 *729 (LeafLogPort
     22979*782 (LeafLogPort
    2164022980port (LogicalPort
    2164122981m 4
     
    2165022990uid 4563,0
    2165122991)
    21652 *730 (LeafLogPort
     22992*783 (LeafLogPort
    2165322993port (LogicalPort
    2165422994m 4
     
    2166223002uid 4565,0
    2166323003)
    21664 *731 (LeafLogPort
     23004*784 (LeafLogPort
    2166523005port (LogicalPort
    2166623006m 4
     
    2167523015uid 4569,0
    2167623016)
    21677 *732 (LeafLogPort
     23017*785 (LeafLogPort
    2167823018port (LogicalPort
    2167923019m 1
     
    2168923029uid 4585,0
    2169023030)
    21691 *733 (LeafLogPort
     23031*786 (LeafLogPort
    2169223032port (LogicalPort
    2169323033m 1
     
    2170223042uid 4587,0
    2170323043)
    21704 *734 (LeafLogPort
     23044*787 (LeafLogPort
    2170523045port (LogicalPort
    2170623046decl (Decl
     
    2171323053uid 4733,0
    2171423054)
    21715 *735 (LeafLogPort
     23055*788 (LeafLogPort
    2171623056port (LogicalPort
    2171723057decl (Decl
     
    2172423064uid 4735,0
    2172523065)
    21726 *736 (LeafLogPort
     23066*789 (LeafLogPort
    2172723067port (LogicalPort
    2172823068decl (Decl
     
    2173523075uid 4737,0
    2173623076)
    21737 *737 (LeafLogPort
     23077*790 (LeafLogPort
    2173823078port (LogicalPort
    2173923079decl (Decl
     
    2174623086uid 4739,0
    2174723087)
    21748 *738 (LeafLogPort
     23088*791 (LeafLogPort
    2174923089port (LogicalPort
    2175023090m 4
     
    2175823098uid 4749,0
    2175923099)
    21760 *739 (LeafLogPort
     23100*792 (LeafLogPort
    2176123101port (LogicalPort
    2176223102m 1
     
    2177123111uid 4974,0
    2177223112)
    21773 *740 (LeafLogPort
     23113*793 (LeafLogPort
    2177423114port (LogicalPort
    2177523115m 1
     
    2178423124uid 4976,0
    2178523125)
    21786 *741 (LeafLogPort
     23126*794 (LeafLogPort
    2178723127port (LogicalPort
    2178823128m 4
     
    2179723137uid 5198,0
    2179823138)
    21799 *742 (LeafLogPort
     23139*795 (LeafLogPort
    2180023140port (LogicalPort
    2180123141m 4
     
    2180923149uid 5200,0
    2181023150)
    21811 *743 (LeafLogPort
     23151*796 (LeafLogPort
    2181223152port (LogicalPort
    2181323153m 4
     
    2182123161uid 5202,0
    2182223162)
    21823 *744 (LeafLogPort
     23163*797 (LeafLogPort
    2182423164port (LogicalPort
    2182523165m 4
     
    2183423174uid 5204,0
    2183523175)
    21836 *745 (LeafLogPort
     23176*798 (LeafLogPort
    2183723177port (LogicalPort
    2183823178m 4
     
    2184623186uid 5206,0
    2184723187)
    21848 *746 (LeafLogPort
     23188*799 (LeafLogPort
    2184923189port (LogicalPort
    2185023190m 4
     
    2185823198uid 5208,0
    2185923199)
    21860 *747 (LeafLogPort
     23200*800 (LeafLogPort
    2186123201port (LogicalPort
    2186223202m 4
     
    2187023210uid 5210,0
    2187123211)
    21872 *748 (LeafLogPort
     23212*801 (LeafLogPort
    2187323213port (LogicalPort
    2187423214m 4
     
    2188223222uid 5212,0
    2188323223)
    21884 *749 (LeafLogPort
     23224*802 (LeafLogPort
    2188523225port (LogicalPort
    2188623226m 4
     
    2189423234uid 5214,0
    2189523235)
    21896 *750 (LeafLogPort
     23236*803 (LeafLogPort
    2189723237port (LogicalPort
    2189823238m 1
     
    2190923249uid 5226,0
    2191023250)
    21911 *751 (LeafLogPort
     23251*804 (LeafLogPort
    2191223252port (LogicalPort
    2191323253m 4
    2191423254decl (Decl
    21915 n "s_trigger"
    21916 t "std_logic"
    21917 o 69
    21918 suid 134,0
    21919 i "'0'"
    21920 )
    21921 )
    21922 uid 5285,0
    21923 )
    21924 *752 (LeafLogPort
    21925 port (LogicalPort
    21926 m 4
    21927 decl (Decl
    2192823255n "sensor_ready"
    2192923256t "std_logic"
     
    2193423261uid 5502,0
    2193523262)
    21936 *753 (LeafLogPort
     23263*805 (LeafLogPort
    2193723264port (LogicalPort
    2193823265m 4
     
    2194623273uid 5504,0
    2194723274)
    21948 *754 (LeafLogPort
     23275*806 (LeafLogPort
    2194923276port (LogicalPort
    2195023277m 4
     
    2195823285uid 5600,0
    2195923286)
    21960 *755 (LeafLogPort
     23287*807 (LeafLogPort
    2196123288port (LogicalPort
    2196223289lang 10
     
    2197223299uid 5642,0
    2197323300)
    21974 *756 (LeafLogPort
     23301*808 (LeafLogPort
    2197523302port (LogicalPort
    2197623303m 4
     
    2198423311uid 5644,0
    2198523312)
    21986 *757 (LeafLogPort
     23313*809 (LeafLogPort
    2198723314port (LogicalPort
    2198823315m 4
     
    2199723324uid 5751,0
    2199823325)
    21999 *758 (LeafLogPort
     23326*810 (LeafLogPort
    2200023327port (LogicalPort
    2200123328m 1
     
    2200923336uid 5867,0
    2201023337)
    22011 *759 (LeafLogPort
     23338*811 (LeafLogPort
    2201223339port (LogicalPort
    2201323340m 2
     
    2202323350uid 5869,0
    2202423351)
    22025 *760 (LeafLogPort
     23352*812 (LeafLogPort
    2202623353port (LogicalPort
    2202723354m 1
     
    2203523362uid 5871,0
    2203623363)
    22037 *761 (LeafLogPort
     23364*813 (LeafLogPort
    2203823365port (LogicalPort
    2203923366m 1
     
    2204823375uid 5873,0
    2204923376)
    22050 *762 (LeafLogPort
     23377*814 (LeafLogPort
    2205123378port (LogicalPort
    2205223379m 4
     
    2206123388uid 5966,0
    2206223389)
    22063 *763 (LeafLogPort
     23390*815 (LeafLogPort
    2206423391port (LogicalPort
    2206523392m 4
     
    2207323400uid 5968,0
    2207423401)
    22075 *764 (LeafLogPort
     23402*816 (LeafLogPort
    2207623403port (LogicalPort
    2207723404m 4
     
    2208623413uid 6022,0
    2208723414)
    22088 *765 (LeafLogPort
     23415*817 (LeafLogPort
    2208923416port (LogicalPort
    2209023417m 4
     
    2209923426uid 6024,0
    2210023427)
    22101 *766 (LeafLogPort
     23428*818 (LeafLogPort
    2210223429port (LogicalPort
    2210323430m 4
     
    2211123438uid 6026,0
    2211223439)
    22113 *767 (LeafLogPort
     23440*819 (LeafLogPort
    2211423441port (LogicalPort
    2211523442m 1
     
    2212423451uid 6172,0
    2212523452)
    22126 *768 (LeafLogPort
     23453*820 (LeafLogPort
    2212723454port (LogicalPort
    2212823455m 1
     
    2213923466uid 6374,0
    2214023467)
    22141 *769 (LeafLogPort
     23468*821 (LeafLogPort
    2214223469port (LogicalPort
    2214323470m 4
     
    2215223479uid 6464,0
    2215323480)
    22154 *770 (LeafLogPort
     23481*822 (LeafLogPort
    2215523482port (LogicalPort
    2215623483m 4
     
    2216523492uid 6554,0
    2216623493)
    22167 *771 (LeafLogPort
     23494*823 (LeafLogPort
    2216823495port (LogicalPort
    2216923496lang 2
     
    2217823505uid 8420,0
    2217923506)
    22180 *772 (LeafLogPort
     23507*824 (LeafLogPort
    2218123508port (LogicalPort
    2218223509m 4
     
    2219223519uid 8609,0
    2219323520)
    22194 *773 (LeafLogPort
     23521*825 (LeafLogPort
    2219523522port (LogicalPort
    2219623523m 4
     
    2220423531uid 8611,0
    2220523532)
    22206 *774 (LeafLogPort
     23533*826 (LeafLogPort
    2220723534port (LogicalPort
    2220823535m 4
     
    2221823545uid 8613,0
    2221923546)
    22220 *775 (LeafLogPort
     23547*827 (LeafLogPort
    2222123548port (LogicalPort
    2222223549m 4
     
    2223023557uid 8758,0
    2223123558)
    22232 *776 (LeafLogPort
     23559*828 (LeafLogPort
    2223323560port (LogicalPort
    2223423561m 4
     
    2224223569uid 8760,0
    2224323570)
    22244 *777 (LeafLogPort
     23571*829 (LeafLogPort
    2224523572port (LogicalPort
    2224623573m 1
     
    2225523582uid 9018,0
    2225623583)
    22257 *778 (LeafLogPort
     23584*830 (LeafLogPort
    2225823585port (LogicalPort
    2225923586m 4
     
    2227023597uid 9247,0
    2227123598)
    22272 *779 (LeafLogPort
     23599*831 (LeafLogPort
    2227323600port (LogicalPort
    2227423601m 4
     
    2228623613uid 9249,0
    2228723614)
    22288 *780 (LeafLogPort
     23615*832 (LeafLogPort
    2228923616port (LogicalPort
    2229023617m 1
     
    2229823625uid 9555,0
    2229923626)
    22300 *781 (LeafLogPort
     23627*833 (LeafLogPort
    2230123628port (LogicalPort
    2230223629m 1
     
    2231023637uid 9557,0
    2231123638)
    22312 *782 (LeafLogPort
     23639*834 (LeafLogPort
    2231323640port (LogicalPort
    2231423641m 1
     
    2232223649uid 9559,0
    2232323650)
    22324 *783 (LeafLogPort
     23651*835 (LeafLogPort
    2232523652port (LogicalPort
    2232623653m 1
     
    2233423661uid 9561,0
    2233523662)
    22336 *784 (LeafLogPort
     23663*836 (LeafLogPort
    2233723664port (LogicalPort
    2233823665m 1
     
    2234623673uid 9563,0
    2234723674)
    22348 *785 (LeafLogPort
     23675*837 (LeafLogPort
    2234923676port (LogicalPort
    2235023677m 1
     
    2236023687uid 9567,0
    2236123688)
    22362 *786 (LeafLogPort
     23689*838 (LeafLogPort
    2236323690port (LogicalPort
    2236423691m 1
     
    2237623703uid 9569,0
    2237723704)
    22378 *787 (LeafLogPort
     23705*839 (LeafLogPort
    2237923706port (LogicalPort
    2238023707m 1
     
    2239223719uid 9571,0
    2239323720)
    22394 *788 (LeafLogPort
     23721*840 (LeafLogPort
    2239523722port (LogicalPort
    2239623723m 1
     
    2240723734uid 9573,0
    2240823735)
    22409 *789 (LeafLogPort
     23736*841 (LeafLogPort
    2241023737port (LogicalPort
    2241123738m 1
     
    2241923746uid 9575,0
    2242023747)
    22421 *790 (LeafLogPort
     23748*842 (LeafLogPort
    2242223749port (LogicalPort
    2242323750m 1
     
    2243123758uid 9577,0
    2243223759)
    22433 *791 (LeafLogPort
     23760*843 (LeafLogPort
    2243423761port (LogicalPort
    2243523762m 1
     
    2244323770uid 9801,0
    2244423771)
    22445 *792 (LeafLogPort
     23772*844 (LeafLogPort
    2244623773port (LogicalPort
    2244723774m 1
     
    2245523782uid 9803,0
    2245623783)
    22457 *793 (LeafLogPort
     23784*845 (LeafLogPort
    2245823785port (LogicalPort
    2245923786m 1
     
    2246723794uid 9805,0
    2246823795)
    22469 *794 (LeafLogPort
     23796*846 (LeafLogPort
    2247023797port (LogicalPort
    2247123798m 4
     
    2248223809uid 10024,0
    2248323810)
    22484 *795 (LeafLogPort
     23811*847 (LeafLogPort
    2248523812port (LogicalPort
    2248623813m 4
     
    2249523822uid 10026,0
    2249623823)
    22497 *796 (LeafLogPort
     23824*848 (LeafLogPort
    2249823825port (LogicalPort
    2249923826m 4
     
    2250723834)
    2250823835uid 10028,0
     23836)
     23837*849 (LeafLogPort
     23838port (LogicalPort
     23839m 4
     23840decl (Decl
     23841n "config_rw_ack"
     23842t "std_logic"
     23843prec "-- --"
     23844preAdd 0
     23845posAdd 0
     23846o 107
     23847suid 227,0
     23848i "'0'"
     23849)
     23850)
     23851uid 10208,0
     23852)
     23853*850 (LeafLogPort
     23854port (LogicalPort
     23855m 4
     23856decl (Decl
     23857n "config_rw_ready"
     23858t "std_logic"
     23859prec "-- --"
     23860preAdd 0
     23861posAdd 0
     23862o 108
     23863suid 228,0
     23864i "'0'"
     23865)
     23866)
     23867uid 10210,0
     23868)
     23869*851 (LeafLogPort
     23870port (LogicalPort
     23871m 4
     23872decl (Decl
     23873n "s_trigger"
     23874t "std_logic"
     23875o 109
     23876suid 230,0
     23877)
     23878)
     23879uid 10294,0
     23880)
     23881*852 (LeafLogPort
     23882port (LogicalPort
     23883m 4
     23884decl (Decl
     23885n "start_srin_write_8b"
     23886t "std_logic"
     23887o 110
     23888suid 231,0
     23889)
     23890)
     23891uid 10334,0
     23892)
     23893*853 (LeafLogPort
     23894port (LogicalPort
     23895m 4
     23896decl (Decl
     23897n "srin_write_ack"
     23898t "std_logic"
     23899o 111
     23900suid 232,0
     23901i "'0'"
     23902)
     23903)
     23904uid 10336,0
     23905)
     23906*854 (LeafLogPort
     23907port (LogicalPort
     23908m 4
     23909decl (Decl
     23910n "srin_write_ready"
     23911t "std_logic"
     23912o 112
     23913suid 233,0
     23914i "'0'"
     23915)
     23916)
     23917uid 10338,0
     23918)
     23919*855 (LeafLogPort
     23920port (LogicalPort
     23921m 4
     23922decl (Decl
     23923n "drs_srin_data"
     23924t "std_logic_vector"
     23925b "(7 downto 0)"
     23926o 113
     23927suid 234,0
     23928i "(others => '0')"
     23929)
     23930)
     23931uid 10340,0
     23932)
     23933*856 (LeafLogPort
     23934port (LogicalPort
     23935m 1
     23936decl (Decl
     23937n "SRIN_out"
     23938t "std_logic"
     23939o 114
     23940suid 235,0
     23941i "'0'"
     23942)
     23943)
     23944uid 10342,0
     23945)
     23946*857 (LeafLogPort
     23947port (LogicalPort
     23948m 4
     23949decl (Decl
     23950n "trigger_out"
     23951t "std_logic"
     23952preAdd 0
     23953posAdd 0
     23954o 115
     23955suid 240,0
     23956)
     23957)
     23958uid 10473,0
     23959)
     23960*858 (LeafLogPort
     23961port (LogicalPort
     23962lang 2
     23963m 4
     23964decl (Decl
     23965n "ram_write_ready_ack"
     23966t "std_logic"
     23967prec "-- --"
     23968preAdd 0
     23969posAdd 0
     23970o 115
     23971suid 242,0
     23972i "'0'"
     23973)
     23974)
     23975uid 10475,0
    2250923976)
    2251023977]
     
    2251523982uid 67,0
    2251623983optionalChildren [
    22517 *797 (Sheet
     23984*859 (Sheet
    2251823985sheetRow (SheetRow
    2251923986headerVa (MVa
     
    2253223999font "Tahoma,10,0"
    2253324000)
    22534 emptyMRCItem *798 (MRCItem
    22535 litem &678
    22536 pos 106
     24001emptyMRCItem *860 (MRCItem
     24002litem &731
     24003pos 115
    2253724004dimension 20
    2253824005)
    2253924006uid 69,0
    2254024007optionalChildren [
    22541 *799 (MRCItem
    22542 litem &679
     24008*861 (MRCItem
     24009litem &732
    2254324010pos 0
    2254424011dimension 20
    2254524012uid 70,0
    2254624013)
    22547 *800 (MRCItem
    22548 litem &680
     24014*862 (MRCItem
     24015litem &733
    2254924016pos 1
    2255024017dimension 23
    2255124018uid 71,0
    2255224019)
    22553 *801 (MRCItem
    22554 litem &681
     24020*863 (MRCItem
     24021litem &734
    2255524022pos 2
    2255624023hidden 1
     
    2255824025uid 72,0
    2255924026)
    22560 *802 (MRCItem
    22561 litem &691
     24027*864 (MRCItem
     24028litem &744
    2256224029pos 31
    2256324030dimension 20
    2256424031uid 517,0
    2256524032)
    22566 *803 (MRCItem
    22567 litem &692
     24033*865 (MRCItem
     24034litem &745
    2256824035pos 32
    2256924036dimension 20
    2257024037uid 519,0
    2257124038)
    22572 *804 (MRCItem
    22573 litem &693
     24039*866 (MRCItem
     24040litem &746
    2257424041pos 33
    2257524042dimension 20
    2257624043uid 521,0
    2257724044)
    22578 *805 (MRCItem
    22579 litem &694
     24045*867 (MRCItem
     24046litem &747
    2258024047pos 34
    2258124048dimension 20
    2258224049uid 531,0
    2258324050)
    22584 *806 (MRCItem
    22585 litem &695
     24051*868 (MRCItem
     24052litem &748
    2258624053pos 35
    2258724054dimension 20
    2258824055uid 533,0
    2258924056)
    22590 *807 (MRCItem
    22591 litem &696
     24057*869 (MRCItem
     24058litem &749
    2259224059pos 0
    2259324060dimension 20
    2259424061uid 535,0
    2259524062)
    22596 *808 (MRCItem
    22597 litem &697
     24063*870 (MRCItem
     24064litem &750
    2259824065pos 1
    2259924066dimension 20
    2260024067uid 537,0
    2260124068)
    22602 *809 (MRCItem
    22603 litem &698
     24069*871 (MRCItem
     24070litem &751
    2260424071pos 2
    2260524072dimension 20
    2260624073uid 539,0
    2260724074)
    22608 *810 (MRCItem
    22609 litem &699
     24075*872 (MRCItem
     24076litem &752
    2261024077pos 3
    2261124078dimension 20
    2261224079uid 541,0
    2261324080)
    22614 *811 (MRCItem
    22615 litem &700
     24081*873 (MRCItem
     24082litem &753
    2261624083pos 4
    2261724084dimension 20
    2261824085uid 543,0
    2261924086)
    22620 *812 (MRCItem
    22621 litem &701
     24087*874 (MRCItem
     24088litem &754
    2262224089pos 5
    2262324090dimension 20
    2262424091uid 547,0
    2262524092)
    22626 *813 (MRCItem
    22627 litem &702
     24093*875 (MRCItem
     24094litem &755
    2262824095pos 6
    2262924096dimension 20
    2263024097uid 549,0
    2263124098)
    22632 *814 (MRCItem
    22633 litem &703
     24099*876 (MRCItem
     24100litem &756
    2263424101pos 8
    2263524102dimension 20
    2263624103uid 1456,0
    2263724104)
    22638 *815 (MRCItem
    22639 litem &704
     24105*877 (MRCItem
     24106litem &757
    2264024107pos 7
    2264124108dimension 20
    2264224109uid 1458,0
    2264324110)
    22644 *816 (MRCItem
    22645 litem &705
     24111*878 (MRCItem
     24112litem &758
    2264624113pos 9
    2264724114dimension 20
    2264824115uid 1695,0
    2264924116)
    22650 *817 (MRCItem
    22651 litem &706
     24117*879 (MRCItem
     24118litem &759
    2265224119pos 36
    2265324120dimension 20
    2265424121uid 1994,0
    2265524122)
    22656 *818 (MRCItem
    22657 litem &707
     24123*880 (MRCItem
     24124litem &760
    2265824125pos 37
    2265924126dimension 20
    2266024127uid 2306,0
    2266124128)
    22662 *819 (MRCItem
    22663 litem &708
     24129*881 (MRCItem
     24130litem &761
    2266424131pos 38
    2266524132dimension 20
    2266624133uid 2511,0
    2266724134)
    22668 *820 (MRCItem
    22669 litem &709
     24135*882 (MRCItem
     24136litem &762
    2267024137pos 39
    2267124138dimension 20
    2267224139uid 2513,0
    2267324140)
    22674 *821 (MRCItem
    22675 litem &710
     24141*883 (MRCItem
     24142litem &763
    2267624143pos 40
    2267724144dimension 20
    2267824145uid 2515,0
    2267924146)
    22680 *822 (MRCItem
    22681 litem &711
     24147*884 (MRCItem
     24148litem &764
    2268224149pos 41
    2268324150dimension 20
    2268424151uid 2517,0
    2268524152)
    22686 *823 (MRCItem
    22687 litem &712
     24153*885 (MRCItem
     24154litem &765
    2268824155pos 42
    2268924156dimension 20
    2269024157uid 2519,0
    2269124158)
    22692 *824 (MRCItem
    22693 litem &713
     24159*886 (MRCItem
     24160litem &766
    2269424161pos 43
    2269524162dimension 20
    2269624163uid 2521,0
    2269724164)
    22698 *825 (MRCItem
    22699 litem &714
     24165*887 (MRCItem
     24166litem &767
    2270024167pos 44
    2270124168dimension 20
    2270224169uid 2523,0
    2270324170)
    22704 *826 (MRCItem
    22705 litem &715
     24171*888 (MRCItem
     24172litem &768
    2270624173pos 45
    2270724174dimension 20
    2270824175uid 2605,0
    2270924176)
    22710 *827 (MRCItem
    22711 litem &716
     24177*889 (MRCItem
     24178litem &769
    2271224179pos 46
    2271324180dimension 20
    2271424181uid 2607,0
    2271524182)
    22716 *828 (MRCItem
    22717 litem &717
     24183*890 (MRCItem
     24184litem &770
    2271824185pos 47
    2271924186dimension 20
    2272024187uid 2609,0
    2272124188)
    22722 *829 (MRCItem
    22723 litem &718
     24189*891 (MRCItem
     24190litem &771
    2272424191pos 48
    2272524192dimension 20
    2272624193uid 2611,0
    2272724194)
    22728 *830 (MRCItem
    22729 litem &719
     24195*892 (MRCItem
     24196litem &772
    2273024197pos 49
    2273124198dimension 20
    2273224199uid 2613,0
    2273324200)
    22734 *831 (MRCItem
    22735 litem &720
     24201*893 (MRCItem
     24202litem &773
    2273624203pos 50
    2273724204dimension 20
    2273824205uid 2647,0
    2273924206)
    22740 *832 (MRCItem
    22741 litem &721
     24207*894 (MRCItem
     24208litem &774
    2274224209pos 10
    2274324210dimension 20
    2274424211uid 2813,0
    2274524212)
    22746 *833 (MRCItem
    22747 litem &722
     24213*895 (MRCItem
     24214litem &775
    2274824215pos 51
    2274924216dimension 20
    2275024217uid 2963,0
    2275124218)
    22752 *834 (MRCItem
    22753 litem &723
     24219*896 (MRCItem
     24220litem &776
    2275424221pos 11
    2275524222dimension 20
    2275624223uid 3903,0
    2275724224)
    22758 *835 (MRCItem
    22759 litem &724
     24225*897 (MRCItem
     24226litem &777
    2276024227pos 12
    2276124228dimension 20
    2276224229uid 4071,0
    2276324230)
    22764 *836 (MRCItem
    22765 litem &725
     24231*898 (MRCItem
     24232litem &778
    2276624233pos 52
    2276724234dimension 20
    2276824235uid 4213,0
    2276924236)
    22770 *837 (MRCItem
    22771 litem &726
     24237*899 (MRCItem
     24238litem &779
    2277224239pos 13
    2277324240dimension 20
    2277424241uid 4235,0
    2277524242)
    22776 *838 (MRCItem
    22777 litem &727
     24243*900 (MRCItem
     24244litem &780
    2277824245pos 14
    2277924246dimension 20
    2278024247uid 4263,0
    2278124248)
    22782 *839 (MRCItem
    22783 litem &728
     24249*901 (MRCItem
     24250litem &781
    2278424251pos 15
    2278524252dimension 20
    2278624253uid 4277,0
    2278724254)
    22788 *840 (MRCItem
    22789 litem &729
     24255*902 (MRCItem
     24256litem &782
    2279024257pos 53
    2279124258dimension 20
    2279224259uid 4564,0
    2279324260)
    22794 *841 (MRCItem
    22795 litem &730
     24261*903 (MRCItem
     24262litem &783
    2279624263pos 54
    2279724264dimension 20
    2279824265uid 4566,0
    2279924266)
    22800 *842 (MRCItem
    22801 litem &731
     24267*904 (MRCItem
     24268litem &784
    2280224269pos 55
    2280324270dimension 20
    2280424271uid 4570,0
    2280524272)
    22806 *843 (MRCItem
    22807 litem &732
     24273*905 (MRCItem
     24274litem &785
    2280824275pos 16
    2280924276dimension 20
    2281024277uid 4586,0
    2281124278)
    22812 *844 (MRCItem
    22813 litem &733
     24279*906 (MRCItem
     24280litem &786
    2281424281pos 17
    2281524282dimension 20
    2281624283uid 4588,0
    2281724284)
    22818 *845 (MRCItem
    22819 litem &734
     24285*907 (MRCItem
     24286litem &787
    2282024287pos 18
    2282124288dimension 20
    2282224289uid 4734,0
    2282324290)
    22824 *846 (MRCItem
    22825 litem &735
     24291*908 (MRCItem
     24292litem &788
    2282624293pos 19
    2282724294dimension 20
    2282824295uid 4736,0
    2282924296)
    22830 *847 (MRCItem
    22831 litem &736
     24297*909 (MRCItem
     24298litem &789
    2283224299pos 20
    2283324300dimension 20
    2283424301uid 4738,0
    2283524302)
    22836 *848 (MRCItem
    22837 litem &737
     24303*910 (MRCItem
     24304litem &790
    2283824305pos 21
    2283924306dimension 20
    2284024307uid 4740,0
    2284124308)
    22842 *849 (MRCItem
    22843 litem &738
     24309*911 (MRCItem
     24310litem &791
    2284424311pos 56
    2284524312dimension 20
    2284624313uid 4750,0
    2284724314)
    22848 *850 (MRCItem
    22849 litem &739
     24315*912 (MRCItem
     24316litem &792
    2285024317pos 22
    2285124318dimension 20
    2285224319uid 4975,0
    2285324320)
    22854 *851 (MRCItem
    22855 litem &740
     24321*913 (MRCItem
     24322litem &793
    2285624323pos 23
    2285724324dimension 20
    2285824325uid 4977,0
    2285924326)
    22860 *852 (MRCItem
    22861 litem &741
     24327*914 (MRCItem
     24328litem &794
    2286224329pos 57
    2286324330dimension 20
    2286424331uid 5199,0
    2286524332)
    22866 *853 (MRCItem
    22867 litem &742
     24333*915 (MRCItem
     24334litem &795
    2286824335pos 58
    2286924336dimension 20
    2287024337uid 5201,0
    2287124338)
    22872 *854 (MRCItem
    22873 litem &743
     24339*916 (MRCItem
     24340litem &796
    2287424341pos 59
    2287524342dimension 20
    2287624343uid 5203,0
    2287724344)
    22878 *855 (MRCItem
    22879 litem &744
     24345*917 (MRCItem
     24346litem &797
    2288024347pos 60
    2288124348dimension 20
    2288224349uid 5205,0
    2288324350)
    22884 *856 (MRCItem
    22885 litem &745
     24351*918 (MRCItem
     24352litem &798
    2288624353pos 61
    2288724354dimension 20
    2288824355uid 5207,0
    2288924356)
    22890 *857 (MRCItem
    22891 litem &746
     24357*919 (MRCItem
     24358litem &799
    2289224359pos 62
    2289324360dimension 20
    2289424361uid 5209,0
    2289524362)
    22896 *858 (MRCItem
    22897 litem &747
     24363*920 (MRCItem
     24364litem &800
    2289824365pos 63
    2289924366dimension 20
    2290024367uid 5211,0
    2290124368)
    22902 *859 (MRCItem
    22903 litem &748
     24369*921 (MRCItem
     24370litem &801
    2290424371pos 64
    2290524372dimension 20
    2290624373uid 5213,0
    2290724374)
    22908 *860 (MRCItem
    22909 litem &749
     24375*922 (MRCItem
     24376litem &802
    2291024377pos 65
    2291124378dimension 20
    2291224379uid 5215,0
    2291324380)
    22914 *861 (MRCItem
    22915 litem &750
     24381*923 (MRCItem
     24382litem &803
    2291624383pos 24
    2291724384dimension 20
    2291824385uid 5227,0
    2291924386)
    22920 *862 (MRCItem
    22921 litem &751
     24387*924 (MRCItem
     24388litem &804
    2292224389pos 66
    2292324390dimension 20
    22924 uid 5286,0
    22925 )
    22926 *863 (MRCItem
    22927 litem &752
     24391uid 5503,0
     24392)
     24393*925 (MRCItem
     24394litem &805
    2292824395pos 67
    2292924396dimension 20
    22930 uid 5503,0
    22931 )
    22932 *864 (MRCItem
    22933 litem &753
     24397uid 5505,0
     24398)
     24399*926 (MRCItem
     24400litem &806
    2293424401pos 68
    2293524402dimension 20
    22936 uid 5505,0
    22937 )
    22938 *865 (MRCItem
    22939 litem &754
     24403uid 5601,0
     24404)
     24405*927 (MRCItem
     24406litem &807
    2294024407pos 69
    2294124408dimension 20
    22942 uid 5601,0
    22943 )
    22944 *866 (MRCItem
    22945 litem &755
     24409uid 5643,0
     24410)
     24411*928 (MRCItem
     24412litem &808
    2294624413pos 70
    2294724414dimension 20
    22948 uid 5643,0
    22949 )
    22950 *867 (MRCItem
    22951 litem &756
     24415uid 5645,0
     24416)
     24417*929 (MRCItem
     24418litem &809
    2295224419pos 71
    2295324420dimension 20
    22954 uid 5645,0
    22955 )
    22956 *868 (MRCItem
    22957 litem &757
    22958 pos 72
    22959 dimension 20
    2296024421uid 5752,0
    2296124422)
    22962 *869 (MRCItem
    22963 litem &758
     24423*930 (MRCItem
     24424litem &810
    2296424425pos 25
    2296524426dimension 20
    2296624427uid 5868,0
    2296724428)
    22968 *870 (MRCItem
    22969 litem &759
     24429*931 (MRCItem
     24430litem &811
    2297024431pos 26
    2297124432dimension 20
    2297224433uid 5870,0
    2297324434)
    22974 *871 (MRCItem
    22975 litem &760
     24435*932 (MRCItem
     24436litem &812
    2297624437pos 27
    2297724438dimension 20
    2297824439uid 5872,0
    2297924440)
    22980 *872 (MRCItem
    22981 litem &761
     24441*933 (MRCItem
     24442litem &813
    2298224443pos 28
    2298324444dimension 20
    2298424445uid 5874,0
    2298524446)
    22986 *873 (MRCItem
    22987 litem &762
     24447*934 (MRCItem
     24448litem &814
     24449pos 72
     24450dimension 20
     24451uid 5967,0
     24452)
     24453*935 (MRCItem
     24454litem &815
    2298824455pos 73
    2298924456dimension 20
    22990 uid 5967,0
    22991 )
    22992 *874 (MRCItem
    22993 litem &763
     24457uid 5969,0
     24458)
     24459*936 (MRCItem
     24460litem &816
    2299424461pos 74
    2299524462dimension 20
    22996 uid 5969,0
    22997 )
    22998 *875 (MRCItem
    22999 litem &764
     24463uid 6023,0
     24464)
     24465*937 (MRCItem
     24466litem &817
    2300024467pos 75
    2300124468dimension 20
    23002 uid 6023,0
    23003 )
    23004 *876 (MRCItem
    23005 litem &765
     24469uid 6025,0
     24470)
     24471*938 (MRCItem
     24472litem &818
    2300624473pos 76
    2300724474dimension 20
    23008 uid 6025,0
    23009 )
    23010 *877 (MRCItem
    23011 litem &766
    23012 pos 77
    23013 dimension 20
    2301424475uid 6027,0
    2301524476)
    23016 *878 (MRCItem
    23017 litem &767
     24477*939 (MRCItem
     24478litem &819
    2301824479pos 29
    2301924480dimension 20
    2302024481uid 6173,0
    2302124482)
    23022 *879 (MRCItem
    23023 litem &768
     24483*940 (MRCItem
     24484litem &820
    2302424485pos 30
    2302524486dimension 20
    2302624487uid 6375,0
    2302724488)
    23028 *880 (MRCItem
    23029 litem &769
     24489*941 (MRCItem
     24490litem &821
     24491pos 77
     24492dimension 20
     24493uid 6465,0
     24494)
     24495*942 (MRCItem
     24496litem &822
    2303024497pos 78
    2303124498dimension 20
    23032 uid 6465,0
    23033 )
    23034 *881 (MRCItem
    23035 litem &770
     24499uid 6555,0
     24500)
     24501*943 (MRCItem
     24502litem &823
    2303624503pos 79
    2303724504dimension 20
    23038 uid 6555,0
    23039 )
    23040 *882 (MRCItem
    23041 litem &771
     24505uid 8421,0
     24506)
     24507*944 (MRCItem
     24508litem &824
    2304224509pos 80
    2304324510dimension 20
    23044 uid 8421,0
    23045 )
    23046 *883 (MRCItem
    23047 litem &772
     24511uid 8610,0
     24512)
     24513*945 (MRCItem
     24514litem &825
    2304824515pos 81
    2304924516dimension 20
    23050 uid 8610,0
    23051 )
    23052 *884 (MRCItem
    23053 litem &773
     24517uid 8612,0
     24518)
     24519*946 (MRCItem
     24520litem &826
    2305424521pos 82
    2305524522dimension 20
    23056 uid 8612,0
    23057 )
    23058 *885 (MRCItem
    23059 litem &774
     24523uid 8614,0
     24524)
     24525*947 (MRCItem
     24526litem &827
    2306024527pos 83
    2306124528dimension 20
    23062 uid 8614,0
    23063 )
    23064 *886 (MRCItem
    23065 litem &775
     24529uid 8759,0
     24530)
     24531*948 (MRCItem
     24532litem &828
    2306624533pos 84
    2306724534dimension 20
    23068 uid 8759,0
    23069 )
    23070 *887 (MRCItem
    23071 litem &776
     24535uid 8761,0
     24536)
     24537*949 (MRCItem
     24538litem &829
    2307224539pos 85
    2307324540dimension 20
    23074 uid 8761,0
    23075 )
    23076 *888 (MRCItem
    23077 litem &777
     24541uid 9019,0
     24542)
     24543*950 (MRCItem
     24544litem &830
    2307824545pos 86
    2307924546dimension 20
    23080 uid 9019,0
    23081 )
    23082 *889 (MRCItem
    23083 litem &778
     24547uid 9248,0
     24548)
     24549*951 (MRCItem
     24550litem &831
    2308424551pos 87
    2308524552dimension 20
    23086 uid 9248,0
    23087 )
    23088 *890 (MRCItem
    23089 litem &779
     24553uid 9250,0
     24554)
     24555*952 (MRCItem
     24556litem &832
    2309024557pos 88
    2309124558dimension 20
    23092 uid 9250,0
    23093 )
    23094 *891 (MRCItem
    23095 litem &780
     24559uid 9556,0
     24560)
     24561*953 (MRCItem
     24562litem &833
    2309624563pos 89
    2309724564dimension 20
    23098 uid 9556,0
    23099 )
    23100 *892 (MRCItem
    23101 litem &781
     24565uid 9558,0
     24566)
     24567*954 (MRCItem
     24568litem &834
    2310224569pos 90
    2310324570dimension 20
    23104 uid 9558,0
    23105 )
    23106 *893 (MRCItem
    23107 litem &782
     24571uid 9560,0
     24572)
     24573*955 (MRCItem
     24574litem &835
    2310824575pos 91
    2310924576dimension 20
    23110 uid 9560,0
    23111 )
    23112 *894 (MRCItem
    23113 litem &783
     24577uid 9562,0
     24578)
     24579*956 (MRCItem
     24580litem &836
    2311424581pos 92
    2311524582dimension 20
    23116 uid 9562,0
    23117 )
    23118 *895 (MRCItem
    23119 litem &784
     24583uid 9564,0
     24584)
     24585*957 (MRCItem
     24586litem &837
    2312024587pos 93
    2312124588dimension 20
    23122 uid 9564,0
    23123 )
    23124 *896 (MRCItem
    23125 litem &785
     24589uid 9568,0
     24590)
     24591*958 (MRCItem
     24592litem &838
    2312624593pos 94
    2312724594dimension 20
    23128 uid 9568,0
    23129 )
    23130 *897 (MRCItem
    23131 litem &786
     24595uid 9570,0
     24596)
     24597*959 (MRCItem
     24598litem &839
    2313224599pos 95
    2313324600dimension 20
    23134 uid 9570,0
    23135 )
    23136 *898 (MRCItem
    23137 litem &787
     24601uid 9572,0
     24602)
     24603*960 (MRCItem
     24604litem &840
    2313824605pos 96
    2313924606dimension 20
    23140 uid 9572,0
    23141 )
    23142 *899 (MRCItem
    23143 litem &788
     24607uid 9574,0
     24608)
     24609*961 (MRCItem
     24610litem &841
    2314424611pos 97
    2314524612dimension 20
    23146 uid 9574,0
    23147 )
    23148 *900 (MRCItem
    23149 litem &789
     24613uid 9576,0
     24614)
     24615*962 (MRCItem
     24616litem &842
    2315024617pos 98
    2315124618dimension 20
    23152 uid 9576,0
    23153 )
    23154 *901 (MRCItem
    23155 litem &790
     24619uid 9578,0
     24620)
     24621*963 (MRCItem
     24622litem &843
    2315624623pos 99
    2315724624dimension 20
    23158 uid 9578,0
    23159 )
    23160 *902 (MRCItem
    23161 litem &791
     24625uid 9802,0
     24626)
     24627*964 (MRCItem
     24628litem &844
    2316224629pos 100
    2316324630dimension 20
    23164 uid 9802,0
    23165 )
    23166 *903 (MRCItem
    23167 litem &792
     24631uid 9804,0
     24632)
     24633*965 (MRCItem
     24634litem &845
    2316824635pos 101
    2316924636dimension 20
    23170 uid 9804,0
    23171 )
    23172 *904 (MRCItem
    23173 litem &793
     24637uid 9806,0
     24638)
     24639*966 (MRCItem
     24640litem &846
    2317424641pos 102
    2317524642dimension 20
    23176 uid 9806,0
    23177 )
    23178 *905 (MRCItem
    23179 litem &794
     24643uid 10025,0
     24644)
     24645*967 (MRCItem
     24646litem &847
    2318024647pos 103
    2318124648dimension 20
    23182 uid 10025,0
    23183 )
    23184 *906 (MRCItem
    23185 litem &795
     24649uid 10027,0
     24650)
     24651*968 (MRCItem
     24652litem &848
    2318624653pos 104
    2318724654dimension 20
    23188 uid 10027,0
    23189 )
    23190 *907 (MRCItem
    23191 litem &796
     24655uid 10029,0
     24656)
     24657*969 (MRCItem
     24658litem &849
    2319224659pos 105
    2319324660dimension 20
    23194 uid 10029,0
     24661uid 10209,0
     24662)
     24663*970 (MRCItem
     24664litem &850
     24665pos 106
     24666dimension 20
     24667uid 10211,0
     24668)
     24669*971 (MRCItem
     24670litem &851
     24671pos 107
     24672dimension 20
     24673uid 10295,0
     24674)
     24675*972 (MRCItem
     24676litem &852
     24677pos 108
     24678dimension 20
     24679uid 10335,0
     24680)
     24681*973 (MRCItem
     24682litem &853
     24683pos 109
     24684dimension 20
     24685uid 10337,0
     24686)
     24687*974 (MRCItem
     24688litem &854
     24689pos 110
     24690dimension 20
     24691uid 10339,0
     24692)
     24693*975 (MRCItem
     24694litem &855
     24695pos 111
     24696dimension 20
     24697uid 10341,0
     24698)
     24699*976 (MRCItem
     24700litem &856
     24701pos 112
     24702dimension 20
     24703uid 10343,0
     24704)
     24705*977 (MRCItem
     24706litem &857
     24707pos 113
     24708dimension 20
     24709uid 10474,0
     24710)
     24711*978 (MRCItem
     24712litem &858
     24713pos 114
     24714dimension 20
     24715uid 10476,0
    2319524716)
    2319624717]
     
    2320524726uid 73,0
    2320624727optionalChildren [
    23207 *908 (MRCItem
    23208 litem &682
     24728*979 (MRCItem
     24729litem &735
    2320924730pos 0
    2321024731dimension 20
    2321124732uid 74,0
    2321224733)
    23213 *909 (MRCItem
    23214 litem &684
     24734*980 (MRCItem
     24735litem &737
    2321524736pos 1
    2321624737dimension 50
    2321724738uid 75,0
    2321824739)
    23219 *910 (MRCItem
    23220 litem &685
     24740*981 (MRCItem
     24741litem &738
    2322124742pos 2
    2322224743dimension 100
    2322324744uid 76,0
    2322424745)
    23225 *911 (MRCItem
    23226 litem &686
     24746*982 (MRCItem
     24747litem &739
    2322724748pos 3
    2322824749dimension 50
    2322924750uid 77,0
    2323024751)
    23231 *912 (MRCItem
    23232 litem &687
     24752*983 (MRCItem
     24753litem &740
    2323324754pos 4
    2323424755dimension 100
    2323524756uid 78,0
    2323624757)
    23237 *913 (MRCItem
    23238 litem &688
     24758*984 (MRCItem
     24759litem &741
    2323924760pos 5
    2324024761dimension 100
    2324124762uid 79,0
    2324224763)
    23243 *914 (MRCItem
    23244 litem &689
     24764*985 (MRCItem
     24765litem &742
    2324524766pos 6
    2324624767dimension 50
    2324724768uid 80,0
    2324824769)
    23249 *915 (MRCItem
    23250 litem &690
     24770*986 (MRCItem
     24771litem &743
    2325124772pos 7
    2325224773dimension 80
     
    2326824789genericsCommonDM (CommonDM
    2326924790ldm (LogicalDM
    23270 emptyRow *916 (LEmptyRow
     24791emptyRow *987 (LEmptyRow
    2327124792)
    2327224793uid 83,0
    2327324794optionalChildren [
    23274 *917 (RefLabelRowHdr
    23275 )
    23276 *918 (TitleRowHdr
    23277 )
    23278 *919 (FilterRowHdr
    23279 )
    23280 *920 (RefLabelColHdr
     24795*988 (RefLabelRowHdr
     24796)
     24797*989 (TitleRowHdr
     24798)
     24799*990 (FilterRowHdr
     24800)
     24801*991 (RefLabelColHdr
    2328124802tm "RefLabelColHdrMgr"
    2328224803)
    23283 *921 (RowExpandColHdr
     24804*992 (RowExpandColHdr
    2328424805tm "RowExpandColHdrMgr"
    2328524806)
    23286 *922 (GroupColHdr
     24807*993 (GroupColHdr
    2328724808tm "GroupColHdrMgr"
    2328824809)
    23289 *923 (NameColHdr
     24810*994 (NameColHdr
    2329024811tm "GenericNameColHdrMgr"
    2329124812)
    23292 *924 (TypeColHdr
     24813*995 (TypeColHdr
    2329324814tm "GenericTypeColHdrMgr"
    2329424815)
    23295 *925 (InitColHdr
     24816*996 (InitColHdr
    2329624817tm "GenericValueColHdrMgr"
    2329724818)
    23298 *926 (PragmaColHdr
     24819*997 (PragmaColHdr
    2329924820tm "GenericPragmaColHdrMgr"
    2330024821)
    23301 *927 (EolColHdr
     24822*998 (EolColHdr
    2330224823tm "GenericEolColHdrMgr"
    2330324824)
    23304 *928 (LogGeneric
     24825*999 (LogGeneric
    2330524826generic (GiElement
    2330624827name "RAMADDRWIDTH64b"
     
    2331724838uid 95,0
    2331824839optionalChildren [
    23319 *929 (Sheet
     24840*1000 (Sheet
    2332024841sheetRow (SheetRow
    2332124842headerVa (MVa
     
    2333424855font "Tahoma,10,0"
    2333524856)
    23336 emptyMRCItem *930 (MRCItem
    23337 litem &916
     24857emptyMRCItem *1001 (MRCItem
     24858litem &987
    2333824859pos 1
    2333924860dimension 20
     
    2334124862uid 97,0
    2334224863optionalChildren [
    23343 *931 (MRCItem
    23344 litem &917
     24864*1002 (MRCItem
     24865litem &988
    2334524866pos 0
    2334624867dimension 20
    2334724868uid 98,0
    2334824869)
    23349 *932 (MRCItem
    23350 litem &918
     24870*1003 (MRCItem
     24871litem &989
    2335124872pos 1
    2335224873dimension 23
    2335324874uid 99,0
    2335424875)
    23355 *933 (MRCItem
    23356 litem &919
     24876*1004 (MRCItem
     24877litem &990
    2335724878pos 2
    2335824879hidden 1
     
    2336024881uid 100,0
    2336124882)
    23362 *934 (MRCItem
    23363 litem &928
     24883*1005 (MRCItem
     24884litem &999
    2336424885pos 0
    2336524886dimension 20
     
    2337724898uid 101,0
    2337824899optionalChildren [
    23379 *935 (MRCItem
    23380 litem &920
     24900*1006 (MRCItem
     24901litem &991
    2338124902pos 0
    2338224903dimension 20
    2338324904uid 102,0
    2338424905)
    23385 *936 (MRCItem
    23386 litem &922
     24906*1007 (MRCItem
     24907litem &993
    2338724908pos 1
    2338824909dimension 50
    2338924910uid 103,0
    2339024911)
    23391 *937 (MRCItem
    23392 litem &923
     24912*1008 (MRCItem
     24913litem &994
    2339324914pos 2
    2339424915dimension 186
    2339524916uid 104,0
    2339624917)
    23397 *938 (MRCItem
    23398 litem &924
     24918*1009 (MRCItem
     24919litem &995
    2339924920pos 3
    2340024921dimension 96
    2340124922uid 105,0
    2340224923)
    23403 *939 (MRCItem
    23404 litem &925
     24924*1010 (MRCItem
     24925litem &996
    2340524926pos 4
    2340624927dimension 50
    2340724928uid 106,0
    2340824929)
    23409 *940 (MRCItem
    23410 litem &926
     24930*1011 (MRCItem
     24931litem &997
    2341124932pos 5
    2341224933dimension 50
    2341324934uid 107,0
    2341424935)
    23415 *941 (MRCItem
    23416 litem &927
     24936*1012 (MRCItem
     24937litem &998
    2341724938pos 6
    2341824939dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak

    r9912 r10073  
    224224uid 9957,0
    225225)
     226(Instance
     227name "U_9"
     228duLibraryName "moduleware"
     229duName "or"
     230elements [
     231]
     232mwi 1
     233uid 10380,0
     234)
    226235]
    227236libraryRefs [
     
    239248(vvPair
    240249variable "HDLDir"
    241 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hdl"
     250value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    242251)
    243252(vvPair
    244253variable "HDSDir"
    245 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     254value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    246255)
    247256(vvPair
    248257variable "SideDataDesignDir"
    249 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
     258value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
    250259)
    251260(vvPair
    252261variable "SideDataUserDir"
    253 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
     262value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
    254263)
    255264(vvPair
    256265variable "SourceDir"
    257 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds"
     266value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    258267)
    259268(vvPair
     
    271280(vvPair
    272281variable "d"
    273 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_main"
     282value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
    274283)
    275284(vvPair
    276285variable "d_logical"
    277 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_main"
     286value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
    278287)
    279288(vvPair
    280289variable "date"
    281 value "30.08.2010"
     290value "04.01.2011"
    282291)
    283292(vvPair
    284293variable "day"
    285 value "Mo"
     294value "Di"
    286295)
    287296(vvPair
    288297variable "day_long"
    289 value "Montag"
     298value "Dienstag"
    290299)
    291300(vvPair
    292301variable "dd"
    293 value "30"
     302value "04"
    294303)
    295304(vvPair
     
    355364(vvPair
    356365variable "mm"
    357 value "08"
     366value "01"
    358367)
    359368(vvPair
     
    363372(vvPair
    364373variable "month"
    365 value "Aug"
     374value "Jan"
    366375)
    367376(vvPair
    368377variable "month_long"
    369 value "August"
     378value "Januar"
    370379)
    371380(vvPair
    372381variable "p"
    373 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
     382value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
    374383)
    375384(vvPair
    376385variable "p_logical"
    377 value "C:\\FPGA_projects\\ADC_CLK_VAR_PS\\FACT_FAD_20MHz_SRCLK\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
     386value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
    378387)
    379388(vvPair
     
    431440(vvPair
    432441variable "time"
    433 value "10:42:05"
    &nbs