Ignore:
Timestamp:
01/05/11 10:56:37 (14 years ago)
Author:
neise
Message:
different socket modes 
- untested
File:
1 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd

    r10074 r10076  
    150150--signal last_trigger_id : std_logic_vector (15 downto 0) := (others => '0');
    151151
     152
     153-- signals for different socket modes: DN 04.01.11
     154signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending
     155signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets.
    152156
    153157begin
     
    556560                if (next_packet_data = '0') then
    557561                  case data_read (15 downto 8) is
     562                   
     563                    when CMD_START => -- all data will be send via socket 0
     564                        socket_send_mode <= '1';
     565                        state_read_data <= RD_5;
     566                    when CMD_STOP => -- all data will be send via socket 1..7
     567                        socket_send_mode <= '0';
     568                        state_read_data <= RD_5;                   
     569                   
     570                   
    558571                    when CMD_TRIGGER =>
    559572                      trigger_stop <= '1';
     
    657670                                                        when WR_LENGTH =>
    658671                                                          if (local_write_header_flag = '1') then
    659                                                             local_socket_nr <= ram_data (2 downto 0);
    660 --                                                          local_socket_nr <= "000";
     672                                                            if (socket_send_mode = '1') then -- send via all sockets
     673                                                             local_socket_nr <= conv_std_logic_vector(socket_nr_counter, 3);
     674                                                             if (socket_nr_counter < 7) then
     675                                                               socket_nr_counter <= socket_nr_counter + 1;
     676                                                             else
     677                                                               socket_nr_counter <= 1;
     678                                                             end if;
     679                                                            else -- only send via socket 0\
     680                                                              local_socket_nr <= "000";
     681                                                            end if; 
    661682                                                          end if;
    662683                                                                next_state_tmp <= next_state;
Note: See TracChangeset for help on using the changeset viewer.