- Timestamp:
- 06/06/11 16:25:42 (13 years ago)
- Location:
- firmware/FAD/FACT_FAD_20MHz_VAR_PS
- Files:
-
- 24 added
- 8 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD.hdp
r10225 r10914 8 8 simprim = C:/FPGAdv82PS/Xilinx_Lib/simprim 9 9 unimacro = C:/FPGAdv82PS/Xilinx_Lib/unimacro 10 unisim = D:\unisim\unisim11 XilinxCoreLib = D:\unisim\xilinxcorelib10 unisim = C:/FPGAdv82PS/Xilinx_Lib/unisim 11 XilinxCoreLib = C:/FPGAdv82PS/Xilinx_Lib/XilinxCoreLib 12 12 [QuestaSim] 13 13 secureip = D:/unisim/secureip -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/clock_generator_beha.vhd
r9912 r10914 26 26 ENTITY clock_generator IS 27 27 GENERIC( 28 clock_period : time := 20 ns;28 clock_period : time := 50 ns; 29 29 reset_time : time := 50 ns 30 30 ); -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/fad_main_tb_struct.vhd
r10883 r10914 2 2 -- 3 3 -- Created: 4 -- by - d aqct3.UNKNOWN (IHP110)5 -- at - 22:55:01 26.05.20116 -- 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009. 1 (Build 12)4 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 13:22:05 01.06.2011 6 -- 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) 8 8 -- 9 9 LIBRARY ieee; … … 20 20 -- 21 21 -- Created: 22 -- by - d aqct3.UNKNOWN (IHP110)23 -- at - 22:55:01 26.05.201124 -- 25 -- Generated by Mentor Graphics' HDL Designer(TM) 2009. 1 (Build 12)22 -- by - dneise.UNKNOWN (E5B-LABOR6) 23 -- at - 13:22:05 01.06.2011 24 -- 25 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) 26 26 -- 27 27 LIBRARY ieee; … … 177 177 COMPONENT clock_generator 178 178 GENERIC ( 179 clock_period : time := 20 ns;179 clock_period : time := 50 ns; 180 180 reset_time : time := 50 ns 181 181 ); -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/clock_generator/symbol.sb
r9912 r10914 265 265 name "clock_period" 266 266 type "time" 267 value " 20 ns"268 ) 269 uid 1 56,0267 value "50 ns" 268 ) 269 uid 183,0 270 270 ) 271 271 *44 (LogGeneric … … 275 275 value "50 ns" 276 276 ) 277 uid 1 58,0277 uid 185,0 278 278 ) 279 279 ] … … 331 331 pos 0 332 332 dimension 20 333 uid 1 57,0333 uid 184,0 334 334 ) 335 335 *51 (MRCItem … … 337 337 pos 1 338 338 dimension 20 339 uid 1 59,0339 uid 186,0 340 340 ) 341 341 ] … … 410 410 (vvPair 411 411 variable "HDLDir" 412 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"412 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" 413 413 ) 414 414 (vvPair 415 415 variable "HDSDir" 416 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"416 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 417 417 ) 418 418 (vvPair 419 419 variable "SideDataDesignDir" 420 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\clock_generator\\symbol.sb.info"420 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\clock_generator\\symbol.sb.info" 421 421 ) 422 422 (vvPair 423 423 variable "SideDataUserDir" 424 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\clock_generator\\symbol.sb.user"424 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\clock_generator\\symbol.sb.user" 425 425 ) 426 426 (vvPair 427 427 variable "SourceDir" 428 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"428 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 429 429 ) 430 430 (vvPair … … 438 438 (vvPair 439 439 variable "config" 440 value "%(unit)_ config"440 value "%(unit)_%(view)_config" 441 441 ) 442 442 (vvPair 443 443 variable "d" 444 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\clock_generator"444 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\clock_generator" 445 445 ) 446 446 (vvPair 447 447 variable "d_logical" 448 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\clock_generator"448 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\clock_generator" 449 449 ) 450 450 (vvPair 451 451 variable "date" 452 value " 23.06.2010"452 value "01.06.2011" 453 453 ) 454 454 (vvPair … … 462 462 (vvPair 463 463 variable "dd" 464 value " 23"464 value "01" 465 465 ) 466 466 (vvPair … … 490 490 (vvPair 491 491 variable "host" 492 value "E EPC8"492 value "E5B-LABOR6" 493 493 ) 494 494 (vvPair … … 501 501 ) 502 502 (vvPair 503 variable "library_downstream_HdsLintPlugin" 504 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck" 505 ) 506 (vvPair 507 variable "library_downstream_ISEPARInvoke" 508 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" 509 ) 510 (vvPair 511 variable "library_downstream_ImpactInvoke" 512 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" 513 ) 514 (vvPair 515 variable "library_downstream_ModelSimCompiler" 516 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work" 517 ) 518 (vvPair 519 variable "library_downstream_XSTDataPrep" 520 value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" 521 ) 522 (vvPair 503 523 variable "mm" 504 524 value "06" … … 518 538 (vvPair 519 539 variable "p" 520 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\clock_generator\\symbol.sb"540 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\clock_generator\\symbol.sb" 521 541 ) 522 542 (vvPair 523 543 variable "p_logical" 524 value " D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\clock_generator\\symbol.sb"544 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\clock_generator\\symbol.sb" 525 545 ) 526 546 (vvPair … … 546 566 (vvPair 547 567 variable "task_ModelSimPath" 548 value " $HDS_HOME/../Modeltech/win32"568 value "C:\\modeltech_6.6a\\win32" 549 569 ) 550 570 (vvPair … … 554 574 (vvPair 555 575 variable "task_PrecisionRTLPath" 556 value " $HDS_HOME/../Precision/Mgc_home/bin"576 value "<TBD>" 557 577 ) 558 578 (vvPair … … 578 598 (vvPair 579 599 variable "time" 580 value "1 0:52:12"600 value "12:57:51" 581 601 ) 582 602 (vvPair … … 586 606 (vvPair 587 607 variable "user" 588 value " Benjamin Krumm"608 value "dneise" 589 609 ) 590 610 (vvPair … … 598 618 (vvPair 599 619 variable "year" 600 value "201 0"620 value "2011" 601 621 ) 602 622 (vvPair 603 623 variable "yy" 604 value "1 0"624 value "11" 605 625 ) 606 626 ] … … 787 807 st "Generic Declarations 788 808 789 clock_period time 20 ns809 clock_period time 50 ns 790 810 reset_time time 50 ns 791 811 " … … 798 818 name "clock_period" 799 819 type "time" 800 value " 20 ns"820 value "50 ns" 801 821 ) 802 822 (GiElement … … 1448 1468 ) 1449 1469 ) 1450 lastUid 1 59,01470 lastUid 186,0 1451 1471 activeModelName "Symbol:CDM" 1452 1472 ) -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd
r10883 r10914 159 159 ) 160 160 version "29.1" 161 appVersion "2009. 1 (Build 12)"161 appVersion "2009.2 (Build 10)" 162 162 noEmbeddedEditors 1 163 163 model (BlockDiag … … 166 166 (vvPair 167 167 variable "HDLDir" 168 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"168 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" 169 169 ) 170 170 (vvPair 171 171 variable "HDSDir" 172 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"172 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 173 173 ) 174 174 (vvPair 175 175 variable "SideDataDesignDir" 176 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"176 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info" 177 177 ) 178 178 (vvPair 179 179 variable "SideDataUserDir" 180 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"180 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user" 181 181 ) 182 182 (vvPair 183 183 variable "SourceDir" 184 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"184 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 185 185 ) 186 186 (vvPair … … 198 198 (vvPair 199 199 variable "d" 200 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"200 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb" 201 201 ) 202 202 (vvPair 203 203 variable "d_logical" 204 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"204 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb" 205 205 ) 206 206 (vvPair 207 207 variable "date" 208 value " 25.05.2011"208 value "01.06.2011" 209 209 ) 210 210 (vvPair … … 218 218 (vvPair 219 219 variable "dd" 220 value " 25"220 value "01" 221 221 ) 222 222 (vvPair … … 246 246 (vvPair 247 247 variable "host" 248 value " IHP110"248 value "E5B-LABOR6" 249 249 ) 250 250 (vvPair … … 278 278 (vvPair 279 279 variable "mm" 280 value "0 5"280 value "06" 281 281 ) 282 282 (vvPair … … 286 286 (vvPair 287 287 variable "month" 288 value " Mai"288 value "Jun" 289 289 ) 290 290 (vvPair 291 291 variable "month_long" 292 value " Mai"292 value "Juni" 293 293 ) 294 294 (vvPair 295 295 variable "p" 296 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"296 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd" 297 297 ) 298 298 (vvPair 299 299 variable "p_logical" 300 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"300 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd" 301 301 ) 302 302 (vvPair … … 322 322 (vvPair 323 323 variable "task_ModelSimPath" 324 value " D:\\modeltech_6.5e\\win32"324 value "C:\\modeltech_6.6a\\win32" 325 325 ) 326 326 (vvPair … … 354 354 (vvPair 355 355 variable "time" 356 value "1 7:24:50"356 value "13:20:42" 357 357 ) 358 358 (vvPair … … 362 362 (vvPair 363 363 variable "user" 364 value "d aqct3"364 value "dneise" 365 365 ) 366 366 (vvPair 367 367 variable "version" 368 value "2009. 1 (Build 12)"368 value "2009.2 (Build 10)" 369 369 ) 370 370 (vvPair … … 406 406 bg "0,0,32768" 407 407 ) 408 xt "109200,97000,1 20000,98000"408 xt "109200,97000,118700,98000" 409 409 st " 410 410 by %user on %dd %month %year … … 437 437 bg "0,0,32768" 438 438 ) 439 xt "126200,93000,129 500,94000"439 xt "126200,93000,129200,94000" 440 440 st " 441 441 Project: … … 468 468 bg "0,0,32768" 469 469 ) 470 xt "109200,95000,1 20100,96000"470 xt "109200,95000,119200,96000" 471 471 st " 472 472 <enter diagram title here> … … 499 499 bg "0,0,32768" 500 500 ) 501 xt "105200,95000,107 500,96000"501 xt "105200,95000,107300,96000" 502 502 st " 503 503 Title: … … 530 530 bg "0,0,32768" 531 531 ) 532 xt "126200,94200,13 6000,95200"532 xt "126200,94200,135400,95200" 533 533 st " 534 534 <enter comments here> … … 560 560 bg "0,0,32768" 561 561 ) 562 xt "130200,93000,134 900,94000"562 xt "130200,93000,134700,94000" 563 563 st " 564 564 %project_name … … 590 590 fg "32768,0,0" 591 591 ) 592 xt "112 450,93000,118550,95000"592 xt "112700,93000,118300,95000" 593 593 st " 594 594 TU Dortmund … … 623 623 bg "0,0,32768" 624 624 ) 625 xt "105200,96000,107 500,97000"625 xt "105200,96000,107300,97000" 626 626 st " 627 627 Path: … … 654 654 bg "0,0,32768" 655 655 ) 656 xt "105200,97000,10 8300,98000"656 xt "105200,97000,107900,98000" 657 657 st " 658 658 Edited: … … 685 685 bg "0,0,32768" 686 686 ) 687 xt "109200,96000,12 5800,97000"687 xt "109200,96000,123400,97000" 688 688 st " 689 689 %library/%unit/%view … … 3432 3432 va (VaSet 3433 3433 ) 3434 xt "50200,45200, 58200,49200"3434 xt "50200,45200,60200,48200" 3435 3435 st " 3436 3436 -- eb_ID 1: hard-wired IDs … … 3806 3806 va (VaSet 3807 3807 ) 3808 xt "50200,57200,6 0900,67200"3808 xt "50200,57200,62100,66200" 3809 3809 st " 3810 3810 -- eb_adc 2: ADC routing … … 4449 4449 va (VaSet 4450 4450 ) 4451 xt "27200,72200, 40200,77200"4451 xt "27200,72200,39400,77200" 4452 4452 st " 4453 4453 … … 7443 7443 va (VaSet 7444 7444 ) 7445 xt "-87000,1000,-7 0900,11000"7445 xt "-87000,1000,-72500,11000" 7446 7446 st "LIBRARY ieee; 7447 7447 USE ieee.std_logic_1164.all; … … 7487 7487 isHidden 1 7488 7488 ) 7489 xt "20000,2000,2 8200,4000"7489 xt "20000,2000,27500,4000" 7490 7490 st "`resetall 7491 7491 `timescale 1ns/10ps" … … 7531 7531 associable 1 7532 7532 ) 7533 windowSize "0, 20,1681,1050"7534 viewArea " 69200,38600,161306,94544"7533 windowSize "0,0,1281,1024" 7534 viewArea "53418,13863,168802,105975" 7535 7535 cachedDiagramExtent "-92000,0,146000,98000" 7536 7536 pageSetupInfo (PageSetupInfo … … 7545 7545 hasePageBreakOrigin 1 7546 7546 pageBreakOrigin "-146000,0" 7547 lastUid 2951,07547 lastUid 3294,0 7548 7548 defaultCommentText (CommentText 7549 7549 shape (Rectangle … … 7560 7560 fg "0,0,32768" 7561 7561 ) 7562 xt "200,200,2 400,1200"7562 xt "200,200,2000,1200" 7563 7563 st " 7564 7564 Text … … 7978 7978 va (VaSet 7979 7979 ) 7980 xt "200,200,2 400,1200"7980 xt "200,200,2000,1200" 7981 7981 st " 7982 7982 Text … … 8316 8316 va (VaSet 8317 8317 ) 8318 xt "0,-1100,12 900,-100"8318 xt "0,-1100,12600,-100" 8319 8319 st "g0: FOR i IN 0 TO n GENERATE" 8320 8320 tm "FrameTitleTextMgr" … … 8376 8376 va (VaSet 8377 8377 ) 8378 xt "0,-1100,7 700,-100"8378 xt "0,-1100,7400,-100" 8379 8379 st "b0: BLOCK (guard)" 8380 8380 tm "FrameTitleTextMgr" -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd.bak
r10883 r10914 137 137 uid 2336,0 138 138 ) 139 (Instance 140 name "I0" 141 duLibraryName "FACT_FAD_lib" 142 duName "FAD_main_with_w53002" 143 elements [ 144 (GiElement 145 name "RAMADDRWIDTH64b" 146 type "integer" 147 value "15" 148 ) 149 ] 150 mwi 0 151 uid 3285,0 152 ) 139 153 ] 140 154 embeddedInstances [ … … 159 173 ) 160 174 version "29.1" 161 appVersion "2009. 1 (Build 12)"175 appVersion "2009.2 (Build 10)" 162 176 noEmbeddedEditors 1 163 177 model (BlockDiag … … 166 180 (vvPair 167 181 variable "HDLDir" 168 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"182 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" 169 183 ) 170 184 (vvPair 171 185 variable "HDSDir" 172 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"186 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 173 187 ) 174 188 (vvPair 175 189 variable "SideDataDesignDir" 176 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info"190 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.info" 177 191 ) 178 192 (vvPair 179 193 variable "SideDataUserDir" 180 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user"194 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd.user" 181 195 ) 182 196 (vvPair 183 197 variable "SourceDir" 184 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"198 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" 185 199 ) 186 200 (vvPair … … 198 212 (vvPair 199 213 variable "d" 200 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"214 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb" 201 215 ) 202 216 (vvPair 203 217 variable "d_logical" 204 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb"218 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb" 205 219 ) 206 220 (vvPair 207 221 variable "date" 208 value " 23.05.2011"222 value "01.06.2011" 209 223 ) 210 224 (vvPair 211 225 variable "day" 212 value "M o"226 value "Mi" 213 227 ) 214 228 (vvPair 215 229 variable "day_long" 216 value "M ontag"230 value "Mittwoch" 217 231 ) 218 232 (vvPair 219 233 variable "dd" 220 value " 23"234 value "01" 221 235 ) 222 236 (vvPair … … 246 260 (vvPair 247 261 variable "host" 248 value " IHP110"262 value "E5B-LABOR6" 249 263 ) 250 264 (vvPair … … 278 292 (vvPair 279 293 variable "mm" 280 value "0 5"294 value "06" 281 295 ) 282 296 (vvPair … … 286 300 (vvPair 287 301 variable "month" 288 value " Mai"302 value "Jun" 289 303 ) 290 304 (vvPair 291 305 variable "month_long" 292 value " Mai"306 value "Juni" 293 307 ) 294 308 (vvPair 295 309 variable "p" 296 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"310 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd" 297 311 ) 298 312 (vvPair 299 313 variable "p_logical" 300 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd"314 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb\\struct.bd" 301 315 ) 302 316 (vvPair … … 322 336 (vvPair 323 337 variable "task_ModelSimPath" 324 value " D:\\modeltech_6.5e\\win32"338 value "C:\\modeltech_6.6a\\win32" 325 339 ) 326 340 (vvPair … … 354 368 (vvPair 355 369 variable "time" 356 value "1 8:19:57"370 value "13:20:01" 357 371 ) 358 372 (vvPair … … 362 376 (vvPair 363 377 variable "user" 364 value "d aqct3"378 value "dneise" 365 379 ) 366 380 (vvPair 367 381 variable "version" 368 value "2009. 1 (Build 12)"382 value "2009.2 (Build 10)" 369 383 ) 370 384 (vvPair … … 406 420 bg "0,0,32768" 407 421 ) 408 xt "109200,97000,1 20300,98000"422 xt "109200,97000,118900,98000" 409 423 st " 410 424 by %user on %dd %month %year … … 437 451 bg "0,0,32768" 438 452 ) 439 xt "126200,93000,129 500,94000"453 xt "126200,93000,129200,94000" 440 454 st " 441 455 Project: … … 468 482 bg "0,0,32768" 469 483 ) 470 xt "109200,95000,1 20100,96000"484 xt "109200,95000,119200,96000" 471 485 st " 472 486 <enter diagram title here> … … 499 513 bg "0,0,32768" 500 514 ) 501 xt "105200,95000,107 500,96000"515 xt "105200,95000,107300,96000" 502 516 st " 503 517 Title: … … 530 544 bg "0,0,32768" 531 545 ) 532 xt "126200,94200,13 6000,95200"546 xt "126200,94200,135400,95200" 533 547 st " 534 548 <enter comments here> … … 560 574 bg "0,0,32768" 561 575 ) 562 xt "130200,93000,134 900,94000"576 xt "130200,93000,134700,94000" 563 577 st " 564 578 %project_name … … 590 604 fg "32768,0,0" 591 605 ) 592 xt "112 450,93000,118550,95000"606 xt "112700,93000,118300,95000" 593 607 st " 594 608 TU Dortmund … … 623 637 bg "0,0,32768" 624 638 ) 625 xt "105200,96000,107 500,97000"639 xt "105200,96000,107300,97000" 626 640 st " 627 641 Path: … … 654 668 bg "0,0,32768" 655 669 ) 656 xt "105200,97000,10 8300,98000"670 xt "105200,97000,107900,98000" 657 671 st " 658 672 Edited: … … 685 699 bg "0,0,32768" 686 700 ) 687 xt "109200,96000,12 5800,97000"701 xt "109200,96000,123400,97000" 688 702 st " 689 703 %library/%unit/%view … … 745 759 n "wiz_reset" 746 760 t "std_logic" 747 o 49761 o 50 748 762 suid 2,0 749 763 i "'1'" … … 964 978 t "std_logic_vector" 965 979 b "(9 DOWNTO 0)" 966 o 4 6980 o 47 967 981 suid 26,0 968 982 ) … … 1001 1015 t "std_logic_vector" 1002 1016 b "(15 DOWNTO 0)" 1003 o 5 21017 o 53 1004 1018 suid 27,0 1005 1019 ) … … 1037 1051 n "wiz_cs" 1038 1052 t "std_logic" 1039 o 4 71053 o 48 1040 1054 suid 28,0 1041 1055 i "'1'" … … 1074 1088 n "wiz_wr" 1075 1089 t "std_logic" 1076 o 5 01090 o 51 1077 1091 suid 29,0 1078 1092 i "'1'" … … 1111 1125 n "wiz_rd" 1112 1126 t "std_logic" 1113 o 4 81127 o 49 1114 1128 suid 30,0 1115 1129 i "'1'" … … 1677 1691 preAdd 0 1678 1692 posAdd 0 1679 o 5 11693 o 52 1680 1694 suid 63,0 1681 1695 ) … … 2550 2564 n "trigger_veto" 2551 2565 t "std_logic" 2552 o 4 42566 o 45 2553 2567 suid 98,0 2554 2568 i "'1'" … … 2590 2604 eolc "-- state is encoded here ... useful for debugging." 2591 2605 posAdd 0 2592 o 4 52606 o 46 2593 2607 suid 103,0 2608 ) 2609 ) 2610 ) 2611 *65 (CptPort 2612 uid 2924,0 2613 ps "OnEdgeStrategy" 2614 shape (Triangle 2615 uid 2925,0 2616 ro 90 2617 va (VaSet 2618 vasetType 1 2619 fg "0,65535,0" 2620 ) 2621 xt "109000,89625,109750,90375" 2622 ) 2623 tg (CPTG 2624 uid 2926,0 2625 ps "CptPortTextPlaceStrategy" 2626 stg "RightVerticalLayoutStrategy" 2627 f (Text 2628 uid 2927,0 2629 va (VaSet 2630 ) 2631 xt "96100,89500,108000,90500" 2632 st "socket_tx_free_out : (16:0)" 2633 ju 2 2634 blo "108000,90300" 2635 ) 2636 ) 2637 thePort (LogicalPort 2638 m 1 2639 decl (Decl 2640 n "socket_tx_free_out" 2641 t "std_logic_vector" 2642 b "(16 DOWNTO 0)" 2643 eolc "-- 17bit value .. that's true" 2644 posAdd 0 2645 o 44 2646 suid 109,0 2594 2647 ) 2595 2648 ) … … 2604 2657 lineWidth 2 2605 2658 ) 2606 xt "81000,19000,109000,9 0000"2659 xt "81000,19000,109000,91000" 2607 2660 ) 2608 2661 oxt "15000,-8000,43000,46000" … … 2612 2665 stg "VerticalLayoutStrategy" 2613 2666 textVec [ 2614 *6 5(Text2667 *66 (Text 2615 2668 uid 236,0 2616 2669 va (VaSet … … 2622 2675 tm "BdLibraryNameMgr" 2623 2676 ) 2624 *6 6(Text2677 *67 (Text 2625 2678 uid 237,0 2626 2679 va (VaSet … … 2632 2685 tm "CptNameMgr" 2633 2686 ) 2634 *6 7(Text2687 *68 (Text 2635 2688 uid 238,0 2636 2689 va (VaSet … … 2674 2727 fg "49152,49152,49152" 2675 2728 ) 2676 xt "81250,8 8250,82750,89750"2729 xt "81250,89250,82750,90750" 2677 2730 iconName "BlockDiagram.png" 2678 2731 iconMaskName "BlockDiagram.msk" … … 2684 2737 archFileType "UNKNOWN" 2685 2738 ) 2686 *6 8(SaComponent2739 *69 (SaComponent 2687 2740 uid 274,0 2688 2741 optionalChildren [ 2689 * 69(CptPort2742 *70 (CptPort 2690 2743 uid 266,0 2691 2744 ps "OnEdgeStrategy" … … 2723 2776 ) 2724 2777 ) 2725 *7 0(CptPort2778 *71 (CptPort 2726 2779 uid 270,0 2727 2780 ps "OnEdgeStrategy" … … 2776 2829 stg "VerticalLayoutStrategy" 2777 2830 textVec [ 2778 *7 1(Text2831 *72 (Text 2779 2832 uid 277,0 2780 2833 va (VaSet … … 2786 2839 tm "BdLibraryNameMgr" 2787 2840 ) 2788 *7 2(Text2841 *73 (Text 2789 2842 uid 278,0 2790 2843 va (VaSet … … 2796 2849 tm "CptNameMgr" 2797 2850 ) 2798 *7 3(Text2851 *74 (Text 2799 2852 uid 279,0 2800 2853 va (VaSet … … 2855 2908 archFileType "UNKNOWN" 2856 2909 ) 2857 *7 4(Net2910 *75 (Net 2858 2911 uid 284,0 2859 2912 decl (Decl … … 2871 2924 ) 2872 2925 xt "-90000,46200,-68000,47000" 2873 st "SIGNAL clk : STD_LOGIC 2874 " 2875 ) 2876 ) 2877 *75 (Net 2926 st "SIGNAL clk : STD_LOGIC" 2927 ) 2928 ) 2929 *76 (Net 2878 2930 uid 316,0 2879 2931 decl (Decl … … 2889 2941 font "Courier New,8,0" 2890 2942 ) 2891 xt "-90000,63000,-58500,63800" 2892 st "SIGNAL wiz_addr : std_logic_vector(9 DOWNTO 0) 2893 " 2894 ) 2895 ) 2896 *76 (Net 2943 xt "-90000,63800,-58500,64600" 2944 st "SIGNAL wiz_addr : std_logic_vector(9 DOWNTO 0)" 2945 ) 2946 ) 2947 *77 (Net 2897 2948 uid 322,0 2898 2949 decl (Decl … … 2908 2959 font "Courier New,8,0" 2909 2960 ) 2910 xt "-90000,64600,-58000,65400" 2911 st "SIGNAL wiz_data : std_logic_vector(15 DOWNTO 0) 2912 " 2913 ) 2914 ) 2915 *77 (Net 2961 xt "-90000,65400,-58000,66200" 2962 st "SIGNAL wiz_data : std_logic_vector(15 DOWNTO 0)" 2963 ) 2964 ) 2965 *78 (Net 2916 2966 uid 328,0 2917 2967 decl (Decl … … 2927 2977 font "Courier New,8,0" 2928 2978 ) 2929 xt "-90000,66200,-55000,67000" 2930 st "SIGNAL wiz_rd : std_logic := '1' 2931 " 2932 ) 2933 ) 2934 *78 (Net 2979 xt "-90000,67000,-55000,67800" 2980 st "SIGNAL wiz_rd : std_logic := '1'" 2981 ) 2982 ) 2983 *79 (Net 2935 2984 uid 334,0 2936 2985 decl (Decl … … 2946 2995 font "Courier New,8,0" 2947 2996 ) 2948 xt "-90000,67800,-55000,68600" 2949 st "SIGNAL wiz_wr : std_logic := '1' 2950 " 2951 ) 2952 ) 2953 *79 (SaComponent 2997 xt "-90000,68600,-55000,69400" 2998 st "SIGNAL wiz_wr : std_logic := '1'" 2999 ) 3000 ) 3001 *80 (SaComponent 2954 3002 uid 362,0 2955 3003 optionalChildren [ 2956 *8 0(CptPort3004 *81 (CptPort 2957 3005 uid 350,0 2958 3006 ps "OnEdgeStrategy" … … 2990 3038 ) 2991 3039 ) 2992 *8 1(CptPort3040 *82 (CptPort 2993 3041 uid 354,0 2994 3042 ps "OnEdgeStrategy" … … 3027 3075 ) 3028 3076 ) 3029 *8 2(CptPort3077 *83 (CptPort 3030 3078 uid 358,0 3031 3079 ps "OnEdgeStrategy" … … 3081 3129 stg "VerticalLayoutStrategy" 3082 3130 textVec [ 3083 *8 3(Text3131 *84 (Text 3084 3132 uid 365,0 3085 3133 va (VaSet … … 3091 3139 tm "BdLibraryNameMgr" 3092 3140 ) 3093 *8 4(Text3141 *85 (Text 3094 3142 uid 366,0 3095 3143 va (VaSet … … 3101 3149 tm "CptNameMgr" 3102 3150 ) 3103 *8 5(Text3151 *86 (Text 3104 3152 uid 367,0 3105 3153 va (VaSet … … 3155 3203 archFileType "UNKNOWN" 3156 3204 ) 3157 *8 6(Net3205 *87 (Net 3158 3206 uid 372,0 3159 3207 decl (Decl … … 3170 3218 ) 3171 3219 xt "-90000,59000,-58500,59800" 3172 st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0) 3173 " 3174 ) 3175 ) 3176 *87 (Net 3220 st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0)" 3221 ) 3222 ) 3223 *88 (Net 3177 3224 uid 378,0 3178 3225 decl (Decl … … 3188 3235 ) 3189 3236 xt "-90000,58200,-68000,59000" 3190 st "SIGNAL sclk : std_logic 3191 " 3192 ) 3193 ) 3194 *88 (Net 3237 st "SIGNAL sclk : std_logic" 3238 ) 3239 ) 3240 *89 (Net 3195 3241 uid 384,0 3196 3242 decl (Decl … … 3208 3254 ) 3209 3255 xt "-90000,59800,-68000,60600" 3210 st "SIGNAL sio : std_logic 3211 " 3212 ) 3213 ) 3214 *89 (SaComponent 3256 st "SIGNAL sio : std_logic" 3257 ) 3258 ) 3259 *90 (SaComponent 3215 3260 uid 414,0 3216 3261 optionalChildren [ 3217 *9 0(CptPort3262 *91 (CptPort 3218 3263 uid 410,0 3219 3264 ps "OnEdgeStrategy" … … 3270 3315 stg "VerticalLayoutStrategy" 3271 3316 textVec [ 3272 *9 1(Text3317 *92 (Text 3273 3318 uid 417,0 3274 3319 va (VaSet … … 3280 3325 tm "BdLibraryNameMgr" 3281 3326 ) 3282 *9 2(Text3327 *93 (Text 3283 3328 uid 418,0 3284 3329 va (VaSet … … 3290 3335 tm "CptNameMgr" 3291 3336 ) 3292 *9 3(Text3337 *94 (Text 3293 3338 uid 419,0 3294 3339 va (VaSet … … 3350 3395 archFileType "UNKNOWN" 3351 3396 ) 3352 *9 4(Net3397 *95 (Net 3353 3398 uid 424,0 3354 3399 decl (Decl … … 3365 3410 font "Courier New,8,0" 3366 3411 ) 3367 xt "-90000,60600,-68000,61400" 3368 st "SIGNAL trigger : std_logic 3369 " 3370 ) 3371 ) 3372 *95 (HdlText 3412 xt "-90000,61400,-68000,62200" 3413 st "SIGNAL trigger : std_logic" 3414 ) 3415 ) 3416 *96 (HdlText 3373 3417 uid 430,0 3374 3418 optionalChildren [ 3375 *9 6(EmbeddedText3419 *97 (EmbeddedText 3376 3420 uid 436,0 3377 3421 commentText (CommentText … … 3393 3437 va (VaSet 3394 3438 ) 3395 xt "50200,45200, 58200,49200"3439 xt "50200,45200,60200,48200" 3396 3440 st " 3397 3441 -- eb_ID 1: hard-wired IDs … … 3424 3468 stg "VerticalLayoutStrategy" 3425 3469 textVec [ 3426 *9 7(Text3470 *98 (Text 3427 3471 uid 433,0 3428 3472 va (VaSet … … 3434 3478 tm "HdlTextNameMgr" 3435 3479 ) 3436 *9 8(Text3480 *99 (Text 3437 3481 uid 434,0 3438 3482 va (VaSet … … 3460 3504 viewiconposition 0 3461 3505 ) 3462 * 99(Net3506 *100 (Net 3463 3507 uid 440,0 3464 3508 decl (Decl … … 3477 3521 ) 3478 3522 xt "-90000,45400,-58500,46200" 3479 st "SIGNAL board_id : std_logic_vector(3 downto 0) 3480 " 3481 ) 3482 ) 3483 *100 (Net 3523 st "SIGNAL board_id : std_logic_vector(3 downto 0)" 3524 ) 3525 ) 3526 *101 (Net 3484 3527 uid 448,0 3485 3528 decl (Decl … … 3496 3539 ) 3497 3540 xt "-90000,47800,-58500,48600" 3498 st "SIGNAL crate_id : std_logic_vector(1 downto 0) 3499 " 3500 ) 3501 ) 3502 *101 (SaComponent 3541 st "SIGNAL crate_id : std_logic_vector(1 downto 0)" 3542 ) 3543 ) 3544 *102 (SaComponent 3503 3545 uid 508,0 3504 3546 optionalChildren [ 3505 *10 2(CptPort3547 *103 (CptPort 3506 3548 uid 489,0 3507 3549 ps "OnEdgeStrategy" … … 3539 3581 ) 3540 3582 ) 3541 *10 3(CptPort3583 *104 (CptPort 3542 3584 uid 493,0 3543 3585 ps "OnEdgeStrategy" … … 3578 3620 ) 3579 3621 ) 3580 *10 4(CptPort3622 *105 (CptPort 3581 3623 uid 497,0 3582 3624 ps "OnEdgeStrategy" … … 3616 3658 ) 3617 3659 ) 3618 *10 5(CptPort3660 *106 (CptPort 3619 3661 uid 501,0 3620 3662 ps "OnEdgeStrategy" … … 3670 3712 stg "VerticalLayoutStrategy" 3671 3713 textVec [ 3672 *10 6(Text3714 *107 (Text 3673 3715 uid 511,0 3674 3716 va (VaSet … … 3680 3722 tm "BdLibraryNameMgr" 3681 3723 ) 3682 *10 7(Text3724 *108 (Text 3683 3725 uid 512,0 3684 3726 va (VaSet … … 3690 3732 tm "CptNameMgr" 3691 3733 ) 3692 *10 8(Text3734 *109 (Text 3693 3735 uid 513,0 3694 3736 va (VaSet … … 3744 3786 archFileType "UNKNOWN" 3745 3787 ) 3746 *1 09(HdlText3788 *110 (HdlText 3747 3789 uid 518,0 3748 3790 optionalChildren [ 3749 *11 0(EmbeddedText3791 *111 (EmbeddedText 3750 3792 uid 524,0 3751 3793 commentText (CommentText … … 3767 3809 va (VaSet 3768 3810 ) 3769 xt "50200,57200,6 0900,67200"3811 xt "50200,57200,62100,66200" 3770 3812 st " 3771 3813 -- eb_adc 2: ADC routing … … 3804 3846 stg "VerticalLayoutStrategy" 3805 3847 textVec [ 3806 *11 1(Text3848 *112 (Text 3807 3849 uid 521,0 3808 3850 va (VaSet … … 3814 3856 tm "HdlTextNameMgr" 3815 3857 ) 3816 *11 2(Text3858 *113 (Text 3817 3859 uid 522,0 3818 3860 va (VaSet … … 3840 3882 viewiconposition 0 3841 3883 ) 3842 *11 3(Net3884 *114 (Net 3843 3885 uid 528,0 3844 3886 decl (Decl … … 3855 3897 ) 3856 3898 xt "-90000,42200,-58500,43000" 3857 st "SIGNAL adc_otr_array : std_logic_vector(3 DOWNTO 0) 3858 " 3859 ) 3860 ) 3861 *114 (Net 3899 st "SIGNAL adc_otr_array : std_logic_vector(3 DOWNTO 0)" 3900 ) 3901 ) 3902 *115 (Net 3862 3903 uid 536,0 3863 3904 decl (Decl … … 3873 3914 ) 3874 3915 xt "-90000,39800,-63000,40600" 3875 st "SIGNAL adc_data_array : adc_data_array_type 3876 " 3877 ) 3878 ) 3879 *115 (Net 3916 st "SIGNAL adc_data_array : adc_data_array_type" 3917 ) 3918 ) 3919 *116 (Net 3880 3920 uid 544,0 3881 3921 decl (Decl … … 3893 3933 ) 3894 3934 xt "-90000,40600,-68000,41400" 3895 st "SIGNAL adc_oeb : std_logic 3896 " 3897 ) 3898 ) 3899 *116 (Net 3935 st "SIGNAL adc_oeb : std_logic" 3936 ) 3937 ) 3938 *117 (Net 3900 3939 uid 560,0 3901 3940 decl (Decl … … 3913 3952 ) 3914 3953 xt "-90000,41400,-68000,42200" 3915 st "SIGNAL adc_otr : STD_LOGIC 3916 " 3917 ) 3918 ) 3919 *117 (Net 3954 st "SIGNAL adc_otr : STD_LOGIC" 3955 ) 3956 ) 3957 *118 (Net 3920 3958 uid 568,0 3921 3959 decl (Decl … … 3934 3972 ) 3935 3973 xt "-90000,39000,-58000,39800" 3936 st "SIGNAL adc_data : std_logic_vector(11 DOWNTO 0) 3937 " 3938 ) 3939 ) 3940 *118 (Net 3974 st "SIGNAL adc_data : std_logic_vector(11 DOWNTO 0)" 3975 ) 3976 ) 3977 *119 (Net 3941 3978 uid 767,0 3942 3979 decl (Decl … … 3952 3989 font "Courier New,8,0" 3953 3990 ) 3954 xt "-90000,67000,-55000,67800" 3955 st "SIGNAL wiz_reset : std_logic := '1' 3956 " 3957 ) 3958 ) 3959 *119 (Net 3991 xt "-90000,67800,-55000,68600" 3992 st "SIGNAL wiz_reset : std_logic := '1'" 3993 ) 3994 ) 3995 *120 (Net 3960 3996 uid 775,0 3961 3997 decl (Decl … … 3974 4010 ) 3975 4011 xt "-90000,54200,-49000,55000" 3976 st "SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 3977 " 3978 ) 3979 ) 3980 *120 (Net 4012 st "SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 4013 ) 4014 ) 4015 *121 (Net 3981 4016 uid 783,0 3982 4017 decl (Decl … … 3992 4027 font "Courier New,8,0" 3993 4028 ) 3994 xt "-90000,63800,-55000,64600" 3995 st "SIGNAL wiz_cs : std_logic := '1' 3996 " 3997 ) 3998 ) 3999 *121 (Net 4029 xt "-90000,64600,-55000,65400" 4030 st "SIGNAL wiz_cs : std_logic := '1'" 4031 ) 4032 ) 4033 *122 (Net 4000 4034 uid 791,0 4001 4035 decl (Decl … … 4010 4044 font "Courier New,8,0" 4011 4045 ) 4012 xt "-90000,65400,-68000,66200" 4013 st "SIGNAL wiz_int : std_logic 4014 " 4015 ) 4016 ) 4017 *122 (Net 4046 xt "-90000,66200,-68000,67000" 4047 st "SIGNAL wiz_int : std_logic" 4048 ) 4049 ) 4050 *123 (Net 4018 4051 uid 799,0 4019 4052 decl (Decl … … 4029 4062 ) 4030 4063 xt "-90000,48600,-68000,49400" 4031 st "SIGNAL dac_cs : std_logic 4032 " 4033 ) 4034 ) 4035 *123 (Net 4064 st "SIGNAL dac_cs : std_logic" 4065 ) 4066 ) 4067 *124 (Net 4036 4068 uid 807,0 4037 4069 decl (Decl … … 4048 4080 ) 4049 4081 xt "-90000,55800,-55000,56600" 4050 st "SIGNAL mosi : std_logic := '0' 4051 " 4052 ) 4053 ) 4054 *124 (Net 4082 st "SIGNAL mosi : std_logic := '0'" 4083 ) 4084 ) 4085 *125 (Net 4055 4086 uid 815,0 4056 4087 decl (Decl … … 4069 4100 ) 4070 4101 xt "-90000,51000,-41500,51800" 4071 st "SIGNAL denable : std_logic := '0' -- default domino wave off 4072 " 4073 ) 4074 ) 4075 *125 (Net 4102 st "SIGNAL denable : std_logic := '0' -- default domino wave off" 4103 ) 4104 ) 4105 *126 (Net 4076 4106 uid 823,0 4077 4107 decl (Decl … … 4087 4117 ) 4088 4118 xt "-90000,25400,-68000,26200" 4089 st "SIGNAL CLK_25_PS : std_logic 4090 " 4091 ) 4092 ) 4093 *126 (Net 4119 st "SIGNAL CLK_25_PS : std_logic" 4120 ) 4121 ) 4122 *127 (Net 4094 4123 uid 831,0 4095 4124 decl (Decl … … 4105 4134 ) 4106 4135 xt "-90000,26200,-68000,27000" 4107 st "SIGNAL CLK_50 : std_logic 4108 " 4109 ) 4110 ) 4111 *127 (Net 4136 st "SIGNAL CLK_50 : std_logic" 4137 ) 4138 ) 4139 *128 (Net 4112 4140 uid 839,0 4113 4141 decl (Decl … … 4125 4153 ) 4126 4154 xt "-90000,51800,-49000,52600" 4127 st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0) := (others => '0') 4128 " 4129 ) 4130 ) 4131 *128 (Net 4155 st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')" 4156 ) 4157 ) 4158 *129 (Net 4132 4159 uid 847,0 4133 4160 decl (Decl … … 4144 4171 ) 4145 4172 xt "-90000,52600,-55000,53400" 4146 st "SIGNAL drs_dwrite : std_logic := '1' 4147 " 4148 ) 4149 ) 4150 *129 (Net 4173 st "SIGNAL drs_dwrite : std_logic := '1'" 4174 ) 4175 ) 4176 *130 (Net 4151 4177 uid 855,0 4152 4178 decl (Decl … … 4163 4189 ) 4164 4190 xt "-90000,33400,-55000,34200" 4165 st "SIGNAL RSRLOAD : std_logic := '0' 4166 " 4167 ) 4168 ) 4169 *130 (Net 4191 st "SIGNAL RSRLOAD : std_logic := '0'" 4192 ) 4193 ) 4194 *131 (Net 4170 4195 uid 863,0 4171 4196 decl (Decl … … 4182 4207 ) 4183 4208 xt "-90000,34200,-55000,35000" 4184 st "SIGNAL SRCLK : std_logic := '0' 4185 " 4186 ) 4187 ) 4188 *131 (Net 4209 st "SIGNAL SRCLK : std_logic := '0'" 4210 ) 4211 ) 4212 *132 (Net 4189 4213 uid 871,0 4190 4214 decl (Decl … … 4200 4224 ) 4201 4225 xt "-90000,35800,-68000,36600" 4202 st "SIGNAL SROUT_in_0 : std_logic 4203 " 4204 ) 4205 ) 4206 *132 (Net 4226 st "SIGNAL SROUT_in_0 : std_logic" 4227 ) 4228 ) 4229 *133 (Net 4207 4230 uid 879,0 4208 4231 decl (Decl … … 4218 4241 ) 4219 4242 xt "-90000,36600,-68000,37400" 4220 st "SIGNAL SROUT_in_1 : std_logic 4221 " 4222 ) 4223 ) 4224 *133 (Net 4243 st "SIGNAL SROUT_in_1 : std_logic" 4244 ) 4245 ) 4246 *134 (Net 4225 4247 uid 887,0 4226 4248 decl (Decl … … 4236 4258 ) 4237 4259 xt "-90000,37400,-68000,38200" 4238 st "SIGNAL SROUT_in_2 : std_logic 4239 " 4240 ) 4241 ) 4242 *134 (Net 4260 st "SIGNAL SROUT_in_2 : std_logic" 4261 ) 4262 ) 4263 *135 (Net 4243 4264 uid 895,0 4244 4265 decl (Decl … … 4254 4275 ) 4255 4276 xt "-90000,38200,-68000,39000" 4256 st "SIGNAL SROUT_in_3 : std_logic 4257 " 4258 ) 4259 ) 4260 *135 (Net 4277 st "SIGNAL SROUT_in_3 : std_logic" 4278 ) 4279 ) 4280 *136 (Net 4261 4281 uid 1435,0 4262 4282 decl (Decl … … 4273 4293 ) 4274 4294 xt "-90000,35000,-55000,35800" 4275 st "SIGNAL SRIN_out : std_logic := '0' 4276 " 4277 ) 4278 ) 4279 *136 (Net 4295 st "SIGNAL SRIN_out : std_logic := '0'" 4296 ) 4297 ) 4298 *137 (Net 4280 4299 uid 1443,0 4281 4300 decl (Decl … … 4291 4310 ) 4292 4311 xt "-90000,44600,-68000,45400" 4293 st "SIGNAL amber : std_logic 4294 " 4295 ) 4296 ) 4297 *137 (Net 4312 st "SIGNAL amber : std_logic" 4313 ) 4314 ) 4315 *138 (Net 4298 4316 uid 1451,0 4299 4317 decl (Decl … … 4309 4327 ) 4310 4328 xt "-90000,57400,-68000,58200" 4311 st "SIGNAL red : std_logic 4312 " 4313 ) 4314 ) 4315 *138 (Net 4329 st "SIGNAL red : std_logic" 4330 ) 4331 ) 4332 *139 (Net 4316 4333 uid 1459,0 4317 4334 decl (Decl … … 4327 4344 ) 4328 4345 xt "-90000,53400,-68000,54200" 4329 st "SIGNAL green : std_logic 4330 " 4331 ) 4332 ) 4333 *139 (Net 4346 st "SIGNAL green : std_logic" 4347 ) 4348 ) 4349 *140 (Net 4334 4350 uid 1467,0 4335 4351 decl (Decl … … 4346 4362 ) 4347 4363 xt "-90000,47000,-58000,47800" 4348 st "SIGNAL counter_result : std_logic_vector(11 DOWNTO 0) 4349 " 4350 ) 4351 ) 4352 *140 (Net 4364 st "SIGNAL counter_result : std_logic_vector(11 DOWNTO 0)" 4365 ) 4366 ) 4367 *141 (Net 4353 4368 uid 1475,0 4354 4369 decl (Decl … … 4365 4380 ) 4366 4381 xt "-90000,43800,-68000,44600" 4367 st "SIGNAL alarm_refclk_too_low : std_logic 4368 " 4369 ) 4370 ) 4371 *141 (Net 4382 st "SIGNAL alarm_refclk_too_low : std_logic" 4383 ) 4384 ) 4385 *142 (Net 4372 4386 uid 1483,0 4373 4387 decl (Decl … … 4383 4397 ) 4384 4398 xt "-90000,43000,-68000,43800" 4385 st "SIGNAL alarm_refclk_too_high : std_logic 4386 " 4387 ) 4388 ) 4389 *142 (HdlText 4399 st "SIGNAL alarm_refclk_too_high : std_logic" 4400 ) 4401 ) 4402 *143 (HdlText 4390 4403 uid 1491,0 4391 4404 optionalChildren [ 4392 *14 3(EmbeddedText4405 *144 (EmbeddedText 4393 4406 uid 1497,0 4394 4407 commentText (CommentText … … 4410 4423 va (VaSet 4411 4424 ) 4412 xt "27200,72200, 40200,77200"4425 xt "27200,72200,39400,77200" 4413 4426 st " 4414 4427 … … 4445 4458 stg "VerticalLayoutStrategy" 4446 4459 textVec [ 4447 *14 4(Text4460 *145 (Text 4448 4461 uid 1494,0 4449 4462 va (VaSet … … 4455 4468 tm "HdlTextNameMgr" 4456 4469 ) 4457 *14 5(Text4470 *146 (Text 4458 4471 uid 1495,0 4459 4472 va (VaSet … … 4481 4494 viewiconposition 0 4482 4495 ) 4483 *14 6(Net4496 *147 (Net 4484 4497 uid 1501,0 4485 4498 decl (Decl … … 4496 4509 ) 4497 4510 xt "-90000,28600,-58500,29400" 4498 st "SIGNAL D_T_in : std_logic_vector(1 DOWNTO 0) 4499 " 4500 ) 4501 ) 4502 *147 (SaComponent 4511 st "SIGNAL D_T_in : std_logic_vector(1 DOWNTO 0)" 4512 ) 4513 ) 4514 *148 (SaComponent 4503 4515 uid 1509,0 4504 4516 optionalChildren [ 4505 *14 8(CptPort4517 *149 (CptPort 4506 4518 uid 1519,0 4507 4519 ps "OnEdgeStrategy" … … 4539 4551 ) 4540 4552 ) 4541 *1 49(CptPort4553 *150 (CptPort 4542 4554 uid 1523,0 4543 4555 ps "OnEdgeStrategy" … … 4592 4604 stg "VerticalLayoutStrategy" 4593 4605 textVec [ 4594 *15 0(Text4606 *151 (Text 4595 4607 uid 1512,0 4596 4608 va (VaSet … … 4602 4614 tm "BdLibraryNameMgr" 4603 4615 ) 4604 *15 1(Text4616 *152 (Text 4605 4617 uid 1513,0 4606 4618 va (VaSet … … 4612 4624 tm "CptNameMgr" 4613 4625 ) 4614 *15 2(Text4626 *153 (Text 4615 4627 uid 1514,0 4616 4628 va (VaSet … … 4671 4683 archFileType "UNKNOWN" 4672 4684 ) 4673 *15 3(Net4685 *154 (Net 4674 4686 uid 1559,0 4675 4687 decl (Decl … … 4687 4699 ) 4688 4700 xt "-90000,56600,-29000,57400" 4689 st "SIGNAL plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked 4690 " 4691 ) 4692 ) 4693 *154 (Net 4701 st "SIGNAL plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked" 4702 ) 4703 ) 4704 *155 (Net 4694 4705 uid 1682,0 4695 4706 lang 2 … … 4706 4717 ) 4707 4718 xt "-90000,24600,-68000,25400" 4708 st "SIGNAL ADC_CLK : std_logic 4709 " 4710 ) 4711 ) 4712 *155 (Net 4719 st "SIGNAL ADC_CLK : std_logic" 4720 ) 4721 ) 4722 *156 (Net 4713 4723 uid 2001,0 4714 4724 decl (Decl … … 4725 4735 ) 4726 4736 xt "-90000,32600,-55000,33400" 4727 st "SIGNAL REF_CLK : STD_LOGIC := '0' 4728 " 4729 ) 4730 ) 4731 *156 (SaComponent 4737 st "SIGNAL REF_CLK : STD_LOGIC := '0'" 4738 ) 4739 ) 4740 *157 (SaComponent 4732 4741 uid 2336,0 4733 4742 optionalChildren [ 4734 *15 7(CptPort4743 *158 (CptPort 4735 4744 uid 2315,0 4736 4745 ps "OnEdgeStrategy" … … 4769 4778 ) 4770 4779 ) 4771 *15 8(CptPort4780 *159 (CptPort 4772 4781 uid 2319,0 4773 4782 ps "OnEdgeStrategy" … … 4807 4816 ) 4808 4817 ) 4809 *1 59(CptPort4818 *160 (CptPort 4810 4819 uid 2323,0 4811 4820 ps "OnEdgeStrategy" … … 4843 4852 ) 4844 4853 ) 4845 *16 0(CptPort4854 *161 (CptPort 4846 4855 uid 2327,0 4847 4856 ps "OnEdgeStrategy" … … 4879 4888 ) 4880 4889 ) 4881 *16 1(CptPort4890 *162 (CptPort 4882 4891 uid 2331,0 4883 4892 ps "OnEdgeStrategy" … … 4915 4924 ) 4916 4925 ) 4917 *16 2(CptPort4926 *163 (CptPort 4918 4927 uid 2548,0 4919 4928 ps "OnEdgeStrategy" … … 4966 4975 stg "VerticalLayoutStrategy" 4967 4976 textVec [ 4968 *16 3(Text4977 *164 (Text 4969 4978 uid 2339,0 4970 4979 va (VaSet … … 4976 4985 tm "BdLibraryNameMgr" 4977 4986 ) 4978 *16 4(Text4987 *165 (Text 4979 4988 uid 2340,0 4980 4989 va (VaSet … … 4986 4995 tm "CptNameMgr" 4987 4996 ) 4988 *16 5(Text4997 *166 (Text 4989 4998 uid 2341,0 4990 4999 va (VaSet … … 5033 5042 archFileType "UNKNOWN" 5034 5043 ) 5035 *16 6(Net5044 *167 (Net 5036 5045 uid 2705,0 5037 5046 decl (Decl … … 5047 5056 ) 5048 5057 xt "-90000,49400,-68000,50200" 5049 st "SIGNAL debug_data_ram_empty : std_logic 5050 " 5051 ) 5052 ) 5053 *167 (Net 5058 st "SIGNAL debug_data_ram_empty : std_logic" 5059 ) 5060 ) 5061 *168 (Net 5054 5062 uid 2713,0 5055 5063 decl (Decl … … 5065 5073 ) 5066 5074 xt "-90000,50200,-68000,51000" 5067 st "SIGNAL debug_data_valid : std_logic 5068 " 5069 ) 5070 ) 5071 *168 (Net 5075 st "SIGNAL debug_data_valid : std_logic" 5076 ) 5077 ) 5078 *169 (Net 5072 5079 uid 2721,0 5073 5080 decl (Decl … … 5087 5094 xt "-90000,27000,-58500,28600" 5088 5095 st "-- for debugging 5089 SIGNAL DG_state : std_logic_vector(7 downto 0) 5090 " 5091 ) 5092 ) 5093 *169 (Net 5096 SIGNAL DG_state : std_logic_vector(7 downto 0)" 5097 ) 5098 ) 5099 *170 (Net 5094 5100 uid 2729,0 5095 5101 decl (Decl … … 5105 5111 ) 5106 5112 xt "-90000,30200,-68000,31000" 5107 st "SIGNAL FTM_RS485_rx_en : std_logic 5108 " 5109 ) 5110 ) 5111 *170 (Net 5113 st "SIGNAL FTM_RS485_rx_en : std_logic" 5114 ) 5115 ) 5116 *171 (Net 5112 5117 uid 2737,0 5113 5118 decl (Decl … … 5123 5128 ) 5124 5129 xt "-90000,31000,-68000,31800" 5125 st "SIGNAL FTM_RS485_tx_d : std_logic 5126 " 5127 ) 5128 ) 5129 *171 (Net 5130 st "SIGNAL FTM_RS485_tx_d : std_logic" 5131 ) 5132 ) 5133 *172 (Net 5130 5134 uid 2745,0 5131 5135 decl (Decl … … 5141 5145 ) 5142 5146 xt "-90000,31800,-68000,32600" 5143 st "SIGNAL FTM_RS485_tx_en : std_logic 5144 " 5145 ) 5146 ) 5147 *172 (Net 5147 st "SIGNAL FTM_RS485_tx_en : std_logic" 5148 ) 5149 ) 5150 *173 (Net 5148 5151 uid 2753,0 5149 5152 lang 2 … … 5163 5166 ) 5164 5167 xt "-90000,55000,-33000,55800" 5165 st "SIGNAL mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging. 5166 " 5167 ) 5168 ) 5169 *173 (Net 5168 st "SIGNAL mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging." 5169 ) 5170 ) 5171 *174 (Net 5170 5172 uid 2761,0 5171 5173 decl (Decl … … 5181 5183 font "Courier New,8,0" 5182 5184 ) 5183 xt "-90000,61400,-55000,62200" 5184 st "SIGNAL trigger_veto : std_logic := '1' 5185 " 5186 ) 5187 ) 5188 *174 (Net 5185 xt "-90000,62200,-55000,63000" 5186 st "SIGNAL trigger_veto : std_logic := '1'" 5187 ) 5188 ) 5189 *175 (Net 5189 5190 uid 2769,0 5190 5191 decl (Decl … … 5202 5203 font "Courier New,8,0" 5203 5204 ) 5204 xt "-90000,62200,-33000,63000" 5205 st "SIGNAL w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging. 5206 " 5207 ) 5208 ) 5209 *175 (Net 5205 xt "-90000,63000,-33000,63800" 5206 st "SIGNAL w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging." 5207 ) 5208 ) 5209 *176 (Net 5210 5210 uid 2777,0 5211 5211 decl (Decl … … 5221 5221 ) 5222 5222 xt "-90000,29400,-68000,30200" 5223 st "SIGNAL FTM_RS485_rx_d : std_logic 5223 st "SIGNAL FTM_RS485_rx_d : std_logic" 5224 ) 5225 ) 5226 *177 (Net 5227 uid 2942,0 5228 decl (Decl 5229 n "socket_tx_free_out" 5230 t "std_logic_vector" 5231 b "(16 DOWNTO 0)" 5232 eolc "-- 17bit value .. that's true" 5233 posAdd 0 5234 o 55 5235 suid 64,0 5236 ) 5237 declText (MLText 5238 uid 2943,0 5239 va (VaSet 5240 font "Courier New,8,0" 5241 ) 5242 xt "-90000,60600,-43000,61400" 5243 st "SIGNAL socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true" 5244 ) 5245 ) 5246 *178 (SaComponent 5247 uid 3285,0 5248 optionalChildren [ 5249 *179 (CptPort 5250 uid 3073,0 5251 ps "OnEdgeStrategy" 5252 shape (Triangle 5253 uid 3074,0 5254 ro 90 5255 va (VaSet 5256 vasetType 1 5257 fg "0,65535,0" 5258 ) 5259 xt "168000,19625,168750,20375" 5260 ) 5261 tg (CPTG 5262 uid 3075,0 5263 ps "CptPortTextPlaceStrategy" 5264 stg "RightVerticalLayoutStrategy" 5265 f (Text 5266 uid 3076,0 5267 va (VaSet 5268 ) 5269 xt "163400,19500,167000,20500" 5270 st "wiz_reset" 5271 ju 2 5272 blo "167000,20300" 5273 ) 5274 ) 5275 thePort (LogicalPort 5276 m 1 5277 decl (Decl 5278 n "wiz_reset" 5279 t "std_logic" 5280 o 50 5281 suid 2,0 5282 i "'1'" 5283 ) 5284 ) 5285 ) 5286 *180 (CptPort 5287 uid 3077,0 5288 ps "OnEdgeStrategy" 5289 shape (Triangle 5290 uid 3078,0 5291 ro 90 5292 va (VaSet 5293 vasetType 1 5294 fg "0,65535,0" 5295 ) 5296 xt "168000,65625,168750,66375" 5297 ) 5298 tg (CPTG 5299 uid 3079,0 5300 ps "CptPortTextPlaceStrategy" 5301 stg "RightVerticalLayoutStrategy" 5302 f (Text 5303 uid 3080,0 5304 va (VaSet 5305 ) 5306 xt "163000,65500,167000,66500" 5307 st "led : (7:0)" 5308 ju 2 5309 blo "167000,66300" 5310 ) 5311 ) 5312 thePort (LogicalPort 5313 m 1 5314 decl (Decl 5315 n "led" 5316 t "std_logic_vector" 5317 b "(7 DOWNTO 0)" 5318 posAdd 0 5319 o 38 5320 suid 7,0 5321 i "(OTHERS => '0')" 5322 ) 5323 ) 5324 ) 5325 *181 (CptPort 5326 uid 3081,0 5327 ps "OnEdgeStrategy" 5328 shape (Triangle 5329 uid 3082,0 5330 ro 90 5331 va (VaSet 5332 vasetType 1 5333 fg "0,65535,0" 5334 ) 5335 xt "139250,27625,140000,28375" 5336 ) 5337 tg (CPTG 5338 uid 3083,0 5339 ps "CptPortTextPlaceStrategy" 5340 stg "VerticalLayoutStrategy" 5341 f (Text 5342 uid 3084,0 5343 va (VaSet 5344 ) 5345 xt "141000,27500,143800,28500" 5346 st "trigger" 5347 blo "141000,28300" 5348 ) 5349 ) 5350 thePort (LogicalPort 5351 decl (Decl 5352 n "trigger" 5353 t "std_logic" 5354 preAdd 0 5355 posAdd 0 5356 o 14 5357 suid 18,0 5358 ) 5359 ) 5360 ) 5361 *182 (CptPort 5362 uid 3085,0 5363 ps "OnEdgeStrategy" 5364 shape (Triangle 5365 uid 3086,0 5366 ro 270 5367 va (VaSet 5368 vasetType 1 5369 fg "0,65535,0" 5370 ) 5371 xt "139250,38625,140000,39375" 5372 ) 5373 tg (CPTG 5374 uid 3087,0 5375 ps "CptPortTextPlaceStrategy" 5376 stg "VerticalLayoutStrategy" 5377 f (Text 5378 uid 3088,0 5379 va (VaSet 5380 ) 5381 xt "141000,38500,144200,39500" 5382 st "adc_oeb" 5383 blo "141000,39300" 5384 ) 5385 ) 5386 thePort (LogicalPort 5387 m 1 5388 decl (Decl 5389 n "adc_oeb" 5390 t "std_logic" 5391 o 26 5392 suid 21,0 5393 i "'1'" 5394 ) 5395 ) 5396 ) 5397 *183 (CptPort 5398 uid 3089,0 5399 ps "OnEdgeStrategy" 5400 shape (Triangle 5401 uid 3090,0 5402 ro 90 5403 va (VaSet 5404 vasetType 1 5405 fg "0,65535,0" 5406 ) 5407 xt "139250,29625,140000,30375" 5408 ) 5409 tg (CPTG 5410 uid 3091,0 5411 ps "CptPortTextPlaceStrategy" 5412 stg "VerticalLayoutStrategy" 5413 f (Text 5414 uid 3092,0 5415 va (VaSet 5416 ) 5417 xt "141000,29500,146900,30500" 5418 st "board_id : (3:0)" 5419 blo "141000,30300" 5420 ) 5421 ) 5422 thePort (LogicalPort 5423 decl (Decl 5424 n "board_id" 5425 t "std_logic_vector" 5426 b "(3 DOWNTO 0)" 5427 o 10 5428 suid 24,0 5429 ) 5430 ) 5431 ) 5432 *184 (CptPort 5433 uid 3093,0 5434 ps "OnEdgeStrategy" 5435 shape (Triangle 5436 uid 3094,0 5437 ro 90 5438 va (VaSet 5439 vasetType 1 5440 fg "0,65535,0" 5441 ) 5442 xt "139250,30625,140000,31375" 5443 ) 5444 tg (CPTG 5445 uid 3095,0 5446 ps "CptPortTextPlaceStrategy" 5447 stg "VerticalLayoutStrategy" 5448 f (Text 5449 uid 3096,0 5450 va (VaSet 5451 ) 5452 xt "141000,30500,146700,31500" 5453 st "crate_id : (1:0)" 5454 blo "141000,31300" 5455 ) 5456 ) 5457 thePort (LogicalPort 5458 decl (Decl 5459 n "crate_id" 5460 t "std_logic_vector" 5461 b "(1 DOWNTO 0)" 5462 o 11 5463 suid 25,0 5464 ) 5465 ) 5466 ) 5467 *185 (CptPort 5468 uid 3097,0 5469 ps "OnEdgeStrategy" 5470 shape (Triangle 5471 uid 3098,0 5472 ro 90 5473 va (VaSet 5474 vasetType 1 5475 fg "0,65535,0" 5476 ) 5477 xt "168000,16625,168750,17375" 5478 ) 5479 tg (CPTG 5480 uid 3099,0 5481 ps "CptPortTextPlaceStrategy" 5482 stg "RightVerticalLayoutStrategy" 5483 f (Text 5484 uid 3100,0 5485 va (VaSet 5486 ) 5487 xt "161000,16500,167000,17500" 5488 st "wiz_addr : (9:0)" 5489 ju 2 5490 blo "167000,17300" 5491 ) 5492 ) 5493 thePort (LogicalPort 5494 m 1 5495 decl (Decl 5496 n "wiz_addr" 5497 t "std_logic_vector" 5498 b "(9 DOWNTO 0)" 5499 o 47 5500 suid 26,0 5501 ) 5502 ) 5503 ) 5504 *186 (CptPort 5505 uid 3101,0 5506 ps "OnEdgeStrategy" 5507 shape (Diamond 5508 uid 3102,0 5509 ro 90 5510 va (VaSet 5511 vasetType 1 5512 fg "0,65535,0" 5513 ) 5514 xt "168000,17625,168750,18375" 5515 ) 5516 tg (CPTG 5517 uid 3103,0 5518 ps "CptPortTextPlaceStrategy" 5519 stg "RightVerticalLayoutStrategy" 5520 f (Text 5521 uid 3104,0 5522 va (VaSet 5523 ) 5524 xt "160700,17500,167000,18500" 5525 st "wiz_data : (15:0)" 5526 ju 2 5527 blo "167000,18300" 5528 ) 5529 ) 5530 thePort (LogicalPort 5531 m 2 5532 decl (Decl 5533 n "wiz_data" 5534 t "std_logic_vector" 5535 b "(15 DOWNTO 0)" 5536 o 53 5537 suid 27,0 5538 ) 5539 ) 5540 ) 5541 *187 (CptPort 5542 uid 3105,0 5543 ps "OnEdgeStrategy" 5544 shape (Triangle 5545 uid 3106,0 5546 ro 90 5547 va (VaSet 5548 vasetType 1 5549 fg "0,65535,0" 5550 ) 5551 xt "168000,23625,168750,24375" 5552 ) 5553 tg (CPTG 5554 uid 3107,0 5555 ps "CptPortTextPlaceStrategy" 5556 stg "RightVerticalLayoutStrategy" 5557 f (Text 5558 uid 3108,0 5559 va (VaSet 5560 ) 5561 xt "164300,23500,167000,24500" 5562 st "wiz_cs" 5563 ju 2 5564 blo "167000,24300" 5565 ) 5566 ) 5567 thePort (LogicalPort 5568 m 1 5569 decl (Decl 5570 n "wiz_cs" 5571 t "std_logic" 5572 o 48 5573 suid 28,0 5574 i "'1'" 5575 ) 5576 ) 5577 ) 5578 *188 (CptPort 5579 uid 3109,0 5580 ps "OnEdgeStrategy" 5581 shape (Triangle 5582 uid 3110,0 5583 ro 90 5584 va (VaSet 5585 vasetType 1 5586 fg "0,65535,0" 5587 ) 5588 xt "168000,21625,168750,22375" 5589 ) 5590 tg (CPTG 5591 uid 3111,0 5592 ps "CptPortTextPlaceStrategy" 5593 stg "RightVerticalLayoutStrategy" 5594 f (Text 5595 uid 3112,0 5596 va (VaSet 5597 ) 5598 xt "164300,21500,167000,22500" 5599 st "wiz_wr" 5600 ju 2 5601 blo "167000,22300" 5602 ) 5603 ) 5604 thePort (LogicalPort 5605 m 1 5606 decl (Decl 5607 n "wiz_wr" 5608 t "std_logic" 5609 o 51 5610 suid 29,0 5611 i "'1'" 5612 ) 5613 ) 5614 ) 5615 *189 (CptPort 5616 uid 3113,0 5617 ps "OnEdgeStrategy" 5618 shape (Triangle 5619 uid 3114,0 5620 ro 90 5621 va (VaSet 5622 vasetType 1 5623 fg "0,65535,0" 5624 ) 5625 xt "168000,20625,168750,21375" 5626 ) 5627 tg (CPTG 5628 uid 3115,0 5629 ps "CptPortTextPlaceStrategy" 5630 stg "RightVerticalLayoutStrategy" 5631 f (Text 5632 uid 3116,0 5633 va (VaSet 5634 ) 5635 xt "164400,20500,167000,21500" 5636 st "wiz_rd" 5637 ju 2 5638 blo "167000,21300" 5639 ) 5640 ) 5641 thePort (LogicalPort 5642 m 1 5643 decl (Decl 5644 n "wiz_rd" 5645 t "std_logic" 5646 o 49 5647 suid 30,0 5648 i "'1'" 5649 ) 5650 ) 5651 ) 5652 *190 (CptPort 5653 uid 3117,0 5654 ps "OnEdgeStrategy" 5655 shape (Triangle 5656 uid 3118,0 5657 ro 270 5658 va (VaSet 5659 vasetType 1 5660 fg "0,65535,0" 5661 ) 5662 xt "168000,22625,168750,23375" 5663 ) 5664 tg (CPTG 5665 uid 3119,0 5666 ps "CptPortTextPlaceStrategy" 5667 stg "RightVerticalLayoutStrategy" 5668 f (Text 5669 uid 3120,0 5670 va (VaSet 5671 ) 5672 xt "164300,22500,167000,23500" 5673 st "wiz_int" 5674 ju 2 5675 blo "167000,23300" 5676 ) 5677 ) 5678 thePort (LogicalPort 5679 decl (Decl 5680 n "wiz_int" 5681 t "std_logic" 5682 o 15 5683 suid 31,0 5684 ) 5685 ) 5686 ) 5687 *191 (CptPort 5688 uid 3121,0 5689 ps "OnEdgeStrategy" 5690 shape (Triangle 5691 uid 3122,0 5692 ro 270 5693 va (VaSet 5694 vasetType 1 5695 fg "0,65535,0" 5696 ) 5697 xt "139250,18625,140000,19375" 5698 ) 5699 tg (CPTG 5700 uid 3123,0 5701 ps "CptPortTextPlaceStrategy" 5702 stg "VerticalLayoutStrategy" 5703 f (Text 5704 uid 3124,0 5705 va (VaSet 5706 ) 5707 xt "141000,18500,145500,19500" 5708 st "CLK_25_PS" 5709 blo "141000,19300" 5710 ) 5711 ) 5712 thePort (LogicalPort 5713 m 1 5714 decl (Decl 5715 n "CLK_25_PS" 5716 t "std_logic" 5717 o 17 5718 suid 35,0 5719 ) 5720 ) 5721 ) 5722 *192 (CptPort 5723 uid 3125,0 5724 ps "OnEdgeStrategy" 5725 shape (Triangle 5726 uid 3126,0 5727 ro 270 5728 va (VaSet 5729 vasetType 1 5730 fg "0,65535,0" 5731 ) 5732 xt "139250,17625,140000,18375" 5733 ) 5734 tg (CPTG 5735 uid 3127,0 5736 ps "CptPortTextPlaceStrategy" 5737 stg "VerticalLayoutStrategy" 5738 f (Text 5739 uid 3128,0 5740 va (VaSet 5741 ) 5742 xt "141000,17500,144100,18500" 5743 st "CLK_50" 5744 blo "141000,18300" 5745 ) 5746 ) 5747 thePort (LogicalPort 5748 m 1 5749 decl (Decl 5750 n "CLK_50" 5751 t "std_logic" 5752 preAdd 0 5753 posAdd 0 5754 o 18 5755 suid 37,0 5756 ) 5757 ) 5758 ) 5759 *193 (CptPort 5760 uid 3129,0 5761 ps "OnEdgeStrategy" 5762 shape (Triangle 5763 uid 3130,0 5764 ro 90 5765 va (VaSet 5766 vasetType 1 5767 fg "0,65535,0" 5768 ) 5769 xt "139250,16625,140000,17375" 5770 ) 5771 tg (CPTG 5772 uid 3131,0 5773 ps "CptPortTextPlaceStrategy" 5774 stg "VerticalLayoutStrategy" 5775 f (Text 5776 uid 3132,0 5777 va (VaSet 5778 ) 5779 xt "141000,16500,142900,17500" 5780 st "CLK" 5781 blo "141000,17300" 5782 ) 5783 ) 5784 thePort (LogicalPort 5785 decl (Decl 5786 n "CLK" 5787 t "std_logic" 5788 o 1 5789 suid 38,0 5790 ) 5791 ) 5792 ) 5793 *194 (CptPort 5794 uid 3133,0 5795 ps "OnEdgeStrategy" 5796 shape (Triangle 5797 uid 3134,0 5798 ro 90 5799 va (VaSet 5800 vasetType 1 5801 fg "0,65535,0" 5802 ) 5803 xt "139250,37625,140000,38375" 5804 ) 5805 tg (CPTG 5806 uid 3135,0 5807 ps "CptPortTextPlaceStrategy" 5808 stg "VerticalLayoutStrategy" 5809 f (Text 5810 uid 3136,0 5811 va (VaSet 5812 ) 5813 xt "141000,37500,149000,38500" 5814 st "adc_otr_array : (3:0)" 5815 blo "141000,38300" 5816 ) 5817 ) 5818 thePort (LogicalPort 5819 decl (Decl 5820 n "adc_otr_array" 5821 t "std_logic_vector" 5822 b "(3 DOWNTO 0)" 5823 o 9 5824 suid 40,0 5825 ) 5826 ) 5827 ) 5828 *195 (CptPort 5829 uid 3137,0 5830 ps "OnEdgeStrategy" 5831 shape (Triangle 5832 uid 3138,0 5833 ro 90 5834 va (VaSet 5835 vasetType 1 5836 fg "0,65535,0" 5837 ) 5838 xt "139250,43625,140000,44375" 5839 ) 5840 tg (CPTG 5841 uid 3139,0 5842 ps "CptPortTextPlaceStrategy" 5843 stg "VerticalLayoutStrategy" 5844 f (Text 5845 uid 3140,0 5846 va (VaSet 5847 ) 5848 xt "141000,43500,146900,44500" 5849 st "adc_data_array" 5850 blo "141000,44300" 5851 ) 5852 ) 5853 thePort (LogicalPort 5854 decl (Decl 5855 n "adc_data_array" 5856 t "adc_data_array_type" 5857 o 8 5858 suid 41,0 5859 ) 5860 ) 5861 ) 5862 *196 (CptPort 5863 uid 3141,0 5864 ps "OnEdgeStrategy" 5865 shape (Triangle 5866 uid 3142,0 5867 ro 270 5868 va (VaSet 5869 vasetType 1 5870 fg "0,65535,0" 5871 ) 5872 xt "139250,57625,140000,58375" 5873 ) 5874 tg (CPTG 5875 uid 3143,0 5876 ps "CptPortTextPlaceStrategy" 5877 stg "VerticalLayoutStrategy" 5878 f (Text 5879 uid 3144,0 5880 va (VaSet 5881 ) 5882 xt "141000,57500,149500,58500" 5883 st "drs_channel_id : (3:0)" 5884 blo "141000,58300" 5885 ) 5886 ) 5887 thePort (LogicalPort 5888 m 1 5889 decl (Decl 5890 n "drs_channel_id" 5891 t "std_logic_vector" 5892 b "(3 downto 0)" 5893 o 35 5894 suid 48,0 5895 i "(others => '0')" 5896 ) 5897 ) 5898 ) 5899 *197 (CptPort 5900 uid 3145,0 5901 ps "OnEdgeStrategy" 5902 shape (Triangle 5903 uid 3146,0 5904 ro 270 5905 va (VaSet 5906 vasetType 1 5907 fg "0,65535,0" 5908 ) 5909 xt "139250,62625,140000,63375" 5910 ) 5911 tg (CPTG 5912 uid 3147,0 5913 ps "CptPortTextPlaceStrategy" 5914 stg "VerticalLayoutStrategy" 5915 f (Text 5916 uid 3148,0 5917 va (VaSet 5918 ) 5919 xt "141000,62500,145300,63500" 5920 st "drs_dwrite" 5921 blo "141000,63300" 5922 ) 5923 ) 5924 thePort (LogicalPort 5925 m 1 5926 decl (Decl 5927 n "drs_dwrite" 5928 t "std_logic" 5929 o 36 5930 suid 49,0 5931 i "'1'" 5932 ) 5933 ) 5934 ) 5935 *198 (CptPort 5936 uid 3149,0 5937 ps "OnEdgeStrategy" 5938 shape (Triangle 5939 uid 3150,0 5940 ro 90 5941 va (VaSet 5942 vasetType 1 5943 fg "0,65535,0" 5944 ) 5945 xt "139250,53625,140000,54375" 5946 ) 5947 tg (CPTG 5948 uid 3151,0 5949 ps "CptPortTextPlaceStrategy" 5950 stg "VerticalLayoutStrategy" 5951 f (Text 5952 uid 3152,0 5953 va (VaSet 5954 ) 5955 xt "141000,53500,146400,54500" 5956 st "SROUT_in_0" 5957 blo "141000,54300" 5958 ) 5959 ) 5960 thePort (LogicalPort 5961 decl (Decl 5962 n "SROUT_in_0" 5963 t "std_logic" 5964 o 4 5965 suid 52,0 5966 ) 5967 ) 5968 ) 5969 *199 (CptPort 5970 uid 3153,0 5971 ps "OnEdgeStrategy" 5972 shape (Triangle 5973 uid 3154,0 5974 ro 90 5975 va (VaSet 5976 vasetType 1 5977 fg "0,65535,0" 5978 ) 5979 xt "139250,54625,140000,55375" 5980 ) 5981 tg (CPTG 5982 uid 3155,0 5983 ps "CptPortTextPlaceStrategy" 5984 stg "VerticalLayoutStrategy" 5985 f (Text 5986 uid 3156,0 5987 va (VaSet 5988 ) 5989 xt "141000,54500,146400,55500" 5990 st "SROUT_in_1" 5991 blo "141000,55300" 5992 ) 5993 ) 5994 thePort (LogicalPort 5995 decl (Decl 5996 n "SROUT_in_1" 5997 t "std_logic" 5998 o 5 5999 suid 53,0 6000 ) 6001 ) 6002 ) 6003 *200 (CptPort 6004 uid 3157,0 6005 ps "OnEdgeStrategy" 6006 shape (Triangle 6007 uid 3158,0 6008 ro 90 6009 va (VaSet 6010 vasetType 1 6011 fg "0,65535,0" 6012 ) 6013 xt "139250,55625,140000,56375" 6014 ) 6015 tg (CPTG 6016 uid 3159,0 6017 ps "CptPortTextPlaceStrategy" 6018 stg "VerticalLayoutStrategy" 6019 f (Text 6020 uid 3160,0 6021 va (VaSet 6022 ) 6023 xt "141000,55500,146400,56500" 6024 st "SROUT_in_2" 6025 blo "141000,56300" 6026 ) 6027 ) 6028 thePort (LogicalPort 6029 decl (Decl 6030 n "SROUT_in_2" 6031 t "std_logic" 6032 o 6 6033 suid 54,0 6034 ) 6035 ) 6036 ) 6037 *201 (CptPort 6038 uid 3161,0 6039 ps "OnEdgeStrategy" 6040 shape (Triangle 6041 uid 3162,0 6042 ro 90 6043 va (VaSet 6044 vasetType 1 6045 fg "0,65535,0" 6046 ) 6047 xt "139250,56625,140000,57375" 6048 ) 6049 tg (CPTG 6050 uid 3163,0 6051 ps "CptPortTextPlaceStrategy" 6052 stg "VerticalLayoutStrategy" 6053 f (Text 6054 uid 3164,0 6055 va (VaSet 6056 ) 6057 xt "141000,56500,146400,57500" 6058 st "SROUT_in_3" 6059 blo "141000,57300" 6060 ) 6061 ) 6062 thePort (LogicalPort 6063 decl (Decl 6064 n "SROUT_in_3" 6065 t "std_logic" 6066 o 7 6067 suid 55,0 6068 ) 6069 ) 6070 ) 6071 *202 (CptPort 6072 uid 3165,0 6073 ps "OnEdgeStrategy" 6074 shape (Triangle 6075 uid 3166,0 6076 ro 270 6077 va (VaSet 6078 vasetType 1 6079 fg "0,65535,0" 6080 ) 6081 xt "139250,59625,140000,60375" 6082 ) 6083 tg (CPTG 6084 uid 3167,0 6085 ps "CptPortTextPlaceStrategy" 6086 stg "VerticalLayoutStrategy" 6087 f (Text 6088 uid 3168,0 6089 va (VaSet 6090 ) 6091 xt "141000,59500,145200,60500" 6092 st "RSRLOAD" 6093 blo "141000,60300" 6094 ) 6095 ) 6096 thePort (LogicalPort 6097 m 1 6098 decl (Decl 6099 n "RSRLOAD" 6100 t "std_logic" 6101 o 23 6102 suid 56,0 6103 i "'0'" 6104 ) 6105 ) 6106 ) 6107 *203 (CptPort 6108 uid 3169,0 6109 ps "OnEdgeStrategy" 6110 shape (Triangle 6111 uid 3170,0 6112 ro 270 6113 va (VaSet 6114 vasetType 1 6115 fg "0,65535,0" 6116 ) 6117 xt "139250,60625,140000,61375" 6118 ) 6119 tg (CPTG 6120 uid 3171,0 6121 ps "CptPortTextPlaceStrategy" 6122 stg "VerticalLayoutStrategy" 6123 f (Text 6124 uid 3172,0 6125 va (VaSet 6126 ) 6127 xt "141000,60500,144000,61500" 6128 st "SRCLK" 6129 blo "141000,61300" 6130 ) 6131 ) 6132 thePort (LogicalPort 6133 m 1 6134 decl (Decl 6135 n "SRCLK" 6136 t "std_logic" 6137 o 24 6138 suid 57,0 6139 i "'0'" 6140 ) 6141 ) 6142 ) 6143 *204 (CptPort 6144 uid 3173,0 6145 ps "OnEdgeStrategy" 6146 shape (Triangle 6147 uid 3174,0 6148 ro 90 6149 va (VaSet 6150 vasetType 1 6151 fg "0,65535,0" 6152 ) 6153 xt "168000,46625,168750,47375" 6154 ) 6155 tg (CPTG 6156 uid 3175,0 6157 ps "CptPortTextPlaceStrategy" 6158 stg "RightVerticalLayoutStrategy" 6159 f (Text 6160 uid 3176,0 6161 va (VaSet 6162 ) 6163 xt "165300,46500,167000,47500" 6164 st "sclk" 6165 ju 2 6166 blo "167000,47300" 6167 ) 6168 ) 6169 thePort (LogicalPort 6170 m 1 6171 decl (Decl 6172 n "sclk" 6173 t "std_logic" 6174 o 42 6175 suid 62,0 6176 ) 6177 ) 6178 ) 6179 *205 (CptPort 6180 uid 3177,0 6181 ps "OnEdgeStrategy" 6182 shape (Diamond 6183 uid 3178,0 6184 ro 90 6185 va (VaSet 6186 vasetType 1 6187 fg "0,65535,0" 6188 ) 6189 xt "168000,47625,168750,48375" 6190 ) 6191 tg (CPTG 6192 uid 3179,0 6193 ps "CptPortTextPlaceStrategy" 6194 stg "RightVerticalLayoutStrategy" 6195 f (Text 6196 uid 3180,0 6197 va (VaSet 6198 ) 6199 xt "165600,47500,167000,48500" 6200 st "sio" 6201 ju 2 6202 blo "167000,48300" 6203 ) 6204 ) 6205 thePort (LogicalPort 6206 m 2 6207 decl (Decl 6208 n "sio" 6209 t "std_logic" 6210 preAdd 0 6211 posAdd 0 6212 o 52 6213 suid 63,0 6214 ) 6215 ) 6216 ) 6217 *206 (CptPort 6218 uid 3181,0 6219 ps "OnEdgeStrategy" 6220 shape (Triangle 6221 uid 3182,0 6222 ro 90 6223 va (VaSet 6224 vasetType 1 6225 fg "0,65535,0" 6226 ) 6227 xt "168000,35625,168750,36375" 6228 ) 6229 tg (CPTG 6230 uid 3183,0 6231 ps "CptPortTextPlaceStrategy" 6232 stg "RightVerticalLayoutStrategy" 6233 f (Text 6234 uid 3184,0 6235 va (VaSet 6236 ) 6237 xt "164200,35500,167000,36500" 6238 st "dac_cs" 6239 ju 2 6240 blo "167000,36300" 6241 ) 6242 ) 6243 thePort (LogicalPort 6244 m 1 6245 decl (Decl 6246 n "dac_cs" 6247 t "std_logic" 6248 o 31 6249 suid 64,0 6250 ) 6251 ) 6252 ) 6253 *207 (CptPort 6254 uid 3185,0 6255 ps "OnEdgeStrategy" 6256 shape (Triangle 6257 uid 3186,0 6258 ro 90 6259 va (VaSet 6260 vasetType 1 6261 fg "0,65535,0" 6262 ) 6263 xt "168000,37625,168750,38375" 6264 ) 6265 tg (CPTG 6266 uid 3187,0 6267 ps "CptPortTextPlaceStrategy" 6268 stg "RightVerticalLayoutStrategy" 6269 f (Text 6270 uid 3188,0 6271 va (VaSet 6272 ) 6273 xt "160500,37500,167000,38500" 6274 st "sensor_cs : (3:0)" 6275 ju 2 6276 blo "167000,38300" 6277 ) 6278 ) 6279 thePort (LogicalPort 6280 m 1 6281 decl (Decl 6282 n "sensor_cs" 6283 t "std_logic_vector" 6284 b "(3 DOWNTO 0)" 6285 o 43 6286 suid 65,0 6287 ) 6288 ) 6289 ) 6290 *208 (CptPort 6291 uid 3189,0 6292 ps "OnEdgeStrategy" 6293 shape (Triangle 6294 uid 3190,0 6295 ro 90 6296 va (VaSet 6297 vasetType 1 6298 fg "0,65535,0" 6299 ) 6300 xt "168000,48625,168750,49375" 6301 ) 6302 tg (CPTG 6303 uid 3191,0 6304 ps "CptPortTextPlaceStrategy" 6305 stg "RightVerticalLayoutStrategy" 6306 f (Text 6307 uid 3192,0 6308 va (VaSet 6309 ) 6310 xt "165000,48500,167000,49500" 6311 st "mosi" 6312 ju 2 6313 blo "167000,49300" 6314 ) 6315 ) 6316 thePort (LogicalPort 6317 m 1 6318 decl (Decl 6319 n "mosi" 6320 t "std_logic" 6321 o 40 6322 suid 66,0 6323 i "'0'" 6324 ) 6325 ) 6326 ) 6327 *209 (CptPort 6328 uid 3193,0 6329 ps "OnEdgeStrategy" 6330 shape (Triangle 6331 uid 3194,0 6332 ro 270 6333 va (VaSet 6334 vasetType 1 6335 fg "0,65535,0" 6336 ) 6337 xt "139250,61625,140000,62375" 6338 ) 6339 tg (CPTG 6340 uid 3195,0 6341 ps "CptPortTextPlaceStrategy" 6342 stg "VerticalLayoutStrategy" 6343 f (Text 6344 uid 3196,0 6345 va (VaSet 6346 ) 6347 xt "141000,61500,144000,62500" 6348 st "denable" 6349 blo "141000,62300" 6350 ) 6351 ) 6352 thePort (LogicalPort 6353 m 1 6354 decl (Decl 6355 n "denable" 6356 t "std_logic" 6357 eolc "-- default domino wave off" 6358 posAdd 0 6359 o 34 6360 suid 67,0 6361 i "'0'" 6362 ) 6363 ) 6364 ) 6365 *210 (CptPort 6366 uid 3197,0 6367 ps "OnEdgeStrategy" 6368 shape (Triangle 6369 uid 3198,0 6370 ro 270 6371 va (VaSet 6372 vasetType 1 6373 fg "0,65535,0" 6374 ) 6375 xt "139250,67625,140000,68375" 6376 ) 6377 tg (CPTG 6378 uid 3199,0 6379 ps "CptPortTextPlaceStrategy" 6380 stg "VerticalLayoutStrategy" 6381 f (Text 6382 uid 3200,0 6383 va (VaSet 6384 ) 6385 xt "141000,67500,144700,68500" 6386 st "SRIN_out" 6387 blo "141000,68300" 6388 ) 6389 ) 6390 thePort (LogicalPort 6391 m 1 6392 decl (Decl 6393 n "SRIN_out" 6394 t "std_logic" 6395 o 25 6396 suid 85,0 6397 i "'0'" 6398 ) 6399 ) 6400 ) 6401 *211 (CptPort 6402 uid 3201,0 6403 ps "OnEdgeStrategy" 6404 shape (Triangle 6405 uid 3202,0 6406 ro 90 6407 va (VaSet 6408 vasetType 1 6409 fg "0,65535,0" 6410 ) 6411 xt "168000,73625,168750,74375" 6412 ) 6413 tg (CPTG 6414 uid 3203,0 6415 ps "CptPortTextPlaceStrategy" 6416 stg "RightVerticalLayoutStrategy" 6417 f (Text 6418 uid 3204,0 6419 va (VaSet 6420 ) 6421 xt "164600,73500,167000,74500" 6422 st "green" 6423 ju 2 6424 blo "167000,74300" 6425 ) 6426 ) 6427 thePort (LogicalPort 6428 m 1 6429 decl (Decl 6430 n "green" 6431 t "std_logic" 6432 o 37 6433 suid 86,0 6434 ) 6435 ) 6436 ) 6437 *212 (CptPort 6438 uid 3205,0 6439 ps "OnEdgeStrategy" 6440 shape (Triangle 6441 uid 3206,0 6442 ro 90 6443 va (VaSet 6444 vasetType 1 6445 fg "0,65535,0" 6446 ) 6447 xt "168000,75625,168750,76375" 6448 ) 6449 tg (CPTG 6450 uid 3207,0 6451 ps "CptPortTextPlaceStrategy" 6452 stg "RightVerticalLayoutStrategy" 6453 f (Text 6454 uid 3208,0 6455 va (VaSet 6456 ) 6457 xt "164500,75500,167000,76500" 6458 st "amber" 6459 ju 2 6460 blo "167000,76300" 6461 ) 6462 ) 6463 thePort (LogicalPort 6464 m 1 6465 decl (Decl 6466 n "amber" 6467 t "std_logic" 6468 o 29 6469 suid 87,0 6470 ) 6471 ) 6472 ) 6473 *213 (CptPort 6474 uid 3209,0 6475 ps "OnEdgeStrategy" 6476 shape (Triangle 6477 uid 3210,0 6478 ro 90 6479 va (VaSet 6480 vasetType 1 6481 fg "0,65535,0" 6482 ) 6483 xt "168000,74625,168750,75375" 6484 ) 6485 tg (CPTG 6486 uid 3211,0 6487 ps "CptPortTextPlaceStrategy" 6488 stg "RightVerticalLayoutStrategy" 6489 f (Text 6490 uid 3212,0 6491 va (VaSet 6492 ) 6493 xt "165500,74500,167000,75500" 6494 st "red" 6495 ju 2 6496 blo "167000,75300" 6497 ) 6498 ) 6499 thePort (LogicalPort 6500 m 1 6501 decl (Decl 6502 n "red" 6503 t "std_logic" 6504 o 41 6505 suid 88,0 6506 ) 6507 ) 6508 ) 6509 *214 (CptPort 6510 uid 3213,0 6511 ps "OnEdgeStrategy" 6512 shape (Triangle 6513 uid 3214,0 6514 ro 90 6515 va (VaSet 6516 vasetType 1 6517 fg "0,65535,0" 6518 ) 6519 xt "139250,70625,140000,71375" 6520 ) 6521 tg (CPTG 6522 uid 3215,0 6523 ps "CptPortTextPlaceStrategy" 6524 stg "VerticalLayoutStrategy" 6525 f (Text 6526 uid 3216,0 6527 va (VaSet 6528 ) 6529 xt "141000,70500,146500,71500" 6530 st "D_T_in : (1:0)" 6531 blo "141000,71300" 6532 ) 6533 ) 6534 thePort (LogicalPort 6535 decl (Decl 6536 n "D_T_in" 6537 t "std_logic_vector" 6538 b "(1 DOWNTO 0)" 6539 o 2 6540 suid 91,0 6541 ) 6542 ) 6543 ) 6544 *215 (CptPort 6545 uid 3217,0 6546 ps "OnEdgeStrategy" 6547 shape (Triangle 6548 uid 3218,0 6549 ro 90 6550 va (VaSet 6551 vasetType 1 6552 fg "0,65535,0" 6553 ) 6554 xt "139250,71625,140000,72375" 6555 ) 6556 tg (CPTG 6557 uid 3219,0 6558 ps "CptPortTextPlaceStrategy" 6559 stg "VerticalLayoutStrategy" 6560 f (Text 6561 uid 3220,0 6562 va (VaSet 6563 ) 6564 xt "141000,71500,146100,72500" 6565 st "drs_refclk_in" 6566 blo "141000,72300" 6567 ) 6568 ) 6569 thePort (LogicalPort 6570 decl (Decl 6571 n "drs_refclk_in" 6572 t "std_logic" 6573 eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit" 6574 o 12 6575 suid 92,0 6576 ) 6577 ) 6578 ) 6579 *216 (CptPort 6580 uid 3221,0 6581 ps "OnEdgeStrategy" 6582 shape (Triangle 6583 uid 3222,0 6584 ro 90 6585 va (VaSet 6586 vasetType 1 6587 fg "0,65535,0" 6588 ) 6589 xt "139250,72625,140000,73375" 6590 ) 6591 tg (CPTG 6592 uid 3223,0 6593 ps "CptPortTextPlaceStrategy" 6594 stg "VerticalLayoutStrategy" 6595 f (Text 6596 uid 3224,0 6597 va (VaSet 6598 ) 6599 xt "141000,72500,147100,73500" 6600 st "plllock_in : (3:0)" 6601 blo "141000,73300" 6602 ) 6603 ) 6604 thePort (LogicalPort 6605 decl (Decl 6606 n "plllock_in" 6607 t "std_logic_vector" 6608 b "(3 DOWNTO 0)" 6609 eolc "-- high level, if dominowave is running and DRS PLL locked" 6610 o 13 6611 suid 93,0 6612 ) 6613 ) 6614 ) 6615 *217 (CptPort 6616 uid 3225,0 6617 ps "OnEdgeStrategy" 6618 shape (Triangle 6619 uid 3226,0 6620 ro 90 6621 va (VaSet 6622 vasetType 1 6623 fg "0,65535,0" 6624 ) 6625 xt "168000,72625,168750,73375" 6626 ) 6627 tg (CPTG 6628 uid 3227,0 6629 ps "CptPortTextPlaceStrategy" 6630 stg "RightVerticalLayoutStrategy" 6631 f (Text 6632 uid 3228,0 6633 va (VaSet 6634 ) 6635 xt "158400,72500,167000,73500" 6636 st "counter_result : (11:0)" 6637 ju 2 6638 blo "167000,73300" 6639 ) 6640 ) 6641 thePort (LogicalPort 6642 m 1 6643 decl (Decl 6644 n "counter_result" 6645 t "std_logic_vector" 6646 b "(11 DOWNTO 0)" 6647 o 30 6648 suid 94,0 6649 ) 6650 ) 6651 ) 6652 *218 (CptPort 6653 uid 3229,0 6654 ps "OnEdgeStrategy" 6655 shape (Triangle 6656 uid 3230,0 6657 ro 90 6658 va (VaSet 6659 vasetType 1 6660 fg "0,65535,0" 6661 ) 6662 xt "168000,69625,168750,70375" 6663 ) 6664 tg (CPTG 6665 uid 3231,0 6666 ps "CptPortTextPlaceStrategy" 6667 stg "RightVerticalLayoutStrategy" 6668 f (Text 6669 uid 3232,0 6670 va (VaSet 6671 ) 6672 xt "158400,69500,167000,70500" 6673 st "alarm_refclk_too_high" 6674 ju 2 6675 blo "167000,70300" 6676 ) 6677 ) 6678 thePort (LogicalPort 6679 m 1 6680 decl (Decl 6681 n "alarm_refclk_too_high" 6682 t "std_logic" 6683 o 27 6684 suid 95,0 6685 ) 6686 ) 6687 ) 6688 *219 (CptPort 6689 uid 3233,0 6690 ps "OnEdgeStrategy" 6691 shape (Triangle 6692 uid 3234,0 6693 ro 90 6694 va (VaSet 6695 vasetType 1 6696 fg "0,65535,0" 6697 ) 6698 xt "168000,70625,168750,71375" 6699 ) 6700 tg (CPTG 6701 uid 3235,0 6702 ps "CptPortTextPlaceStrategy" 6703 stg "RightVerticalLayoutStrategy" 6704 f (Text 6705 uid 3236,0 6706 va (VaSet 6707 ) 6708 xt "158800,70500,167000,71500" 6709 st "alarm_refclk_too_low" 6710 ju 2 6711 blo "167000,71300" 6712 ) 6713 ) 6714 thePort (LogicalPort 6715 m 1 6716 decl (Decl 6717 n "alarm_refclk_too_low" 6718 t "std_logic" 6719 posAdd 0 6720 o 28 6721 suid 96,0 6722 ) 6723 ) 6724 ) 6725 *220 (CptPort 6726 uid 3237,0 6727 ps "OnEdgeStrategy" 6728 shape (Triangle 6729 uid 3238,0 6730 ro 270 6731 va (VaSet 6732 vasetType 1 6733 fg "0,65535,0" 6734 ) 6735 xt "139250,19625,140000,20375" 6736 ) 6737 tg (CPTG 6738 uid 3239,0 6739 ps "CptPortTextPlaceStrategy" 6740 stg "VerticalLayoutStrategy" 6741 f (Text 6742 uid 3240,0 6743 va (VaSet 6744 ) 6745 xt "141000,19500,145000,20500" 6746 st "ADC_CLK" 6747 blo "141000,20300" 6748 ) 6749 ) 6750 thePort (LogicalPort 6751 lang 2 6752 m 1 6753 decl (Decl 6754 n "ADC_CLK" 6755 t "std_logic" 6756 o 16 6757 suid 97,0 6758 ) 6759 ) 6760 ) 6761 *221 (CptPort 6762 uid 3241,0 6763 ps "OnEdgeStrategy" 6764 shape (Triangle 6765 uid 3242,0 6766 ro 90 6767 va (VaSet 6768 vasetType 1 6769 fg "0,65535,0" 6770 ) 6771 xt "168000,83625,168750,84375" 6772 ) 6773 tg (CPTG 6774 uid 3243,0 6775 ps "CptPortTextPlaceStrategy" 6776 stg "RightVerticalLayoutStrategy" 6777 f (Text 6778 uid 3244,0 6779 va (VaSet 6780 ) 6781 xt "162100,83500,167000,84500" 6782 st "trigger_veto" 6783 ju 2 6784 blo "167000,84300" 6785 ) 6786 ) 6787 thePort (LogicalPort 6788 m 1 6789 decl (Decl 6790 n "trigger_veto" 6791 t "std_logic" 6792 o 45 6793 suid 98,0 6794 i "'1'" 6795 ) 6796 ) 6797 ) 6798 *222 (CptPort 6799 uid 3245,0 6800 ps "OnEdgeStrategy" 6801 shape (Triangle 6802 uid 3246,0 6803 ro 90 6804 va (VaSet 6805 vasetType 1 6806 fg "0,65535,0" 6807 ) 6808 xt "139250,73625,140000,74375" 6809 ) 6810 tg (CPTG 6811 uid 3247,0 6812 ps "CptPortTextPlaceStrategy" 6813 stg "VerticalLayoutStrategy" 6814 f (Text 6815 uid 3248,0 6816 va (VaSet 6817 ) 6818 xt "141000,73500,148000,74500" 6819 st "FTM_RS485_rx_d" 6820 blo "141000,74300" 6821 ) 6822 ) 6823 thePort (LogicalPort 6824 decl (Decl 6825 n "FTM_RS485_rx_d" 6826 t "std_logic" 6827 o 3 6828 suid 99,0 6829 ) 6830 ) 6831 ) 6832 *223 (CptPort 6833 uid 3249,0 6834 ps "OnEdgeStrategy" 6835 shape (Triangle 6836 uid 3250,0 6837 ro 90 6838 va (VaSet 6839 vasetType 1 6840 fg "0,65535,0" 6841 ) 6842 xt "168000,80625,168750,81375" 6843 ) 6844 tg (CPTG 6845 uid 3251,0 6846 ps "CptPortTextPlaceStrategy" 6847 stg "RightVerticalLayoutStrategy" 6848 f (Text 6849 uid 3252,0 6850 va (VaSet 6851 ) 6852 xt "160100,80500,167000,81500" 6853 st "FTM_RS485_tx_d" 6854 ju 2 6855 blo "167000,81300" 6856 ) 6857 ) 6858 thePort (LogicalPort 6859 m 1 6860 decl (Decl 6861 n "FTM_RS485_tx_d" 6862 t "std_logic" 6863 o 21 6864 suid 100,0 6865 ) 6866 ) 6867 ) 6868 *224 (CptPort 6869 uid 3253,0 6870 ps "OnEdgeStrategy" 6871 shape (Triangle 6872 uid 3254,0 6873 ro 90 6874 va (VaSet 6875 vasetType 1 6876 fg "0,65535,0" 6877 ) 6878 xt "168000,79625,168750,80375" 6879 ) 6880 tg (CPTG 6881 uid 3255,0 6882 ps "CptPortTextPlaceStrategy" 6883 stg "RightVerticalLayoutStrategy" 6884 f (Text 6885 uid 3256,0 6886 va (VaSet 6887 ) 6888 xt "159600,79500,167000,80500" 6889 st "FTM_RS485_rx_en" 6890 ju 2 6891 blo "167000,80300" 6892 ) 6893 ) 6894 thePort (LogicalPort 6895 m 1 6896 decl (Decl 6897 n "FTM_RS485_rx_en" 6898 t "std_logic" 6899 o 20 6900 suid 101,0 6901 ) 6902 ) 6903 ) 6904 *225 (CptPort 6905 uid 3257,0 6906 ps "OnEdgeStrategy" 6907 shape (Triangle 6908 uid 3258,0 6909 ro 90 6910 va (VaSet 6911 vasetType 1 6912 fg "0,65535,0" 6913 ) 6914 xt "168000,81625,168750,82375" 6915 ) 6916 tg (CPTG 6917 uid 3259,0 6918 ps "CptPortTextPlaceStrategy" 6919 stg "RightVerticalLayoutStrategy" 6920 f (Text 6921 uid 3260,0 6922 va (VaSet 6923 ) 6924 xt "159700,81500,167000,82500" 6925 st "FTM_RS485_tx_en" 6926 ju 2 6927 blo "167000,82300" 6928 ) 6929 ) 6930 thePort (LogicalPort 6931 m 1 6932 decl (Decl 6933 n "FTM_RS485_tx_en" 6934 t "std_logic" 6935 o 22 6936 suid 102,0 6937 ) 6938 ) 6939 ) 6940 *226 (CptPort 6941 uid 3261,0 6942 ps "OnEdgeStrategy" 6943 shape (Triangle 6944 uid 3262,0 6945 ro 90 6946 va (VaSet 6947 vasetType 1 6948 fg "0,65535,0" 6949 ) 6950 xt "168000,84625,168750,85375" 6951 ) 6952 tg (CPTG 6953 uid 3263,0 6954 ps "CptPortTextPlaceStrategy" 6955 stg "RightVerticalLayoutStrategy" 6956 f (Text 6957 uid 3264,0 6958 va (VaSet 6959 ) 6960 xt "159900,84500,167000,85500" 6961 st "w5300_state : (7:0)" 6962 ju 2 6963 blo "167000,85300" 6964 ) 6965 ) 6966 thePort (LogicalPort 6967 m 1 6968 decl (Decl 6969 n "w5300_state" 6970 t "std_logic_vector" 6971 b "(7 DOWNTO 0)" 6972 eolc "-- state is encoded here ... useful for debugging." 6973 posAdd 0 6974 o 46 6975 suid 103,0 6976 ) 6977 ) 6978 ) 6979 *227 (CptPort 6980 uid 3265,0 6981 ps "OnEdgeStrategy" 6982 shape (Triangle 6983 uid 3266,0 6984 ro 90 6985 va (VaSet 6986 vasetType 1 6987 fg "0,65535,0" 6988 ) 6989 xt "168000,76625,168750,77375" 6990 ) 6991 tg (CPTG 6992 uid 3267,0 6993 ps "CptPortTextPlaceStrategy" 6994 stg "RightVerticalLayoutStrategy" 6995 f (Text 6996 uid 3268,0 6997 va (VaSet 6998 ) 6999 xt "157900,76500,167000,77500" 7000 st "debug_data_ram_empty" 7001 ju 2 7002 blo "167000,77300" 7003 ) 7004 ) 7005 thePort (LogicalPort 7006 m 1 7007 decl (Decl 7008 n "debug_data_ram_empty" 7009 t "std_logic" 7010 o 32 7011 suid 104,0 7012 ) 7013 ) 7014 ) 7015 *228 (CptPort 7016 uid 3269,0 7017 ps "OnEdgeStrategy" 7018 shape (Triangle 7019 uid 3270,0 7020 ro 90 7021 va (VaSet 7022 vasetType 1 7023 fg "0,65535,0" 7024 ) 7025 xt "168000,77625,168750,78375" 7026 ) 7027 tg (CPTG 7028 uid 3271,0 7029 ps "CptPortTextPlaceStrategy" 7030 stg "RightVerticalLayoutStrategy" 7031 f (Text 7032 uid 3272,0 7033 va (VaSet 7034 ) 7035 xt "160400,77500,167000,78500" 7036 st "debug_data_valid" 7037 ju 2 7038 blo "167000,78300" 7039 ) 7040 ) 7041 thePort (LogicalPort 7042 m 1 7043 decl (Decl 7044 n "debug_data_valid" 7045 t "std_logic" 7046 o 33 7047 suid 105,0 7048 ) 7049 ) 7050 ) 7051 *229 (CptPort 7052 uid 3273,0 7053 ps "OnEdgeStrategy" 7054 shape (Triangle 7055 uid 3274,0 7056 ro 90 7057 va (VaSet 7058 vasetType 1 7059 fg "0,65535,0" 7060 ) 7061 xt "168000,82625,168750,83375" 7062 ) 7063 tg (CPTG 7064 uid 3275,0 7065 ps "CptPortTextPlaceStrategy" 7066 stg "RightVerticalLayoutStrategy" 7067 f (Text 7068 uid 3276,0 7069 va (VaSet 7070 ) 7071 xt "156600,82500,167000,83500" 7072 st "mem_manager_state : (3:0)" 7073 ju 2 7074 blo "167000,83300" 7075 ) 7076 ) 7077 thePort (LogicalPort 7078 lang 2 7079 m 1 7080 decl (Decl 7081 n "mem_manager_state" 7082 t "std_logic_vector" 7083 b "(3 DOWNTO 0)" 7084 eolc "-- state is encoded here ... useful for debugging." 7085 posAdd 0 7086 o 39 7087 suid 106,0 7088 ) 7089 ) 7090 ) 7091 *230 (CptPort 7092 uid 3277,0 7093 ps "OnEdgeStrategy" 7094 shape (Triangle 7095 uid 3278,0 7096 ro 90 7097 va (VaSet 7098 vasetType 1 7099 fg "0,65535,0" 7100 ) 7101 xt "168000,78625,168750,79375" 7102 ) 7103 tg (CPTG 7104 uid 3279,0 7105 ps "CptPortTextPlaceStrategy" 7106 stg "RightVerticalLayoutStrategy" 7107 f (Text 7108 uid 3280,0 7109 va (VaSet 7110 ) 7111 xt "160800,78500,167000,79500" 7112 st "DG_state : (7:0)" 7113 ju 2 7114 blo "167000,79300" 7115 ) 7116 ) 7117 thePort (LogicalPort 7118 m 1 7119 decl (Decl 7120 n "DG_state" 7121 t "std_logic_vector" 7122 b "(7 downto 0)" 7123 prec "-- for debugging" 7124 preAdd 0 7125 o 19 7126 suid 108,0 7127 ) 7128 ) 7129 ) 7130 *231 (CptPort 7131 uid 3281,0 7132 ps "OnEdgeStrategy" 7133 shape (Triangle 7134 uid 3282,0 7135 ro 90 7136 va (VaSet 7137 vasetType 1 7138 fg "0,65535,0" 7139 ) 7140 xt "168000,85625,168750,86375" 7141 ) 7142 tg (CPTG 7143 uid 3283,0 7144 ps "CptPortTextPlaceStrategy" 7145 stg "RightVerticalLayoutStrategy" 7146 f (Text 7147 uid 3284,0 7148 va (VaSet 7149 ) 7150 xt "157100,85500,167000,86500" 7151 st "socket_tx_free_out : (16:0)" 7152 ju 2 7153 blo "167000,86300" 7154 ) 7155 ) 7156 thePort (LogicalPort 7157 m 1 7158 decl (Decl 7159 n "socket_tx_free_out" 7160 t "std_logic_vector" 7161 b "(16 DOWNTO 0)" 7162 eolc "-- 17bit value .. that's true" 7163 posAdd 0 7164 o 44 7165 suid 109,0 7166 ) 7167 ) 7168 ) 7169 ] 7170 shape (Rectangle 7171 uid 3286,0 7172 va (VaSet 7173 vasetType 1 7174 fg "0,65535,0" 7175 lineColor "0,32896,0" 7176 lineWidth 2 7177 ) 7178 xt "140000,15000,168000,87000" 7179 ) 7180 oxt "15000,-8000,43000,80000" 7181 ttg (MlTextGroup 7182 uid 3287,0 7183 ps "CenterOffsetStrategy" 7184 stg "VerticalLayoutStrategy" 7185 textVec [ 7186 *232 (Text 7187 uid 3288,0 7188 va (VaSet 7189 font "Arial,8,1" 7190 ) 7191 xt "144200,80000,150400,81000" 7192 st "FACT_FAD_lib" 7193 blo "144200,80800" 7194 tm "BdLibraryNameMgr" 7195 ) 7196 *233 (Text 7197 uid 3289,0 7198 va (VaSet 7199 font "Arial,8,1" 7200 ) 7201 xt "144200,81000,154000,82000" 7202 st "FAD_main_with_w53002" 7203 blo "144200,81800" 7204 tm "CptNameMgr" 7205 ) 7206 *234 (Text 7207 uid 3290,0 7208 va (VaSet 7209 font "Arial,8,1" 7210 ) 7211 xt "144200,82000,145200,83000" 7212 st "I0" 7213 blo "144200,82800" 7214 tm "InstanceNameMgr" 7215 ) 7216 ] 7217 ) 7218 ga (GenericAssociation 7219 uid 3291,0 7220 ps "EdgeToEdgeStrategy" 7221 matrix (Matrix 7222 uid 3292,0 7223 text (MLText 7224 uid 3293,0 7225 va (VaSet 7226 font "Courier New,8,0" 7227 ) 7228 xt "142000,14200,162000,15000" 7229 st "RAMADDRWIDTH64b = 15 ( integer ) 5224 7230 " 5225 7231 ) 5226 ) 5227 *176 (Wire 7232 header "" 7233 ) 7234 elements [ 7235 (GiElement 7236 name "RAMADDRWIDTH64b" 7237 type "integer" 7238 value "15" 7239 ) 7240 ] 7241 ) 7242 viewicon (ZoomableIcon 7243 uid 3294,0 7244 sl 0 7245 va (VaSet 7246 vasetType 1 7247 fg "49152,49152,49152" 7248 ) 7249 xt "140250,85250,141750,86750" 7250 iconName "BlockDiagram.png" 7251 iconMaskName "BlockDiagram.msk" 7252 ftype 1 7253 ) 7254 viewiconposition 0 7255 portVis (PortSigDisplay 7256 ) 7257 archFileType "UNKNOWN" 7258 ) 7259 *235 (Wire 5228 7260 uid 286,0 5229 7261 shape (OrthoPolyLine … … 5238 7270 ] 5239 7271 ) 5240 start & 697272 start &70 5241 7273 end &27 5242 7274 sat 32 … … 5259 7291 ) 5260 7292 ) 5261 on &7 45262 ) 5263 * 177(Wire7293 on &75 7294 ) 7295 *236 (Wire 5264 7296 uid 318,0 5265 7297 shape (OrthoPolyLine … … 5276 7308 ) 5277 7309 start &19 5278 end &15 77310 end &158 5279 7311 sat 32 5280 7312 eat 32 … … 5297 7329 ) 5298 7330 ) 5299 on &7 55300 ) 5301 * 178(Wire7331 on &76 7332 ) 7333 *237 (Wire 5302 7334 uid 324,0 5303 7335 shape (OrthoPolyLine … … 5314 7346 ) 5315 7347 start &20 5316 end &15 87348 end &159 5317 7349 sat 32 5318 7350 eat 32 … … 5335 7367 ) 5336 7368 ) 5337 on &7 65338 ) 5339 * 179(Wire7369 on &77 7370 ) 7371 *238 (Wire 5340 7372 uid 330,0 5341 7373 shape (OrthoPolyLine … … 5351 7383 ) 5352 7384 start &23 5353 end &1 597385 end &160 5354 7386 sat 32 5355 7387 eat 32 … … 5371 7403 ) 5372 7404 ) 5373 on &7 75374 ) 5375 * 180(Wire7405 on &78 7406 ) 7407 *239 (Wire 5376 7408 uid 336,0 5377 7409 shape (OrthoPolyLine … … 5387 7419 ) 5388 7420 start &22 5389 end &16 07421 end &161 5390 7422 sat 32 5391 7423 eat 32 … … 5407 7439 ) 5408 7440 ) 5409 on &7 85410 ) 5411 * 181(Wire7441 on &79 7442 ) 7443 *240 (Wire 5412 7444 uid 374,0 5413 7445 shape (OrthoPolyLine … … 5426 7458 ) 5427 7459 start &41 5428 end &8 27460 end &83 5429 7461 sat 32 5430 7462 eat 32 … … 5447 7479 ) 5448 7480 ) 5449 on &8 65450 ) 5451 * 182(Wire7481 on &87 7482 ) 7483 *241 (Wire 5452 7484 uid 380,0 5453 7485 shape (OrthoPolyLine … … 5463 7495 ) 5464 7496 start &38 5465 end &8 07497 end &81 5466 7498 sat 32 5467 7499 eat 32 … … 5483 7515 ) 5484 7516 ) 5485 on &8 75486 ) 5487 * 183(Wire7517 on &88 7518 ) 7519 *242 (Wire 5488 7520 uid 386,0 5489 7521 shape (OrthoPolyLine … … 5499 7531 ) 5500 7532 start &39 5501 end &8 17533 end &82 5502 7534 sat 32 5503 7535 eat 32 … … 5519 7551 ) 5520 7552 ) 5521 on &8 85522 ) 5523 * 184(Wire7553 on &89 7554 ) 7555 *243 (Wire 5524 7556 uid 426,0 5525 7557 shape (OrthoPolyLine … … 5534 7566 ] 5535 7567 ) 5536 start &9 07568 start &91 5537 7569 end &15 5538 7570 sat 32 … … 5554 7586 ) 5555 7587 ) 5556 on &9 45557 ) 5558 * 185(Wire7588 on &95 7589 ) 7590 *244 (Wire 5559 7591 uid 442,0 5560 7592 shape (OrthoPolyLine … … 5573 7605 ) 5574 7606 start &17 5575 end &9 57607 end &96 5576 7608 sat 32 5577 7609 eat 2 … … 5594 7626 ) 5595 7627 ) 5596 on & 995597 ) 5598 * 186(Wire7628 on &100 7629 ) 7630 *245 (Wire 5599 7631 uid 450,0 5600 7632 shape (OrthoPolyLine … … 5613 7645 ) 5614 7646 start &18 5615 end &9 57647 end &96 5616 7648 sat 32 5617 7649 eat 2 … … 5634 7666 ) 5635 7667 ) 5636 on &10 05637 ) 5638 * 187(Wire7668 on &101 7669 ) 7670 *246 (Wire 5639 7671 uid 530,0 5640 7672 shape (OrthoPolyLine … … 5653 7685 ) 5654 7686 start &28 5655 end &1 097687 end &110 5656 7688 sat 32 5657 7689 eat 2 … … 5674 7706 ) 5675 7707 ) 5676 on &11 35677 ) 5678 * 188(Wire7708 on &114 7709 ) 7710 *247 (Wire 5679 7711 uid 538,0 5680 7712 shape (OrthoPolyLine … … 5693 7725 ) 5694 7726 start &29 5695 end &1 097727 end &110 5696 7728 sat 32 5697 7729 eat 2 … … 5714 7746 ) 5715 7747 ) 5716 on &11 45717 ) 5718 * 189(Wire7748 on &115 7749 ) 7750 *248 (Wire 5719 7751 uid 546,0 5720 7752 shape (OrthoPolyLine … … 5732 7764 ) 5733 7765 start &16 5734 end &1 097766 end &110 5735 7767 sat 32 5736 7768 eat 1 … … 5752 7784 ) 5753 7785 ) 5754 on &11 55755 ) 5756 * 190(Wire7786 on &116 7787 ) 7788 *249 (Wire 5757 7789 uid 554,0 5758 7790 shape (OrthoPolyLine … … 5767 7799 ] 5768 7800 ) 5769 start &1 095770 end &10 57801 start &110 7802 end &106 5771 7803 sat 2 5772 7804 eat 32 … … 5787 7819 ) 5788 7820 ) 5789 on &11 55790 ) 5791 * 191(Wire7821 on &116 7822 ) 7823 *250 (Wire 5792 7824 uid 562,0 5793 7825 shape (OrthoPolyLine … … 5802 7834 ] 5803 7835 ) 5804 start &10 45805 end &1 097836 start &105 7837 end &110 5806 7838 sat 32 5807 7839 eat 1 … … 5822 7854 ) 5823 7855 ) 5824 on &11 65825 ) 5826 * 192(Wire7856 on &117 7857 ) 7858 *251 (Wire 5827 7859 uid 570,0 5828 7860 shape (OrthoPolyLine … … 5838 7870 ] 5839 7871 ) 5840 start &10 35841 end &1 097872 start &104 7873 end &110 5842 7874 sat 32 5843 7875 eat 1 … … 5859 7891 ) 5860 7892 ) 5861 on &11 75862 ) 5863 * 193(Wire7893 on &118 7894 ) 7895 *252 (Wire 5864 7896 uid 578,0 5865 7897 shape (OrthoPolyLine … … 5874 7906 ] 5875 7907 ) 5876 start &10 27908 start &103 5877 7909 sat 32 5878 7910 eat 16 … … 5893 7925 ) 5894 7926 ) 5895 on &15 45896 ) 5897 * 194(Wire7927 on &155 7928 ) 7929 *253 (Wire 5898 7930 uid 769,0 5899 7931 shape (OrthoPolyLine … … 5928 7960 ) 5929 7961 ) 5930 on &11 85931 ) 5932 * 195(Wire7962 on &119 7963 ) 7964 *254 (Wire 5933 7965 uid 777,0 5934 7966 shape (OrthoPolyLine … … 5965 7997 ) 5966 7998 ) 5967 on &1 195968 ) 5969 * 196(Wire7999 on &120 8000 ) 8001 *255 (Wire 5970 8002 uid 785,0 5971 8003 shape (OrthoPolyLine … … 5981 8013 ) 5982 8014 start &21 5983 end &16 28015 end &163 5984 8016 sat 32 5985 8017 eat 32 … … 6001 8033 ) 6002 8034 ) 6003 on &12 06004 ) 6005 * 197(Wire8035 on &121 8036 ) 8037 *256 (Wire 6006 8038 uid 793,0 6007 8039 shape (OrthoPolyLine … … 6016 8048 ] 6017 8049 ) 6018 start &16 18050 start &162 6019 8051 end &24 6020 8052 sat 32 … … 6037 8069 ) 6038 8070 ) 6039 on &12 16040 ) 6041 * 198(Wire8071 on &122 8072 ) 8073 *257 (Wire 6042 8074 uid 801,0 6043 8075 shape (OrthoPolyLine … … 6072 8104 ) 6073 8105 ) 6074 on &12 26075 ) 6076 * 199(Wire8106 on &123 8107 ) 8108 *258 (Wire 6077 8109 uid 809,0 6078 8110 shape (OrthoPolyLine … … 6107 8139 ) 6108 8140 ) 6109 on &12 36110 ) 6111 *2 00(Wire8141 on &124 8142 ) 8143 *259 (Wire 6112 8144 uid 817,0 6113 8145 shape (OrthoPolyLine … … 6142 8174 ) 6143 8175 ) 6144 on &12 46145 ) 6146 *2 01(Wire8176 on &125 8177 ) 8178 *260 (Wire 6147 8179 uid 825,0 6148 8180 shape (OrthoPolyLine … … 6177 8209 ) 6178 8210 ) 6179 on &12 56180 ) 6181 *2 02(Wire8211 on &126 8212 ) 8213 *261 (Wire 6182 8214 uid 833,0 6183 8215 shape (OrthoPolyLine … … 6212 8244 ) 6213 8245 ) 6214 on &12 66215 ) 6216 *2 03(Wire8246 on &127 8247 ) 8248 *262 (Wire 6217 8249 uid 841,0 6218 8250 shape (OrthoPolyLine … … 6249 8281 ) 6250 8282 ) 6251 on &12 76252 ) 6253 *2 04(Wire8283 on &128 8284 ) 8285 *263 (Wire 6254 8286 uid 849,0 6255 8287 shape (OrthoPolyLine … … 6285 8317 ) 6286 8318 ) 6287 on &12 86288 ) 6289 *2 05(Wire8319 on &129 8320 ) 8321 *264 (Wire 6290 8322 uid 857,0 6291 8323 shape (OrthoPolyLine … … 6320 8352 ) 6321 8353 ) 6322 on &1 296323 ) 6324 *2 06(Wire8354 on &130 8355 ) 8356 *265 (Wire 6325 8357 uid 865,0 6326 8358 shape (OrthoPolyLine … … 6355 8387 ) 6356 8388 ) 6357 on &13 06358 ) 6359 *2 07(Wire8389 on &131 8390 ) 8391 *266 (Wire 6360 8392 uid 873,0 6361 8393 shape (OrthoPolyLine … … 6390 8422 ) 6391 8423 ) 6392 on &13 16393 ) 6394 *2 08(Wire8424 on &132 8425 ) 8426 *267 (Wire 6395 8427 uid 881,0 6396 8428 shape (OrthoPolyLine … … 6425 8457 ) 6426 8458 ) 6427 on &13 26428 ) 6429 *2 09(Wire8459 on &133 8460 ) 8461 *268 (Wire 6430 8462 uid 889,0 6431 8463 shape (OrthoPolyLine … … 6460 8492 ) 6461 8493 ) 6462 on &13 36463 ) 6464 *2 10(Wire8494 on &134 8495 ) 8496 *269 (Wire 6465 8497 uid 897,0 6466 8498 shape (OrthoPolyLine … … 6495 8527 ) 6496 8528 ) 6497 on &13 46498 ) 6499 *2 11(Wire8529 on &135 8530 ) 8531 *270 (Wire 6500 8532 uid 1437,0 6501 8533 shape (OrthoPolyLine … … 6530 8562 ) 6531 8563 ) 6532 on &13 56533 ) 6534 *2 12(Wire8564 on &136 8565 ) 8566 *271 (Wire 6535 8567 uid 1445,0 6536 8568 shape (OrthoPolyLine … … 6565 8597 ) 6566 8598 ) 6567 on &13 66568 ) 6569 *2 13(Wire8599 on &137 8600 ) 8601 *272 (Wire 6570 8602 uid 1453,0 6571 8603 shape (OrthoPolyLine … … 6600 8632 ) 6601 8633 ) 6602 on &13 76603 ) 6604 *2 14(Wire8634 on &138 8635 ) 8636 *273 (Wire 6605 8637 uid 1461,0 6606 8638 shape (OrthoPolyLine … … 6635 8667 ) 6636 8668 ) 6637 on &13 86638 ) 6639 *2 15(Wire8669 on &139 8670 ) 8671 *274 (Wire 6640 8672 uid 1469,0 6641 8673 shape (OrthoPolyLine … … 6672 8704 ) 6673 8705 ) 6674 on &1 396675 ) 6676 *2 16(Wire8706 on &140 8707 ) 8708 *275 (Wire 6677 8709 uid 1477,0 6678 8710 shape (OrthoPolyLine … … 6707 8739 ) 6708 8740 ) 6709 on &14 06710 ) 6711 *2 17(Wire8741 on &141 8742 ) 8743 *276 (Wire 6712 8744 uid 1485,0 6713 8745 shape (OrthoPolyLine … … 6742 8774 ) 6743 8775 ) 6744 on &14 16745 ) 6746 *2 18(Wire8776 on &142 8777 ) 8778 *277 (Wire 6747 8779 uid 1503,0 6748 8780 shape (OrthoPolyLine … … 6779 8811 ) 6780 8812 ) 6781 on &14 66782 ) 6783 *2 19(Wire8813 on &147 8814 ) 8815 *278 (Wire 6784 8816 uid 1529,0 6785 8817 shape (OrthoPolyLine … … 6796 8828 ] 6797 8829 ) 6798 start &14 88830 start &149 6799 8831 end &49 6800 8832 sat 32 … … 6817 8849 ) 6818 8850 ) 6819 on &15 56820 ) 6821 *2 20(Wire8851 on &156 8852 ) 8853 *279 (Wire 6822 8854 uid 1533,0 6823 8855 shape (OrthoPolyLine … … 6832 8864 ] 6833 8865 ) 6834 start &14 28866 start &143 6835 8867 sat 2 6836 8868 eat 16 … … 6852 8884 ) 6853 8885 ) 6854 on &14 66855 ) 6856 *2 21(Wire8886 on &147 8887 ) 8888 *280 (Wire 6857 8889 uid 1561,0 6858 8890 shape (OrthoPolyLine … … 6889 8921 ) 6890 8922 ) 6891 on &15 36892 ) 6893 *2 22(Wire8923 on &154 8924 ) 8925 *281 (Wire 6894 8926 uid 1567,0 6895 8927 shape (OrthoPolyLine … … 6904 8936 ] 6905 8937 ) 6906 start &14 28938 start &143 6907 8939 sat 2 6908 8940 eat 16 … … 6924 8956 ) 6925 8957 ) 6926 on &15 36927 ) 6928 *2 23(Wire8958 on &154 8959 ) 8960 *282 (Wire 6929 8961 uid 1684,0 6930 8962 shape (OrthoPolyLine … … 6959 8991 ) 6960 8992 ) 6961 on &15 46962 ) 6963 *2 24(Wire8993 on &155 8994 ) 8995 *283 (Wire 6964 8996 uid 2707,0 6965 8997 shape (OrthoPolyLine … … 6994 9026 ) 6995 9027 ) 6996 on &16 66997 ) 6998 *2 25(Wire9028 on &167 9029 ) 9030 *284 (Wire 6999 9031 uid 2715,0 7000 9032 shape (OrthoPolyLine … … 7029 9061 ) 7030 9062 ) 7031 on &16 77032 ) 7033 *2 26(Wire9063 on &168 9064 ) 9065 *285 (Wire 7034 9066 uid 2723,0 7035 9067 shape (OrthoPolyLine … … 7066 9098 ) 7067 9099 ) 7068 on &16 87069 ) 7070 *2 27(Wire9100 on &169 9101 ) 9102 *286 (Wire 7071 9103 uid 2731,0 7072 9104 shape (OrthoPolyLine … … 7101 9133 ) 7102 9134 ) 7103 on &1 697104 ) 7105 *2 28(Wire9135 on &170 9136 ) 9137 *287 (Wire 7106 9138 uid 2739,0 7107 9139 shape (OrthoPolyLine … … 7136 9168 ) 7137 9169 ) 7138 on &17 07139 ) 7140 *2 29(Wire9170 on &171 9171 ) 9172 *288 (Wire 7141 9173 uid 2747,0 7142 9174 shape (OrthoPolyLine … … 7171 9203 ) 7172 9204 ) 7173 on &17 17174 ) 7175 *2 30(Wire9205 on &172 9206 ) 9207 *289 (Wire 7176 9208 uid 2755,0 7177 9209 shape (OrthoPolyLine … … 7208 9240 ) 7209 9241 ) 7210 on &17 27211 ) 7212 *2 31(Wire9242 on &173 9243 ) 9244 *290 (Wire 7213 9245 uid 2763,0 7214 9246 shape (OrthoPolyLine … … 7243 9275 ) 7244 9276 ) 7245 on &17 37246 ) 7247 *2 32(Wire9277 on &174 9278 ) 9279 *291 (Wire 7248 9280 uid 2771,0 7249 9281 shape (OrthoPolyLine … … 7280 9312 ) 7281 9313 ) 7282 on &17 47283 ) 7284 *2 33(Wire9314 on &175 9315 ) 9316 *292 (Wire 7285 9317 uid 2779,0 7286 9318 shape (OrthoPolyLine … … 7315 9347 ) 7316 9348 ) 7317 on &175 9349 on &176 9350 ) 9351 *293 (Wire 9352 uid 2944,0 9353 shape (OrthoPolyLine 9354 uid 2945,0 9355 va (VaSet 9356 vasetType 3 9357 lineWidth 2 9358 ) 9359 xt "109750,90000,124000,90000" 9360 pts [ 9361 "109750,90000" 9362 "124000,90000" 9363 ] 9364 ) 9365 start &65 9366 sat 32 9367 eat 16 9368 sty 1 9369 st 0 9370 sf 1 9371 si 0 9372 tg (WTG 9373 uid 2948,0 9374 ps "ConnStartEndStrategy" 9375 stg "STSignalDisplayStrategy" 9376 f (Text 9377 uid 2949,0 9378 va (VaSet 9379 ) 9380 xt "111000,89000,122900,90000" 9381 st "socket_tx_free_out : (16:0)" 9382 blo "111000,89800" 9383 tm "WireNameMgr" 9384 ) 9385 ) 9386 on &177 7318 9387 ) 7319 9388 ] … … 7329 9398 color "26368,26368,26368" 7330 9399 ) 7331 packageList *2 34 (PackageList9400 packageList *294 (PackageList 7332 9401 uid 41,0 7333 9402 stg "VerticalLayoutStrategy" 7334 9403 textVec [ 7335 *2 35 (Text9404 *295 (Text 7336 9405 uid 42,0 7337 9406 va (VaSet … … 7342 9411 blo "-87000,800" 7343 9412 ) 7344 *2 36 (MLText9413 *296 (MLText 7345 9414 uid 43,0 7346 9415 va (VaSet 7347 9416 ) 7348 xt "-87000,1000,-7 0900,11000"9417 xt "-87000,1000,-72500,11000" 7349 9418 st "LIBRARY ieee; 7350 9419 USE ieee.std_logic_1164.all; … … 7365 9434 stg "VerticalLayoutStrategy" 7366 9435 textVec [ 7367 *2 37 (Text9436 *297 (Text 7368 9437 uid 45,0 7369 9438 va (VaSet … … 7375 9444 blo "20000,800" 7376 9445 ) 7377 *2 38 (Text9446 *298 (Text 7378 9447 uid 46,0 7379 9448 va (VaSet … … 7385 9454 blo "20000,1800" 7386 9455 ) 7387 *2 39 (MLText9456 *299 (MLText 7388 9457 uid 47,0 7389 9458 va (VaSet 7390 9459 isHidden 1 7391 9460 ) 7392 xt "20000,2000,2 8200,4000"9461 xt "20000,2000,27500,4000" 7393 9462 st "`resetall 7394 9463 `timescale 1ns/10ps" 7395 9464 tm "BdCompilerDirectivesTextMgr" 7396 9465 ) 7397 * 240 (Text9466 *300 (Text 7398 9467 uid 48,0 7399 9468 va (VaSet … … 7405 9474 blo "20000,4800" 7406 9475 ) 7407 * 241 (MLText9476 *301 (MLText 7408 9477 uid 49,0 7409 9478 va (VaSet … … 7413 9482 tm "BdCompilerDirectivesTextMgr" 7414 9483 ) 7415 * 242 (Text9484 *302 (Text 7416 9485 uid 50,0 7417 9486 va (VaSet … … 7423 9492 blo "20000,5800" 7424 9493 ) 7425 * 243 (MLText9494 *303 (MLText 7426 9495 uid 51,0 7427 9496 va (VaSet … … 7434 9503 associable 1 7435 9504 ) 7436 windowSize "0, 20,1681,1050"7437 viewArea " 69198,38598,161304,96306"7438 cachedDiagramExtent "-92000,0,1 46000,98000"9505 windowSize "0,0,1281,1024" 9506 viewArea "53418,13863,168802,105975" 9507 cachedDiagramExtent "-92000,0,168750,98000" 7439 9508 pageSetupInfo (PageSetupInfo 7440 9509 ptrCmd "" … … 7448 9517 hasePageBreakOrigin 1 7449 9518 pageBreakOrigin "-146000,0" 7450 lastUid 2804,09519 lastUid 3294,0 7451 9520 defaultCommentText (CommentText 7452 9521 shape (Rectangle … … 7463 9532 fg "0,0,32768" 7464 9533 ) 7465 xt "200,200,2 400,1200"9534 xt "200,200,2000,1200" 7466 9535 st " 7467 9536 Text … … 7510 9579 stg "VerticalLayoutStrategy" 7511 9580 textVec [ 7512 * 244 (Text9581 *304 (Text 7513 9582 va (VaSet 7514 9583 font "Arial,8,1" … … 7519 9588 tm "BdLibraryNameMgr" 7520 9589 ) 7521 * 245 (Text9590 *305 (Text 7522 9591 va (VaSet 7523 9592 font "Arial,8,1" … … 7528 9597 tm "BlkNameMgr" 7529 9598 ) 7530 * 246 (Text9599 *306 (Text 7531 9600 va (VaSet 7532 9601 font "Arial,8,1" … … 7579 9648 stg "VerticalLayoutStrategy" 7580 9649 textVec [ 7581 * 247 (Text9650 *307 (Text 7582 9651 va (VaSet 7583 9652 font "Arial,8,1" … … 7587 9656 blo "550,4300" 7588 9657 ) 7589 * 248 (Text9658 *308 (Text 7590 9659 va (VaSet 7591 9660 font "Arial,8,1" … … 7595 9664 blo "550,5300" 7596 9665 ) 7597 * 249 (Text9666 *309 (Text 7598 9667 va (VaSet 7599 9668 font "Arial,8,1" … … 7644 9713 stg "VerticalLayoutStrategy" 7645 9714 textVec [ 7646 * 250 (Text9715 *310 (Text 7647 9716 va (VaSet 7648 9717 font "Arial,8,1" … … 7653 9722 tm "BdLibraryNameMgr" 7654 9723 ) 7655 * 251 (Text9724 *311 (Text 7656 9725 va (VaSet 7657 9726 font "Arial,8,1" … … 7662 9731 tm "CptNameMgr" 7663 9732 ) 7664 * 252 (Text9733 *312 (Text 7665 9734 va (VaSet 7666 9735 font "Arial,8,1" … … 7716 9785 stg "VerticalLayoutStrategy" 7717 9786 textVec [ 7718 * 253 (Text9787 *313 (Text 7719 9788 va (VaSet 7720 9789 font "Arial,8,1" … … 7724 9793 blo "500,4300" 7725 9794 ) 7726 * 254 (Text9795 *314 (Text 7727 9796 va (VaSet 7728 9797 font "Arial,8,1" … … 7732 9801 blo "500,5300" 7733 9802 ) 7734 * 255 (Text9803 *315 (Text 7735 9804 va (VaSet 7736 9805 font "Arial,8,1" … … 7777 9846 stg "VerticalLayoutStrategy" 7778 9847 textVec [ 7779 * 256 (Text9848 *316 (Text 7780 9849 va (VaSet 7781 9850 font "Arial,8,1" … … 7785 9854 blo "50,4300" 7786 9855 ) 7787 * 257 (Text9856 *317 (Text 7788 9857 va (VaSet 7789 9858 font "Arial,8,1" … … 7793 9862 blo "50,5300" 7794 9863 ) 7795 * 258 (Text9864 *318 (Text 7796 9865 va (VaSet 7797 9866 font "Arial,8,1" … … 7834 9903 stg "VerticalLayoutStrategy" 7835 9904 textVec [ 7836 * 259 (Text9905 *319 (Text 7837 9906 va (VaSet 7838 9907 font "Arial,8,1" … … 7843 9912 tm "HdlTextNameMgr" 7844 9913 ) 7845 * 260 (Text9914 *320 (Text 7846 9915 va (VaSet 7847 9916 font "Arial,8,1" … … 7881 9950 va (VaSet 7882 9951 ) 7883 xt "200,200,2 400,1200"9952 xt "200,200,2000,1200" 7884 9953 st " 7885 9954 Text … … 8219 10288 va (VaSet 8220 10289 ) 8221 xt "0,-1100,12 900,-100"10290 xt "0,-1100,12600,-100" 8222 10291 st "g0: FOR i IN 0 TO n GENERATE" 8223 10292 tm "FrameTitleTextMgr" … … 8246 10315 stg "VerticalLayoutStrategy" 8247 10316 textVec [ 8248 * 261 (Text10317 *321 (Text 8249 10318 va (VaSet 8250 10319 font "Arial,8,1" … … 8254 10323 blo "14100,20800" 8255 10324 ) 8256 * 262 (MLText10325 *322 (MLText 8257 10326 va (VaSet 8258 10327 ) … … 8279 10348 va (VaSet 8280 10349 ) 8281 xt "0,-1100,7 700,-100"10350 xt "0,-1100,7400,-100" 8282 10351 st "b0: BLOCK (guard)" 8283 10352 tm "FrameTitleTextMgr" … … 8306 10375 stg "VerticalLayoutStrategy" 8307 10376 textVec [ 8308 * 263 (Text10377 *323 (Text 8309 10378 va (VaSet 8310 10379 font "Arial,8,1" … … 8314 10383 blo "14100,20800" 8315 10384 ) 8316 * 264 (MLText10385 *324 (MLText 8317 10386 va (VaSet 8318 10387 ) … … 8458 10527 commonDM (CommonDM 8459 10528 ldm (LogicalDM 8460 suid 6 2,010529 suid 64,0 8461 10530 usingSuid 1 8462 emptyRow * 265 (LEmptyRow10531 emptyRow *325 (LEmptyRow 8463 10532 ) 8464 10533 uid 54,0 8465 10534 optionalChildren [ 8466 * 266 (RefLabelRowHdr8467 ) 8468 * 267 (TitleRowHdr8469 ) 8470 * 268 (FilterRowHdr8471 ) 8472 * 269 (RefLabelColHdr10535 *326 (RefLabelRowHdr 10536 ) 10537 *327 (TitleRowHdr 10538 ) 10539 *328 (FilterRowHdr 10540 ) 10541 *329 (RefLabelColHdr 8473 10542 tm "RefLabelColHdrMgr" 8474 10543 ) 8475 * 270 (RowExpandColHdr10544 *330 (RowExpandColHdr 8476 10545 tm "RowExpandColHdrMgr" 8477 10546 ) 8478 * 271 (GroupColHdr10547 *331 (GroupColHdr 8479 10548 tm "GroupColHdrMgr" 8480 10549 ) 8481 * 272 (NameColHdr10550 *332 (NameColHdr 8482 10551 tm "BlockDiagramNameColHdrMgr" 8483 10552 ) 8484 * 273 (ModeColHdr10553 *333 (ModeColHdr 8485 10554 tm "BlockDiagramModeColHdrMgr" 8486 10555 ) 8487 * 274 (TypeColHdr10556 *334 (TypeColHdr 8488 10557 tm "BlockDiagramTypeColHdrMgr" 8489 10558 ) 8490 * 275 (BoundsColHdr10559 *335 (BoundsColHdr 8491 10560 tm "BlockDiagramBoundsColHdrMgr" 8492 10561 ) 8493 * 276 (InitColHdr10562 *336 (InitColHdr 8494 10563 tm "BlockDiagramInitColHdrMgr" 8495 10564 ) 8496 * 277 (EolColHdr10565 *337 (EolColHdr 8497 10566 tm "BlockDiagramEolColHdrMgr" 8498 10567 ) 8499 * 278 (LeafLogPort10568 *338 (LeafLogPort 8500 10569 port (LogicalPort 8501 10570 m 4 … … 8511 10580 uid 340,0 8512 10581 ) 8513 * 279 (LeafLogPort10582 *339 (LeafLogPort 8514 10583 port (LogicalPort 8515 10584 m 4 … … 8524 10593 uid 342,0 8525 10594 ) 8526 * 280 (LeafLogPort10595 *340 (LeafLogPort 8527 10596 port (LogicalPort 8528 10597 m 4 … … 8537 10606 uid 344,0 8538 10607 ) 8539 * 281 (LeafLogPort10608 *341 (LeafLogPort 8540 10609 port (LogicalPort 8541 10610 m 4 … … 8550 10619 uid 346,0 8551 10620 ) 8552 * 282 (LeafLogPort10621 *342 (LeafLogPort 8553 10622 port (LogicalPort 8554 10623 m 4 … … 8563 10632 uid 348,0 8564 10633 ) 8565 * 283 (LeafLogPort10634 *343 (LeafLogPort 8566 10635 port (LogicalPort 8567 10636 m 4 … … 8576 10645 uid 404,0 8577 10646 ) 8578 * 284 (LeafLogPort10647 *344 (LeafLogPort 8579 10648 port (LogicalPort 8580 10649 m 4 … … 8588 10657 uid 406,0 8589 10658 ) 8590 * 285 (LeafLogPort10659 *345 (LeafLogPort 8591 10660 port (LogicalPort 8592 10661 m 4 … … 8602 10671 uid 408,0 8603 10672 ) 8604 * 286 (LeafLogPort10673 *346 (LeafLogPort 8605 10674 port (LogicalPort 8606 10675 m 4 … … 8616 10685 uid 456,0 8617 10686 ) 8618 * 287 (LeafLogPort10687 *347 (LeafLogPort 8619 10688 port (LogicalPort 8620 10689 m 4 … … 8631 10700 uid 458,0 8632 10701 ) 8633 * 288 (LeafLogPort10702 *348 (LeafLogPort 8634 10703 port (LogicalPort 8635 10704 m 4 … … 8644 10713 uid 460,0 8645 10714 ) 8646 * 289 (LeafLogPort10715 *349 (LeafLogPort 8647 10716 port (LogicalPort 8648 10717 m 4 … … 8657 10726 uid 584,0 8658 10727 ) 8659 * 290 (LeafLogPort10728 *350 (LeafLogPort 8660 10729 port (LogicalPort 8661 10730 m 4 … … 8669 10738 uid 586,0 8670 10739 ) 8671 * 291 (LeafLogPort10740 *351 (LeafLogPort 8672 10741 port (LogicalPort 8673 10742 m 4 … … 8683 10752 uid 588,0 8684 10753 ) 8685 * 292 (LeafLogPort10754 *352 (LeafLogPort 8686 10755 port (LogicalPort 8687 10756 m 4 … … 8697 10766 uid 590,0 8698 10767 ) 8699 * 293 (LeafLogPort10768 *353 (LeafLogPort 8700 10769 port (LogicalPort 8701 10770 m 4 … … 8712 10781 uid 592,0 8713 10782 ) 8714 * 294 (LeafLogPort10783 *354 (LeafLogPort 8715 10784 port (LogicalPort 8716 10785 m 4 … … 8725 10794 uid 903,0 8726 10795 ) 8727 * 295 (LeafLogPort10796 *355 (LeafLogPort 8728 10797 port (LogicalPort 8729 10798 m 4 … … 8740 10809 uid 905,0 8741 10810 ) 8742 * 296 (LeafLogPort10811 *356 (LeafLogPort 8743 10812 port (LogicalPort 8744 10813 m 4 … … 8753 10822 uid 907,0 8754 10823 ) 8755 * 297 (LeafLogPort10824 *357 (LeafLogPort 8756 10825 port (LogicalPort 8757 10826 m 4 … … 8765 10834 uid 909,0 8766 10835 ) 8767 * 298 (LeafLogPort10836 *358 (LeafLogPort 8768 10837 port (LogicalPort 8769 10838 m 4 … … 8777 10846 uid 911,0 8778 10847 ) 8779 * 299 (LeafLogPort10848 *359 (LeafLogPort 8780 10849 port (LogicalPort 8781 10850 m 4 … … 8790 10859 uid 913,0 8791 10860 ) 8792 *3 00 (LeafLogPort10861 *360 (LeafLogPort 8793 10862 port (LogicalPort 8794 10863 m 4 … … 8805 10874 uid 915,0 8806 10875 ) 8807 *3 01 (LeafLogPort10876 *361 (LeafLogPort 8808 10877 port (LogicalPort 8809 10878 m 4 … … 8817 10886 uid 917,0 8818 10887 ) 8819 *3 02 (LeafLogPort10888 *362 (LeafLogPort 8820 10889 port (LogicalPort 8821 10890 m 4 … … 8829 10898 uid 919,0 8830 10899 ) 8831 *3 03 (LeafLogPort10900 *363 (LeafLogPort 8832 10901 port (LogicalPort 8833 10902 m 4 … … 8843 10912 uid 921,0 8844 10913 ) 8845 *3 04 (LeafLogPort10914 *364 (LeafLogPort 8846 10915 port (LogicalPort 8847 10916 m 4 … … 8856 10925 uid 923,0 8857 10926 ) 8858 *3 05 (LeafLogPort10927 *365 (LeafLogPort 8859 10928 port (LogicalPort 8860 10929 m 4 … … 8869 10938 uid 925,0 8870 10939 ) 8871 *3 06 (LeafLogPort10940 *366 (LeafLogPort 8872 10941 port (LogicalPort 8873 10942 m 4 … … 8882 10951 uid 927,0 8883 10952 ) 8884 *3 07 (LeafLogPort10953 *367 (LeafLogPort 8885 10954 port (LogicalPort 8886 10955 m 4 … … 8894 10963 uid 929,0 8895 10964 ) 8896 *3 08 (LeafLogPort10965 *368 (LeafLogPort 8897 10966 port (LogicalPort 8898 10967 m 4 … … 8906 10975 uid 931,0 8907 10976 ) 8908 *3 09 (LeafLogPort10977 *369 (LeafLogPort 8909 10978 port (LogicalPort 8910 10979 m 4 … … 8918 10987 uid 933,0 8919 10988 ) 8920 *3 10 (LeafLogPort10989 *370 (LeafLogPort 8921 10990 port (LogicalPort 8922 10991 m 4 … … 8930 10999 uid 935,0 8931 11000 ) 8932 *3 11 (LeafLogPort11001 *371 (LeafLogPort 8933 11002 port (LogicalPort 8934 11003 m 4 … … 8943 11012 uid 1541,0 8944 11013 ) 8945 *3 12 (LeafLogPort11014 *372 (LeafLogPort 8946 11015 port (LogicalPort 8947 11016 m 4 … … 8955 11024 uid 1543,0 8956 11025 ) 8957 *3 13 (LeafLogPort11026 *373 (LeafLogPort 8958 11027 port (LogicalPort 8959 11028 m 4 … … 8967 11036 uid 1545,0 8968 11037 ) 8969 *3 14 (LeafLogPort11038 *374 (LeafLogPort 8970 11039 port (LogicalPort 8971 11040 m 4 … … 8979 11048 uid 1547,0 8980 11049 ) 8981 *3 15 (LeafLogPort11050 *375 (LeafLogPort 8982 11051 port (LogicalPort 8983 11052 m 4 … … 8992 11061 uid 1549,0 8993 11062 ) 8994 *3 16 (LeafLogPort11063 *376 (LeafLogPort 8995 11064 port (LogicalPort 8996 11065 m 4 … … 9005 11074 uid 1551,0 9006 11075 ) 9007 *3 17 (LeafLogPort11076 *377 (LeafLogPort 9008 11077 port (LogicalPort 9009 11078 m 4 … … 9017 11086 uid 1553,0 9018 11087 ) 9019 *3 18 (LeafLogPort11088 *378 (LeafLogPort 9020 11089 port (LogicalPort 9021 11090 m 4 … … 9030 11099 uid 1555,0 9031 11100 ) 9032 *3 19 (LeafLogPort11101 *379 (LeafLogPort 9033 11102 port (LogicalPort 9034 11103 m 4 … … 9044 11113 uid 1575,0 9045 11114 ) 9046 *3 20 (LeafLogPort11115 *380 (LeafLogPort 9047 11116 port (LogicalPort 9048 11117 lang 2 … … 9057 11126 uid 1690,0 9058 11127 ) 9059 *3 21 (LeafLogPort11128 *381 (LeafLogPort 9060 11129 port (LogicalPort 9061 11130 m 4 … … 9070 11139 uid 2003,0 9071 11140 ) 9072 *3 22 (LeafLogPort11141 *382 (LeafLogPort 9073 11142 port (LogicalPort 9074 11143 m 4 … … 9082 11151 uid 2785,0 9083 11152 ) 9084 *3 23 (LeafLogPort11153 *383 (LeafLogPort 9085 11154 port (LogicalPort 9086 11155 m 4 … … 9094 11163 uid 2787,0 9095 11164 ) 9096 *3 24 (LeafLogPort11165 *384 (LeafLogPort 9097 11166 port (LogicalPort 9098 11167 m 4 … … 9109 11178 uid 2789,0 9110 11179 ) 9111 *3 25 (LeafLogPort11180 *385 (LeafLogPort 9112 11181 port (LogicalPort 9113 11182 m 4 … … 9121 11190 uid 2791,0 9122 11191 ) 9123 *3 26 (LeafLogPort11192 *386 (LeafLogPort 9124 11193 port (LogicalPort 9125 11194 m 4 … … 9133 11202 uid 2793,0 9134 11203 ) 9135 *3 27 (LeafLogPort11204 *387 (LeafLogPort 9136 11205 port (LogicalPort 9137 11206 m 4 … … 9145 11214 uid 2795,0 9146 11215 ) 9147 *3 28 (LeafLogPort11216 *388 (LeafLogPort 9148 11217 port (LogicalPort 9149 11218 lang 2 … … 9161 11230 uid 2797,0 9162 11231 ) 9163 *3 29 (LeafLogPort11232 *389 (LeafLogPort 9164 11233 port (LogicalPort 9165 11234 m 4 … … 9174 11243 uid 2799,0 9175 11244 ) 9176 *3 30 (LeafLogPort11245 *390 (LeafLogPort 9177 11246 port (LogicalPort 9178 11247 m 4 … … 9189 11258 uid 2801,0 9190 11259 ) 9191 *3 31 (LeafLogPort11260 *391 (LeafLogPort 9192 11261 port (LogicalPort 9193 11262 m 4 … … 9200 11269 ) 9201 11270 uid 2803,0 11271 ) 11272 *392 (LeafLogPort 11273 port (LogicalPort 11274 m 4 11275 decl (Decl 11276 n "socket_tx_free_out" 11277 t "std_logic_vector" 11278 b "(16 DOWNTO 0)" 11279 eolc "-- 17bit value .. that's true" 11280 posAdd 0 11281 o 55 11282 suid 64,0 11283 ) 11284 ) 11285 uid 2950,0 9202 11286 ) 9203 11287 ] … … 9208 11292 uid 67,0 9209 11293 optionalChildren [ 9210 *3 32(Sheet11294 *393 (Sheet 9211 11295 sheetRow (SheetRow 9212 11296 headerVa (MVa … … 9225 11309 font "Tahoma,10,0" 9226 11310 ) 9227 emptyMRCItem *3 33(MRCItem9228 litem & 2659229 pos 5 411311 emptyMRCItem *394 (MRCItem 11312 litem &325 11313 pos 55 9230 11314 dimension 20 9231 11315 ) 9232 11316 uid 69,0 9233 11317 optionalChildren [ 9234 *3 34(MRCItem9235 litem & 26611318 *395 (MRCItem 11319 litem &326 9236 11320 pos 0 9237 11321 dimension 20 9238 11322 uid 70,0 9239 11323 ) 9240 *3 35(MRCItem9241 litem & 26711324 *396 (MRCItem 11325 litem &327 9242 11326 pos 1 9243 11327 dimension 23 9244 11328 uid 71,0 9245 11329 ) 9246 *3 36(MRCItem9247 litem & 26811330 *397 (MRCItem 11331 litem &328 9248 11332 pos 2 9249 11333 hidden 1 … … 9251 11335 uid 72,0 9252 11336 ) 9253 *3 37(MRCItem9254 litem & 27811337 *398 (MRCItem 11338 litem &338 9255 11339 pos 0 9256 11340 dimension 20 9257 11341 uid 341,0 9258 11342 ) 9259 *3 38(MRCItem9260 litem & 27911343 *399 (MRCItem 11344 litem &339 9261 11345 pos 1 9262 11346 dimension 20 9263 11347 uid 343,0 9264 11348 ) 9265 * 339(MRCItem9266 litem & 28011349 *400 (MRCItem 11350 litem &340 9267 11351 pos 2 9268 11352 dimension 20 9269 11353 uid 345,0 9270 11354 ) 9271 * 340(MRCItem9272 litem & 28111355 *401 (MRCItem 11356 litem &341 9273 11357 pos 3 9274 11358 dimension 20 9275 11359 uid 347,0 9276 11360 ) 9277 * 341(MRCItem9278 litem & 28211361 *402 (MRCItem 11362 litem &342 9279 11363 pos 4 9280 11364 dimension 20 9281 11365 uid 349,0 9282 11366 ) 9283 * 342(MRCItem9284 litem & 28311367 *403 (MRCItem 11368 litem &343 9285 11369 pos 5 9286 11370 dimension 20 9287 11371 uid 405,0 9288 11372 ) 9289 * 343(MRCItem9290 litem & 28411373 *404 (MRCItem 11374 litem &344 9291 11375 pos 6 9292 11376 dimension 20 9293 11377 uid 407,0 9294 11378 ) 9295 * 344(MRCItem9296 litem & 28511379 *405 (MRCItem 11380 litem &345 9297 11381 pos 7 9298 11382 dimension 20 9299 11383 uid 409,0 9300 11384 ) 9301 * 345(MRCItem9302 litem & 28611385 *406 (MRCItem 11386 litem &346 9303 11387 pos 8 9304 11388 dimension 20 9305 11389 uid 457,0 9306 11390 ) 9307 * 346(MRCItem9308 litem & 28711391 *407 (MRCItem 11392 litem &347 9309 11393 pos 9 9310 11394 dimension 20 9311 11395 uid 459,0 9312 11396 ) 9313 * 347(MRCItem9314 litem & 28811397 *408 (MRCItem 11398 litem &348 9315 11399 pos 10 9316 11400 dimension 20 9317 11401 uid 461,0 9318 11402 ) 9319 * 348(MRCItem9320 litem & 28911403 *409 (MRCItem 11404 litem &349 9321 11405 pos 11 9322 11406 dimension 20 9323 11407 uid 585,0 9324 11408 ) 9325 * 349(MRCItem9326 litem & 29011409 *410 (MRCItem 11410 litem &350 9327 11411 pos 12 9328 11412 dimension 20 9329 11413 uid 587,0 9330 11414 ) 9331 * 350(MRCItem9332 litem & 29111415 *411 (MRCItem 11416 litem &351 9333 11417 pos 13 9334 11418 dimension 20 9335 11419 uid 589,0 9336 11420 ) 9337 * 351(MRCItem9338 litem & 29211421 *412 (MRCItem 11422 litem &352 9339 11423 pos 14 9340 11424 dimension 20 9341 11425 uid 591,0 9342 11426 ) 9343 * 352(MRCItem9344 litem & 29311427 *413 (MRCItem 11428 litem &353 9345 11429 pos 15 9346 11430 dimension 20 9347 11431 uid 593,0 9348 11432 ) 9349 * 353(MRCItem9350 litem & 29411433 *414 (MRCItem 11434 litem &354 9351 11435 pos 16 9352 11436 dimension 20 9353 11437 uid 904,0 9354 11438 ) 9355 * 354(MRCItem9356 litem & 29511439 *415 (MRCItem 11440 litem &355 9357 11441 pos 17 9358 11442 dimension 20 9359 11443 uid 906,0 9360 11444 ) 9361 * 355(MRCItem9362 litem & 29611445 *416 (MRCItem 11446 litem &356 9363 11447 pos 18 9364 11448 dimension 20 9365 11449 uid 908,0 9366 11450 ) 9367 * 356(MRCItem9368 litem & 29711451 *417 (MRCItem 11452 litem &357 9369 11453 pos 19 9370 11454 dimension 20 9371 11455 uid 910,0 9372 11456 ) 9373 * 357(MRCItem9374 litem & 29811457 *418 (MRCItem 11458 litem &358 9375 11459 pos 20 9376 11460 dimension 20 9377 11461 uid 912,0 9378 11462 ) 9379 * 358(MRCItem9380 litem & 29911463 *419 (MRCItem 11464 litem &359 9381 11465 pos 21 9382 11466 dimension 20 9383 11467 uid 914,0 9384 11468 ) 9385 * 359(MRCItem9386 litem &3 0011469 *420 (MRCItem 11470 litem &360 9387 11471 pos 22 9388 11472 dimension 20 9389 11473 uid 916,0 9390 11474 ) 9391 * 360(MRCItem9392 litem &3 0111475 *421 (MRCItem 11476 litem &361 9393 11477 pos 23 9394 11478 dimension 20 9395 11479 uid 918,0 9396 11480 ) 9397 * 361(MRCItem9398 litem &3 0211481 *422 (MRCItem 11482 litem &362 9399 11483 pos 24 9400 11484 dimension 20 9401 11485 uid 920,0 9402 11486 ) 9403 * 362(MRCItem9404 litem &3 0311487 *423 (MRCItem 11488 litem &363 9405 11489 pos 25 9406 11490 dimension 20 9407 11491 uid 922,0 9408 11492 ) 9409 * 363(MRCItem9410 litem &3 0411493 *424 (MRCItem 11494 litem &364 9411 11495 pos 26 9412 11496 dimension 20 9413 11497 uid 924,0 9414 11498 ) 9415 * 364(MRCItem9416 litem &3 0511499 *425 (MRCItem 11500 litem &365 9417 11501 pos 27 9418 11502 dimension 20 9419 11503 uid 926,0 9420 11504 ) 9421 * 365(MRCItem9422 litem &3 0611505 *426 (MRCItem 11506 litem &366 9423 11507 pos 28 9424 11508 dimension 20 9425 11509 uid 928,0 9426 11510 ) 9427 * 366(MRCItem9428 litem &3 0711511 *427 (MRCItem 11512 litem &367 9429 11513 pos 29 9430 11514 dimension 20 9431 11515 uid 930,0 9432 11516 ) 9433 * 367(MRCItem9434 litem &3 0811517 *428 (MRCItem 11518 litem &368 9435 11519 pos 30 9436 11520 dimension 20 9437 11521 uid 932,0 9438 11522 ) 9439 * 368(MRCItem9440 litem &3 0911523 *429 (MRCItem 11524 litem &369 9441 11525 pos 31 9442 11526 dimension 20 9443 11527 uid 934,0 9444 11528 ) 9445 * 369(MRCItem9446 litem &3 1011529 *430 (MRCItem 11530 litem &370 9447 11531 pos 32 9448 11532 dimension 20 9449 11533 uid 936,0 9450 11534 ) 9451 * 370(MRCItem9452 litem &3 1111535 *431 (MRCItem 11536 litem &371 9453 11537 pos 33 9454 11538 dimension 20 9455 11539 uid 1542,0 9456 11540 ) 9457 * 371(MRCItem9458 litem &3 1211541 *432 (MRCItem 11542 litem &372 9459 11543 pos 34 9460 11544 dimension 20 9461 11545 uid 1544,0 9462 11546 ) 9463 * 372(MRCItem9464 litem &3 1311547 *433 (MRCItem 11548 litem &373 9465 11549 pos 35 9466 11550 dimension 20 9467 11551 uid 1546,0 9468 11552 ) 9469 * 373(MRCItem9470 litem &3 1411553 *434 (MRCItem 11554 litem &374 9471 11555 pos 36 9472 11556 dimension 20 9473 11557 uid 1548,0 9474 11558 ) 9475 * 374(MRCItem9476 litem &3 1511559 *435 (MRCItem 11560 litem &375 9477 11561 pos 37 9478 11562 dimension 20 9479 11563 uid 1550,0 9480 11564 ) 9481 * 375(MRCItem9482 litem &3 1611565 *436 (MRCItem 11566 litem &376 9483 11567 pos 38 9484 11568 dimension 20 9485 11569 uid 1552,0 9486 11570 ) 9487 * 376(MRCItem9488 litem &3 1711571 *437 (MRCItem 11572 litem &377 9489 11573 pos 39 9490 11574 dimension 20 9491 11575 uid 1554,0 9492 11576 ) 9493 * 377(MRCItem9494 litem &3 1811577 *438 (MRCItem 11578 litem &378 9495 11579 pos 40 9496 11580 dimension 20 9497 11581 uid 1556,0 9498 11582 ) 9499 * 378(MRCItem9500 litem &3 1911583 *439 (MRCItem 11584 litem &379 9501 11585 pos 41 9502 11586 dimension 20 9503 11587 uid 1576,0 9504 11588 ) 9505 * 379(MRCItem9506 litem &3 2011589 *440 (MRCItem 11590 litem &380 9507 11591 pos 42 9508 11592 dimension 20 9509 11593 uid 1691,0 9510 11594 ) 9511 * 380(MRCItem9512 litem &3 2111595 *441 (MRCItem 11596 litem &381 9513 11597 pos 43 9514 11598 dimension 20 9515 11599 uid 2004,0 9516 11600 ) 9517 * 381(MRCItem9518 litem &3 2211601 *442 (MRCItem 11602 litem &382 9519 11603 pos 44 9520 11604 dimension 20 9521 11605 uid 2786,0 9522 11606 ) 9523 * 382(MRCItem9524 litem &3 2311607 *443 (MRCItem 11608 litem &383 9525 11609 pos 45 9526 11610 dimension 20 9527 11611 uid 2788,0 9528 11612 ) 9529 * 383(MRCItem9530 litem &3 2411613 *444 (MRCItem 11614 litem &384 9531 11615 pos 46 9532 11616 dimension 20 9533 11617 uid 2790,0 9534 11618 ) 9535 * 384(MRCItem9536 litem &3 2511619 *445 (MRCItem 11620 litem &385 9537 11621 pos 47 9538 11622 dimension 20 9539 11623 uid 2792,0 9540 11624 ) 9541 * 385(MRCItem9542 litem &3 2611625 *446 (MRCItem 11626 litem &386 9543 11627 pos 48 9544 11628 dimension 20 9545 11629 uid 2794,0 9546 11630 ) 9547 * 386(MRCItem9548 litem &3 2711631 *447 (MRCItem 11632 litem &387 9549 11633 pos 49 9550 11634 dimension 20 9551 11635 uid 2796,0 9552 11636 ) 9553 * 387(MRCItem9554 litem &3 2811637 *448 (MRCItem 11638 litem &388 9555 11639 pos 50 9556 11640 dimension 20 9557 11641 uid 2798,0 9558 11642 ) 9559 * 388(MRCItem9560 litem &3 2911643 *449 (MRCItem 11644 litem &389 9561 11645 pos 51 9562 11646 dimension 20 9563 11647 uid 2800,0 9564 11648 ) 9565 * 389(MRCItem9566 litem &3 3011649 *450 (MRCItem 11650 litem &390 9567 11651 pos 52 9568 11652 dimension 20 9569 11653 uid 2802,0 9570 11654 ) 9571 * 390(MRCItem9572 litem &3 3111655 *451 (MRCItem 11656 litem &391 9573 11657 pos 53 9574 11658 dimension 20 9575 11659 uid 2804,0 11660 ) 11661 *452 (MRCItem 11662 litem &392 11663 pos 54 11664 dimension 20 11665 uid 2951,0 9576 11666 ) 9577 11667 ] … … 9586 11676 uid 73,0 9587 11677 optionalChildren [ 9588 * 391(MRCItem9589 litem & 26911678 *453 (MRCItem 11679 litem &329 9590 11680 pos 0 9591 11681 dimension 20 9592 11682 uid 74,0 9593 11683 ) 9594 * 392(MRCItem9595 litem & 27111684 *454 (MRCItem 11685 litem &331 9596 11686 pos 1 9597 11687 dimension 50 9598 11688 uid 75,0 9599 11689 ) 9600 * 393(MRCItem9601 litem & 27211690 *455 (MRCItem 11691 litem &332 9602 11692 pos 2 9603 11693 dimension 100 9604 11694 uid 76,0 9605 11695 ) 9606 * 394(MRCItem9607 litem & 27311696 *456 (MRCItem 11697 litem &333 9608 11698 pos 3 9609 11699 dimension 50 9610 11700 uid 77,0 9611 11701 ) 9612 * 395(MRCItem9613 litem & 27411702 *457 (MRCItem 11703 litem &334 9614 11704 pos 4 9615 11705 dimension 100 9616 11706 uid 78,0 9617 11707 ) 9618 * 396(MRCItem9619 litem & 27511708 *458 (MRCItem 11709 litem &335 9620 11710 pos 5 9621 11711 dimension 100 9622 11712 uid 79,0 9623 11713 ) 9624 * 397(MRCItem9625 litem & 27611714 *459 (MRCItem 11715 litem &336 9626 11716 pos 6 9627 11717 dimension 50 9628 11718 uid 80,0 9629 11719 ) 9630 * 398(MRCItem9631 litem & 27711720 *460 (MRCItem 11721 litem &337 9632 11722 pos 7 9633 11723 dimension 80 … … 9649 11739 genericsCommonDM (CommonDM 9650 11740 ldm (LogicalDM 9651 emptyRow * 399(LEmptyRow11741 emptyRow *461 (LEmptyRow 9652 11742 ) 9653 11743 uid 83,0 9654 11744 optionalChildren [ 9655 *4 00(RefLabelRowHdr9656 ) 9657 *4 01(TitleRowHdr9658 ) 9659 *4 02(FilterRowHdr9660 ) 9661 *4 03(RefLabelColHdr11745 *462 (RefLabelRowHdr 11746 ) 11747 *463 (TitleRowHdr 11748 ) 11749 *464 (FilterRowHdr 11750 ) 11751 *465 (RefLabelColHdr 9662 11752 tm "RefLabelColHdrMgr" 9663 11753 ) 9664 *4 04(RowExpandColHdr11754 *466 (RowExpandColHdr 9665 11755 tm "RowExpandColHdrMgr" 9666 11756 ) 9667 *4 05(GroupColHdr11757 *467 (GroupColHdr 9668 11758 tm "GroupColHdrMgr" 9669 11759 ) 9670 *4 06(NameColHdr11760 *468 (NameColHdr 9671 11761 tm "GenericNameColHdrMgr" 9672 11762 ) 9673 *4 07(TypeColHdr11763 *469 (TypeColHdr 9674 11764 tm "GenericTypeColHdrMgr" 9675 11765 ) 9676 *4 08(InitColHdr11766 *470 (InitColHdr 9677 11767 tm "GenericValueColHdrMgr" 9678 11768 ) 9679 *4 09(PragmaColHdr11769 *471 (PragmaColHdr 9680 11770 tm "GenericPragmaColHdrMgr" 9681 11771 ) 9682 *4 10(EolColHdr11772 *472 (EolColHdr 9683 11773 tm "GenericEolColHdrMgr" 9684 11774 ) … … 9690 11780 uid 95,0 9691 11781 optionalChildren [ 9692 *4 11(Sheet11782 *473 (Sheet 9693 11783 sheetRow (SheetRow 9694 11784 headerVa (MVa … … 9707 11797 font "Tahoma,10,0" 9708 11798 ) 9709 emptyMRCItem *4 12(MRCItem9710 litem & 39911799 emptyMRCItem *474 (MRCItem 11800 litem &461 9711 11801 pos 0 9712 11802 dimension 20 … … 9714 11804 uid 97,0 9715 11805 optionalChildren [ 9716 *4 13(MRCItem9717 litem &4 0011806 *475 (MRCItem 11807 litem &462 9718 11808 pos 0 9719 11809 dimension 20 9720 11810 uid 98,0 9721 11811 ) 9722 *4 14(MRCItem9723 litem &4 0111812 *476 (MRCItem 11813 litem &463 9724 11814 pos 1 9725 11815 dimension 23 9726 11816 uid 99,0 9727 11817 ) 9728 *4 15(MRCItem9729 litem &4 0211818 *477 (MRCItem 11819 litem &464 9730 11820 pos 2 9731 11821 hidden 1 … … 9744 11834 uid 101,0 9745 11835 optionalChildren [ 9746 *4 16(MRCItem9747 litem &4 0311836 *478 (MRCItem 11837 litem &465 9748 11838 pos 0 9749 11839 dimension 20 9750 11840 uid 102,0 9751 11841 ) 9752 *4 17(MRCItem9753 litem &4 0511842 *479 (MRCItem 11843 litem &467 9754 11844 pos 1 9755 11845 dimension 50 9756 11846 uid 103,0 9757 11847 ) 9758 *4 18(MRCItem9759 litem &4 0611848 *480 (MRCItem 11849 litem &468 9760 11850 pos 2 9761 11851 dimension 100 9762 11852 uid 104,0 9763 11853 ) 9764 *4 19(MRCItem9765 litem &4 0711854 *481 (MRCItem 11855 litem &469 9766 11856 pos 3 9767 11857 dimension 100 9768 11858 uid 105,0 9769 11859 ) 9770 *4 20(MRCItem9771 litem &4 0811860 *482 (MRCItem 11861 litem &470 9772 11862 pos 4 9773 11863 dimension 50 9774 11864 uid 106,0 9775 11865 ) 9776 *4 21(MRCItem9777 litem &4 0911866 *483 (MRCItem 11867 litem &471 9778 11868 pos 5 9779 11869 dimension 50 9780 11870 uid 107,0 9781 11871 ) 9782 *4 22(MRCItem9783 litem &4 1011872 *484 (MRCItem 11873 litem &472 9784 11874 pos 6 9785 11875 dimension 80
Note:
See TracChangeset
for help on using the changeset viewer.