Changeset 238 for FPGA


Ignore:
Timestamp:
07/06/10 09:25:02 (14 years ago)
Author:
qweitzel
Message:
some bug fixes for FTU_test2
Location:
FPGA/FTU/test_firmware/FTU_test2
Files:
2 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FTU/test_firmware/FTU_test2/FTU_test2_dac_control.vhd

    r237 r238  
    108108
    109109  -- FSM for dac control: second process
    110   FSM_logic: process(state)
     110  FSM_logic: process(state, config_ready_sig)
    111111  begin
    112112    next_state <= state;
  • FPGA/FTU/test_firmware/FTU_test2/FTU_test2_spi_distributor.vhd

    r236 r238  
    3535   
    3636  signal spi_distr_state       : TYPE_SPI_DISTRIBUTION_STATE := INIT;
    37   signal dac_id_cnt            : integer range 0 to 7 := 0;
     37  signal dac_id_cnt            : integer range 0 to 4 := 0;
    3838 
    3939BEGIN
     
    6767          if (dac_config_ready = '1') then
    6868            dac_config_start <= '0';
    69             if (dac_id_cnt < 7) then
    70               if (dac_id_cnt = 3) then
    71                 dac_id_cnt <= 7;
    72               else
    73                 dac_id_cnt <= dac_id_cnt + 1;
    74               end if;
     69            if (dac_id_cnt < 4) then
     70              dac_id_cnt <= dac_id_cnt + 1;
    7571              dac_config_start <= '1';
    7672              spi_distr_state <= CONFIG_DAC;             
Note: See TracChangeset for help on using the changeset viewer.