Changeset 252 for FPGA


Ignore:
Timestamp:
07/16/10 16:25:44 (14 years ago)
Author:
dneise
Message:
DRS addresses may not be set via
sa 44 0 .. 31
Location:
FPGA/FAD/stable/FACT_FAD
Files:
21 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD.hdp

    r246 r252  
     1[DesignChecker]
     2FACT_FAD_lib = $HDS_PROJECT_DIR\FACT_FAD_lib\designcheck
    13[ModelSim]
    24FACT_FAD_lib = $HDS_PROJECT_DIR/FACT_FAD_lib/work
    35FACT_FAD_TB_lib = $HDS_PROJECT_DIR/FACT_FAD_TB_lib/work
    4 secureip = C:\FPGAdv82PS\Xilinx_Lib\secureip
     6secureip = C:/FPGAdv82PS/Xilinx_Lib/secureip
    57simprim = C:/FPGAdv82PS/Xilinx_Lib/simprim
    68unimacro = C:/FPGAdv82PS/Xilinx_Lib/unimacro
     
    810XilinxCoreLib = C:/FPGAdv82PS/Xilinx_Lib/XilinxCoreLib
    911[QuestaSim]
    10 secureip = C:\FPGAdv82PS\Xilinx_Lib\secureip
     12secureip = C:/FPGAdv82PS/Xilinx_Lib/secureip
    1113simprim = C:/FPGAdv82PS/Xilinx_Lib/simprim
    1214unimacro = C:/FPGAdv82PS/Xilinx_Lib/unimacro
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/control_manager_beha.vhd

    r246 r252  
    3636      ram_write_en      : OUT    std_logic_vector (0 DOWNTO 0);
    3737      dac_array         : OUT    dac_array_type;
    38       roi_array         : OUT    roi_array_type
     38      roi_array         : OUT    roi_array_type;
     39      drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
     40      drs_address_mode  : OUT    std_logic
    3941   );
    4042
     
    5355  signal int_dac_array : dac_array_type := DEFAULT_DAC;
    5456  signal int_roi_array : roi_array_type := DEFAULT_ROI;
     57  signal int_drs_address: std_logic_vector (3 DOWNTO 0) := DEFAULT_DRSADDR;
     58  signal int_drs_address_mode: std_logic := DEFAULT_DRSADDR_MODE;
    5559
    5660BEGIN
     
    6670       
    6771        when CTRL_INIT =>
     72          -- WRITES DEFAULT VALUES IN config ram
    6873          addr_cntr <= addr_cntr + 1;
    6974          ram_addr <= conv_std_logic_vector(addr_cntr, ADDR_WIDTH);
     
    7681          elsif (addr_cntr < NO_OF_ROI + NO_OF_DAC) then
    7782            ram_data_in <= conv_std_logic_vector(int_dac_array(addr_cntr - NO_OF_ROI), 16);
     83          elsif (addr_cntr < NO_OF_ROI + NO_OF_DAC + 1) then
     84            ram_data_in <=  "0000" & "0000"
     85                            & "000" & conv_std_logic_vector(int_drs_address_mode, 1)
     86                            & int_drs_address;
    7887          else
    7988            ram_write_en <= "0";
     
    8291     
    8392        when CTRL_IDLE =>
     93          --
    8494          addr_cntr <= 0;
    8595          ram_write_en <= "0";
     
    119129            dac_array(addr_cntr - NO_OF_ROI) <= conv_integer(ram_data_out);
    120130            ctrl_state <= CTRL_LOAD_ADDR;
    121           else
     131          elsif (addr_cntr < NO_OF_ROI + NO_OF_DAC + 1) then
     132             drs_address <= ram_data_out(3 downto 0);
     133             drs_address_mode <= ram_data_out(4);
     134             ctrl_state <= CTRL_LOAD_ADDR;
     135          else
    122136            addr_cntr <= 0;
    123137            config_started <= '0';
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/control_unit_struct.vhd

    r246 r252  
    22--
    33-- Created:
    4 --          by - Benjamin Krumm.UNKNOWN (EEPC8)
    5 --          at - 12:04:03 23.06.2010
     4--          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
     5--          at - 14:46:37 12.07.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    2525      config_started    : OUT    std_logic  := '0';
    2626      dac_array         : OUT    dac_array_type;
     27      drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
     28      drs_address_mode  : OUT    std_logic;
    2729      roi_array         : OUT    roi_array_type;
    2830      config_data       : INOUT  std_logic_vector (15 DOWNTO 0)
     
    3739--
    3840-- Created:
    39 --          by - Benjamin Krumm.UNKNOWN (EEPC8)
    40 --          at - 12:04:03 23.06.2010
     41--          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
     42--          at - 14:46:37 12.07.2010
    4143--
    4244-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    9395      ram_write_en      : OUT    std_logic_vector (0 DOWNTO 0);
    9496      dac_array         : OUT    dac_array_type ;
    95       roi_array         : OUT    roi_array_type
     97      roi_array         : OUT    roi_array_type ;
     98      drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
     99      drs_address_mode  : OUT    std_logic
    96100   );
    97101   END COMPONENT;
     
    137141         ram_write_en      => ram_wren,
    138142         dac_array         => dac_array,
    139          roi_array         => roi_array
     143         roi_array         => roi_array,
     144         drs_address       => drs_address,
     145         drs_address_mode  => drs_address_mode
    140146      );
    141147
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_board.ucf

    r246 r252  
    1010
    1111#Test Trigger input on 'Testpoint near W5300'
    12 #NET W_T<3> LOC  = R19 | IOSTANDARD=LVCMOS33;           #ok
    13 NET TEST_TRG LOC  = R19 | IOSTANDARD=LVCMOS33 | PULLUP;
     12#NET _TW<3> LOC  = R19 | IOSTANDARD=LVCMOS33;           #ok
     13NET TEST_TRG LOC  = R19 | IOSTANDARD=LVCMOS33;
    1414
    1515
     
    229229NET A1_T<2> LOC  = AC12 | IOSTANDARD=LVCMOS33;          #ok
    230230NET A1_T<3> LOC  = AC14 | IOSTANDARD=LVCMOS33;          #ok
    231 #NET A1_T<4> LOC  = AC15 | IOSTANDARD=LVCMOS33;         #ok
     231NET A1_T<4> LOC  = AC15 | IOSTANDARD=LVCMOS33;          #ok
    232232#NET A1_T<5> LOC  = AB16 | IOSTANDARD=LVCMOS33;                 #ok
    233233#NET A1_T<6> LOC  = AC16 | IOSTANDARD=LVCMOS33;         #ok
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r246 r252  
    22--
    33-- Created:
    4 --          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    5 --          at - 12:42:19 02.07.2010
     4--          by - dneise.UNKNOWN (E5B-LABOR6)
     5--          at - 15:25:14 14.07.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    2727      W_INT      : IN     std_logic;
    2828      X_50M      : IN     STD_LOGIC;
    29       A1_T       : OUT    std_logic_vector (3 DOWNTO 0);
     29      A1_T       : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0');
    3030      A_CLK      : OUT    std_logic_vector (3 DOWNTO 0);
    3131      D0_SRCLK   : OUT    STD_LOGIC;
     
    7272--
    7373-- Created:
    74 --          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    75 --          at - 12:42:20 02.07.2010
     74--          by - dneise.UNKNOWN (E5B-LABOR6)
     75--          at - 15:25:14 14.07.2010
    7676--
    7777-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    9595   SIGNAL CLK_25_PS      : std_logic;
    9696   SIGNAL CLK_50         : std_logic;
    97    SIGNAL SRCLK          : std_logic := '0';
     97   SIGNAL SRCLK          : std_logic                    := '0';
    9898   SIGNAL TRG_OR         : std_logic;
    9999   SIGNAL adc_data_array : adc_data_array_type;
    100100   SIGNAL board_id       : std_logic_vector(3 DOWNTO 0);
    101101   SIGNAL crate_id       : std_logic_vector(1 DOWNTO 0);
     102   SIGNAL drs_channel_id : std_logic_vector(3 DOWNTO 0) := (others => '0');
    102103   SIGNAL dummy          : std_logic;
    103    SIGNAL not_TEST_TRG   : STD_LOGIC;
    104104   SIGNAL sensor_cs      : std_logic_vector(3 DOWNTO 0);
    105    SIGNAL trigger_out    : STD_LOGIC := '0';
    106 
    107    -- Implicit buffer signal declarations
    108    SIGNAL RSRLOAD_internal : std_logic;
    109105
    110106
     
    148144   );
    149145   END COMPONENT;
    150    COMPONENT debouncer
    151    GENERIC (
    152       WIDTH : INTEGER := 17
    153    );
    154    PORT (
    155       clk         : IN     STD_LOGIC ;
    156       --           rst : in  STD_LOGIC;
    157       trigger_in  : IN     STD_LOGIC ;
    158       trigger_out : OUT    STD_LOGIC  := '0'
    159    );
    160    END COMPONENT;
    161146
    162147   -- Optional embedded configurations
    163148   -- pragma synthesis_off
    164149   FOR ALL : FAD_main USE ENTITY FACT_FAD_lib.FAD_main;
    165    FOR ALL : debouncer USE ENTITY FACT_FAD_lib.debouncer;
    166150   -- pragma synthesis_on
    167151
     
    228212   -- HDL Embedded Text Block 8 eb2
    229213   -- eb2 8                                       
    230    A1_T(0) <= dummy;
    231    A1_T(1) <= RSRLOAD_internal;
    232    A1_T(2) <= D0_SROUT;
    233    A1_T(3) <= D1_SROUT;
     214   A1_T(3 downto 0) <= drs_channel_id;
     215   D_A <= drs_channel_id;
     216   A1_T(4)  <= TRG_OR;
    234217
    235218
     
    237220   DAC_CS <= dummy;
    238221
    239    -- ModuleWare code(v1.9) for instance 'I1' of 'inv'
    240    not_TEST_TRG <= NOT(TEST_TRG);
    241 
    242222   -- ModuleWare code(v1.9) for instance 'I2' of 'or'
    243    TRG_OR <= TRG OR trigger_out;
     223   TRG_OR <= TRG OR TEST_TRG;
    244224
    245225   -- Instance port mappings.
     
    262242         CLK_25_PS      => CLK_25_PS,
    263243         CLK_50         => CLK_50,
    264          RSRLOAD        => RSRLOAD_internal,
     244         RSRLOAD        => RSRLOAD,
    265245         SRCLK          => SRCLK,
    266246         adc_oeb        => OE_ADC,
    267247         dac_cs         => dummy,
    268248         denable        => DENABLE,
    269          drs_channel_id => D_A,
     249         drs_channel_id => drs_channel_id,
    270250         drs_dwrite     => DWRITE,
    271251         led            => D_T,
     
    281261         wiz_data       => W_D
    282262      );
    283    I_debouncer : debouncer
    284       GENERIC MAP (
    285          WIDTH => 17
    286       )
    287       PORT MAP (
    288          clk         => CLK_50,
    289          trigger_in  => not_TEST_TRG,
    290          trigger_out => trigger_out
    291       );
    292 
    293    -- Implicit buffered output assignments
    294    RSRLOAD <= RSRLOAD_internal;
    295263
    296264END struct;
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_definitions.vhd

    r246 r252  
    104104  constant DEFAULT_DAC : dac_array_type := (20972, 34079, 20526, 0, 28836, 28836, 28836, 28836);
    105105  --constant DEFAULT_DAC : dac_array_type := (others => 0);
     106 
     107  constant DEFAULT_DRSADDR : std_logic_vector (3 downto 0):= "0000";
     108  constant DEFAULT_DRSADDR_MODE : std_logic := '0';
     109
     110 
    106111
    107112-- Commands
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_definitions.vhd.bak

    r246 r252  
    5151        constant W5300_SUBR : std_logic_vector (9 downto 0) := W5300_BASE_ADR + X"14";
    5252        constant W5300_SIPR : std_logic_vector (9 downto 0) := W5300_BASE_ADR + X"18";
     53        constant W5300_RTR : std_logic_vector (9 downto 0) := W5300_BASE_ADR + X"1C";
     54        constant W5300_RCR : std_logic_vector (9 downto 0) := W5300_BASE_ADR + X"1E";
    5355        constant W5300_TMS01R : std_logic_vector (9 downto 0) := W5300_BASE_ADR + X"20";
    5456        constant W5300_TMS23R : std_logic_vector (9 downto 0) := W5300_BASE_ADR + X"22";
     
    8082
    8183  constant LOG2_OF_RAM_SIZE_64B : integer := 15;
    82   constant RAM_SIZE_64B : integer := 2**LOG2_OF_RAM_SIZE_64B;
     84  --constant RAM_SIZE_64B : integer := 2**LOG2_OF_RAM_SIZE_64B;
     85  constant RAM_SIZE_64B : integer := 24576;
    8386  constant RAM_SIZE_16B : integer := RAM_SIZE_64B * 4;
    8487
     
    101104  constant DEFAULT_DAC : dac_array_type := (20972, 34079, 20526, 0, 28836, 28836, 28836, 28836);
    102105  --constant DEFAULT_DAC : dac_array_type := (others => 0);
     106 
     107  constant DEFAULT_DRSADDR : std_logic_vector (3 downto 0):= X"0";
     108  constant DEFAULT_DRSADDR_MODE : std_logic := '0';
     109
     110 
    103111
    104112-- Commands
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r246 r252  
    33-- Created:
    44--          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    5 --          at - 12:42:19 02.07.2010
     5--          at - 14:46:38 12.07.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    6060-- Created:
    6161--          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    62 --          at - 12:42:19 02.07.2010
     62--          at - 14:46:38 12.07.2010
    6363--
    6464-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    106106   SIGNAL dac_array              : dac_array_type;
    107107   SIGNAL data_out               : std_logic_vector(63 DOWNTO 0);
     108   SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0');
     109   SIGNAL drs_address_mode       : std_logic;
     110   SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0');
    108111   SIGNAL drs_clk_en             : std_logic                                    := '0';
    109112   SIGNAL drs_read_s_cell        : std_logic                                    := '0';
     
    170173      config_started    : OUT    std_logic  := '0';
    171174      dac_array         : OUT    dac_array_type ;
     175      drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
     176      drs_address_mode  : OUT    std_logic ;
    172177      roi_array         : OUT    roi_array_type ;
    173178      config_data       : INOUT  std_logic_vector (15 DOWNTO 0)
     
    351356   drs_dwrite <= dwrite AND dwrite_enable;
    352357
     358   -- ModuleWare code(v1.9) for instance 'U_0' of 'mux'
     359   u_0combo_proc: PROCESS(drs_channel_internal, drs_address,
     360                          drs_address_mode)
     361   BEGIN
     362      CASE drs_address_mode IS
     363      WHEN '0' => drs_channel_id <= drs_channel_internal;
     364      WHEN '1' => drs_channel_id <= drs_address;
     365      WHEN OTHERS => drs_channel_id <= (OTHERS => 'X');
     366      END CASE;
     367   END PROCESS u_0combo_proc;
     368
    353369   -- Instance port mappings.
    354370   I_main_adc_buffer : adc_buffer
     
    379395         config_started    => config_started_cu,
    380396         dac_array         => dac_array,
     397         drs_address       => drs_address,
     398         drs_address_mode  => drs_address_mode,
    381399         roi_array         => roi_array,
    382400         config_data       => config_data
     
    429447         adc_oeb               => adc_oeb,
    430448         adc_otr               => adc_otr,
    431          drs_channel_id        => drs_channel_id,
     449         drs_channel_id        => drs_channel_internal,
    432450         drs_dwrite            => dwrite,
    433451         drs_clk_en            => drs_clk_en,
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/.xrf/control_unit_struct.xrf

    r246 r252  
    3737DESIGN control_unit
    3838VIEW symbol.sb
    39 GRAPHIC 155,0 26 0
    40 DESIGN control_unit
    41 VIEW symbol.sb
    42 GRAPHIC 150,0 27 0
    43 DESIGN control_unit
    44 VIEW symbol.sb
    45 GRAPHIC 1,0 30 0
    46 DESIGN control_unit
    47 VIEW symbol.sb
    48 GRAPHIC 1,0 31 0
    49 DESIGN control_unit
    50 VIEW struct.bd
    51 NO_GRAPHIC 34
    52 DESIGN control_unit
    53 VIEW struct.bd
    54 GRAPHIC 41,0 43 0
    55 DESIGN control_unit
    56 VIEW struct.bd
    57 NO_GRAPHIC 48
    58 DESIGN control_unit
    59 VIEW struct.bd
    60 GRAPHIC 0,0 51 2
    61 DESIGN control_unit
    62 VIEW struct.bd
    63 GRAPHIC 345,0 56 0
    64 DESIGN control_unit
    65 VIEW struct.bd
    66 GRAPHIC 333,0 57 0
    67 DESIGN control_unit
    68 VIEW struct.bd
    69 GRAPHIC 349,0 58 0
    70 DESIGN control_unit
    71 VIEW struct.bd
    72 GRAPHIC 329,0 59 0
    73 DESIGN control_unit
    74 VIEW struct.bd
    75 NO_GRAPHIC 60
    76 DESIGN control_unit
    77 VIEW struct.bd
    78 NO_GRAPHIC 61
     39GRAPHIC 521,0 26 0
     40DESIGN control_unit
     41VIEW symbol.sb
     42GRAPHIC 526,0 27 0
     43DESIGN control_unit
     44VIEW symbol.sb
     45GRAPHIC 155,0 28 0
     46DESIGN control_unit
     47VIEW symbol.sb
     48GRAPHIC 150,0 29 0
     49DESIGN control_unit
     50VIEW symbol.sb
     51GRAPHIC 1,0 32 0
     52DESIGN control_unit
     53VIEW symbol.sb
     54GRAPHIC 1,0 33 0
     55DESIGN control_unit
     56VIEW struct.bd
     57NO_GRAPHIC 36
     58DESIGN control_unit
     59VIEW struct.bd
     60GRAPHIC 41,0 45 0
     61DESIGN control_unit
     62VIEW struct.bd
     63NO_GRAPHIC 50
     64DESIGN control_unit
     65VIEW struct.bd
     66GRAPHIC 0,0 53 2
     67DESIGN control_unit
     68VIEW struct.bd
     69GRAPHIC 345,0 58 0
     70DESIGN control_unit
     71VIEW struct.bd
     72GRAPHIC 333,0 59 0
     73DESIGN control_unit
     74VIEW struct.bd
     75GRAPHIC 349,0 60 0
     76DESIGN control_unit
     77VIEW struct.bd
     78GRAPHIC 329,0 61 0
     79DESIGN control_unit
     80VIEW struct.bd
     81NO_GRAPHIC 62
     82DESIGN control_unit
     83VIEW struct.bd
     84NO_GRAPHIC 63
    7985LIBRARY FACT_FAD_lib
    8086DESIGN control@r@a@m_16bit_x256
    8187VIEW control@r@a@m_16bit_x256_a
    82 GRAPHIC 993,0 63 0
    83 DESIGN control@r@a@m_16bit_x256
    84 VIEW control@r@a@m_16bit_x256_a
    85 GRAPHIC 48,0 65 0
    86 DESIGN control@r@a@m_16bit_x256
    87 VIEW control@r@a@m_16bit_x256_a
    88 GRAPHIC 53,0 66 0
    89 DESIGN control@r@a@m_16bit_x256
    90 VIEW control@r@a@m_16bit_x256_a
    91 GRAPHIC 58,0 67 0
    92 DESIGN control@r@a@m_16bit_x256
    93 VIEW control@r@a@m_16bit_x256_a
    94 GRAPHIC 63,0 68 0
    95 DESIGN control@r@a@m_16bit_x256
    96 VIEW control@r@a@m_16bit_x256_a
    97 GRAPHIC 68,0 69 0
    98 DESIGN control_unit
    99 VIEW struct.bd
    100 GRAPHIC 960,0 72 0
    101 DESIGN control_manager
    102 VIEW symbol.sb
    103 GRAPHIC 14,0 73 1
    104 DESIGN control_manager
    105 VIEW beha
    106 GRAPHIC 48,0 79 0
    107 DESIGN control_manager
    108 VIEW beha
    109 GRAPHIC 310,0 80 0
    110 DESIGN control_manager
    111 VIEW beha
    112 GRAPHIC 58,0 81 0
    113 DESIGN control_manager
    114 VIEW beha
    115 GRAPHIC 492,0 82 0
    116 DESIGN control_manager
    117 VIEW beha
    118 GRAPHIC 63,0 83 0
    119 DESIGN control_manager
    120 VIEW beha
    121 GRAPHIC 68,0 84 0
    122 DESIGN control_manager
    123 VIEW beha
    124 GRAPHIC 73,0 85 0
    125 DESIGN control_manager
    126 VIEW beha
    127 GRAPHIC 78,0 86 0
    128 DESIGN control_manager
    129 VIEW beha
    130 GRAPHIC 83,0 87 0
    131 DESIGN control_manager
    132 VIEW beha
    133 GRAPHIC 88,0 88 0
    134 DESIGN control_manager
    135 VIEW beha
    136 GRAPHIC 93,0 89 0
    137 DESIGN control_manager
    138 VIEW beha
    139 GRAPHIC 346,0 90 0
    140 DESIGN control_manager
    141 VIEW beha
    142 GRAPHIC 263,0 91 0
    143 DESIGN control_manager
    144 VIEW beha
    145 GRAPHIC 268,0 92 0
    146 DESIGN control_manager
    147 VIEW beha
    148 GRAPHIC 118,0 93 0
    149 DESIGN control_manager
    150 VIEW beha
    151 GRAPHIC 123,0 94 0
     88GRAPHIC 993,0 65 0
     89DESIGN control@r@a@m_16bit_x256
     90VIEW control@r@a@m_16bit_x256_a
     91GRAPHIC 48,0 67 0
     92DESIGN control@r@a@m_16bit_x256
     93VIEW control@r@a@m_16bit_x256_a
     94GRAPHIC 53,0 68 0
     95DESIGN control@r@a@m_16bit_x256
     96VIEW control@r@a@m_16bit_x256_a
     97GRAPHIC 58,0 69 0
     98DESIGN control@r@a@m_16bit_x256
     99VIEW control@r@a@m_16bit_x256_a
     100GRAPHIC 63,0 70 0
     101DESIGN control@r@a@m_16bit_x256
     102VIEW control@r@a@m_16bit_x256_a
     103GRAPHIC 68,0 71 0
     104DESIGN control_unit
     105VIEW struct.bd
     106GRAPHIC 960,0 74 0
     107DESIGN control_manager
     108VIEW symbol.sb
     109GRAPHIC 14,0 75 1
     110DESIGN control_manager
     111VIEW beha
     112GRAPHIC 48,0 81 0
     113DESIGN control_manager
     114VIEW beha
     115GRAPHIC 310,0 82 0
     116DESIGN control_manager
     117VIEW beha
     118GRAPHIC 58,0 83 0
     119DESIGN control_manager
     120VIEW beha
     121GRAPHIC 492,0 84 0
     122DESIGN control_manager
     123VIEW beha
     124GRAPHIC 63,0 85 0
     125DESIGN control_manager
     126VIEW beha
     127GRAPHIC 68,0 86 0
     128DESIGN control_manager
     129VIEW beha
     130GRAPHIC 73,0 87 0
     131DESIGN control_manager
     132VIEW beha
     133GRAPHIC 78,0 88 0
     134DESIGN control_manager
     135VIEW beha
     136GRAPHIC 83,0 89 0
     137DESIGN control_manager
     138VIEW beha
     139GRAPHIC 88,0 90 0
     140DESIGN control_manager
     141VIEW beha
     142GRAPHIC 93,0 91 0
     143DESIGN control_manager
     144VIEW beha
     145GRAPHIC 346,0 92 0
     146DESIGN control_manager
     147VIEW beha
     148GRAPHIC 263,0 93 0
     149DESIGN control_manager
     150VIEW beha
     151GRAPHIC 268,0 94 0
     152DESIGN control_manager
     153VIEW beha
     154GRAPHIC 118,0 95 0
     155DESIGN control_manager
     156VIEW beha
     157GRAPHIC 123,0 96 0
     158DESIGN control_manager
     159VIEW beha
     160GRAPHIC 528,0 97 0
     161DESIGN control_manager
     162VIEW beha
     163GRAPHIC 533,0 98 0
    152164LIBRARY FACT_FAD_lib
    153165DESIGN control_unit
    154166VIEW struct.bd
    155 NO_GRAPHIC 97
    156 DESIGN control_unit
    157 VIEW struct.bd
    158 GRAPHIC 993,0 100 0
    159 DESIGN control_unit
    160 VIEW struct.bd
    161 GRAPHIC 960,0 101 0
    162 DESIGN control_unit
    163 VIEW struct.bd
    164 NO_GRAPHIC 104
    165 DESIGN control_unit
    166 VIEW struct.bd
    167 NO_GRAPHIC 106
    168 DESIGN control_unit
    169 VIEW struct.bd
    170 GRAPHIC 993,0 108 0
    171 DESIGN control_unit
    172 VIEW struct.bd
    173 GRAPHIC 279,0 110 0
    174 DESIGN control_unit
    175 VIEW struct.bd
    176 GRAPHIC 237,0 111 0
    177 DESIGN control_unit
    178 VIEW struct.bd
    179 GRAPHIC 285,0 112 0
    180 DESIGN control_unit
    181 VIEW struct.bd
    182 GRAPHIC 233,0 113 0
    183 DESIGN control_unit
    184 VIEW struct.bd
    185 GRAPHIC 301,0 114 0
    186 DESIGN control_unit
    187 VIEW struct.bd
    188 GRAPHIC 960,0 116 0
    189 DESIGN control_unit
    190 VIEW struct.bd
    191 GRAPHIC 967,0 117 1
    192 DESIGN control_unit
    193 VIEW struct.bd
    194 GRAPHIC 241,0 123 0
    195 DESIGN control_unit
    196 VIEW struct.bd
    197 GRAPHIC 301,0 124 0
    198 DESIGN control_unit
    199 VIEW struct.bd
    200 GRAPHIC 321,0 125 0
    201 DESIGN control_unit
    202 VIEW struct.bd
    203 GRAPHIC 1084,0 126 0
    204 DESIGN control_unit
    205 VIEW struct.bd
    206 GRAPHIC 289,0 127 0
    207 DESIGN control_unit
    208 VIEW struct.bd
    209 GRAPHIC 267,0 128 0
    210 DESIGN control_unit
    211 VIEW struct.bd
    212 GRAPHIC 227,0 129 0
    213 DESIGN control_unit
    214 VIEW struct.bd
    215 GRAPHIC 295,0 130 0
    216 DESIGN control_unit
    217 VIEW struct.bd
    218 GRAPHIC 311,0 131 0
    219 DESIGN control_unit
    220 VIEW struct.bd
    221 GRAPHIC 255,0 132 0
    222 DESIGN control_unit
    223 VIEW struct.bd
    224 GRAPHIC 261,0 133 0
    225 DESIGN control_unit
    226 VIEW struct.bd
    227 GRAPHIC 285,0 134 0
    228 DESIGN control_unit
    229 VIEW struct.bd
    230 GRAPHIC 237,0 135 0
    231 DESIGN control_unit
    232 VIEW struct.bd
    233 GRAPHIC 233,0 136 0
    234 DESIGN control_unit
    235 VIEW struct.bd
    236 GRAPHIC 305,0 137 0
    237 DESIGN control_unit
    238 VIEW struct.bd
    239 GRAPHIC 273,0 138 0
    240 DESIGN control_unit
    241 VIEW struct.bd
    242 NO_GRAPHIC 141
     167NO_GRAPHIC 101
     168DESIGN control_unit
     169VIEW struct.bd
     170GRAPHIC 993,0 104 0
     171DESIGN control_unit
     172VIEW struct.bd
     173GRAPHIC 960,0 105 0
     174DESIGN control_unit
     175VIEW struct.bd
     176NO_GRAPHIC 108
     177DESIGN control_unit
     178VIEW struct.bd
     179NO_GRAPHIC 110
     180DESIGN control_unit
     181VIEW struct.bd
     182GRAPHIC 993,0 112 0
     183DESIGN control_unit
     184VIEW struct.bd
     185GRAPHIC 279,0 114 0
     186DESIGN control_unit
     187VIEW struct.bd
     188GRAPHIC 237,0 115 0
     189DESIGN control_unit
     190VIEW struct.bd
     191GRAPHIC 285,0 116 0
     192DESIGN control_unit
     193VIEW struct.bd
     194GRAPHIC 233,0 117 0
     195DESIGN control_unit
     196VIEW struct.bd
     197GRAPHIC 301,0 118 0
     198DESIGN control_unit
     199VIEW struct.bd
     200GRAPHIC 960,0 120 0
     201DESIGN control_unit
     202VIEW struct.bd
     203GRAPHIC 967,0 121 1
     204DESIGN control_unit
     205VIEW struct.bd
     206GRAPHIC 241,0 127 0
     207DESIGN control_unit
     208VIEW struct.bd
     209GRAPHIC 301,0 128 0
     210DESIGN control_unit
     211VIEW struct.bd
     212GRAPHIC 321,0 129 0
     213DESIGN control_unit
     214VIEW struct.bd
     215GRAPHIC 1084,0 130 0
     216DESIGN control_unit
     217VIEW struct.bd
     218GRAPHIC 289,0 131 0
     219DESIGN control_unit
     220VIEW struct.bd
     221GRAPHIC 267,0 132 0
     222DESIGN control_unit
     223VIEW struct.bd
     224GRAPHIC 227,0 133 0
     225DESIGN control_unit
     226VIEW struct.bd
     227GRAPHIC 295,0 134 0
     228DESIGN control_unit
     229VIEW struct.bd
     230GRAPHIC 311,0 135 0
     231DESIGN control_unit
     232VIEW struct.bd
     233GRAPHIC 255,0 136 0
     234DESIGN control_unit
     235VIEW struct.bd
     236GRAPHIC 261,0 137 0
     237DESIGN control_unit
     238VIEW struct.bd
     239GRAPHIC 285,0 138 0
     240DESIGN control_unit
     241VIEW struct.bd
     242GRAPHIC 237,0 139 0
     243DESIGN control_unit
     244VIEW struct.bd
     245GRAPHIC 233,0 140 0
     246DESIGN control_unit
     247VIEW struct.bd
     248GRAPHIC 305,0 141 0
     249DESIGN control_unit
     250VIEW struct.bd
     251GRAPHIC 273,0 142 0
     252DESIGN control_unit
     253VIEW struct.bd
     254GRAPHIC 1208,0 143 0
     255DESIGN control_unit
     256VIEW struct.bd
     257GRAPHIC 1222,0 144 0
     258DESIGN control_unit
     259VIEW struct.bd
     260NO_GRAPHIC 147
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/.xrf/fad_board_struct.xrf

    r246 r252  
    175175DESIGN @f@a@d_@board
    176176VIEW struct.bd
    177 GRAPHIC 2267,0 95 0
     177GRAPHIC 9500,0 95 0
    178178DESIGN @f@a@d_@board
    179179VIEW struct.bd
     
    193193DESIGN @f@a@d_@board
    194194VIEW struct.bd
    195 GRAPHIC 7485,0 101 0
    196 DESIGN @f@a@d_@board
    197 VIEW struct.bd
    198 GRAPHIC 6326,0 102 0
     195GRAPHIC 8851,0 101 0
     196DESIGN @f@a@d_@board
     197VIEW struct.bd
     198GRAPHIC 7485,0 102 0
    199199DESIGN @f@a@d_@board
    200200VIEW struct.bd
     
    202202DESIGN @f@a@d_@board
    203203VIEW struct.bd
    204 GRAPHIC 6278,0 104 0
     204NO_GRAPHIC 104
    205205DESIGN @f@a@d_@board
    206206VIEW struct.bd
    207207NO_GRAPHIC 105
    208 DESIGN @f@a@d_@board
    209 VIEW struct.bd
    210 GRAPHIC 7118,0 107 0
    211 DESIGN @f@a@d_@board
    212 VIEW struct.bd
    213 NO_GRAPHIC 109
    214208LIBRARY FACT_FAD_lib
    215209DESIGN @f@a@d_main
    216210VIEW struct
    217 GRAPHIC 169,0 111 0
    218 DESIGN @f@a@d_main
    219 VIEW symbol.sb
    220 GRAPHIC 14,0 112 1
    221 DESIGN @f@a@d_main
    222 VIEW symbol.sb
    223 GRAPHIC 1755,0 116 0
    224 DESIGN @f@a@d_main
    225 VIEW symbol.sb
    226 GRAPHIC 2710,0 117 0
    227 DESIGN @f@a@d_main
    228 VIEW symbol.sb
    229 GRAPHIC 2715,0 118 0
    230 DESIGN @f@a@d_main
    231 VIEW symbol.sb
    232 GRAPHIC 2720,0 119 0
    233 DESIGN @f@a@d_main
    234 VIEW symbol.sb
    235 GRAPHIC 2725,0 120 0
    236 DESIGN @f@a@d_main
    237 VIEW symbol.sb
    238 GRAPHIC 2282,0 121 0
    239 DESIGN @f@a@d_main
    240 VIEW symbol.sb
    241 GRAPHIC 1976,0 122 0
    242 DESIGN @f@a@d_main
    243 VIEW symbol.sb
    244 GRAPHIC 923,0 123 0
    245 DESIGN @f@a@d_main
    246 VIEW symbol.sb
    247 GRAPHIC 928,0 124 0
    248 DESIGN @f@a@d_main
    249 VIEW symbol.sb
    250 GRAPHIC 464,0 125 0
    251 DESIGN @f@a@d_main
    252 VIEW symbol.sb
    253 GRAPHIC 1062,0 126 0
    254 DESIGN @f@a@d_main
    255 VIEW symbol.sb
    256 GRAPHIC 1389,0 127 0
    257 DESIGN @f@a@d_main
    258 VIEW symbol.sb
    259 GRAPHIC 1725,0 128 0
    260 DESIGN @f@a@d_main
    261 VIEW symbol.sb
    262 GRAPHIC 2987,0 129 0
    263 DESIGN @f@a@d_main
    264 VIEW symbol.sb
    265 GRAPHIC 2992,0 130 0
    266 DESIGN @f@a@d_main
    267 VIEW symbol.sb
    268 GRAPHIC 833,0 131 0
    269 DESIGN @f@a@d_main
    270 VIEW symbol.sb
    271 GRAPHIC 3641,0 132 0
    272 DESIGN @f@a@d_main
    273 VIEW symbol.sb
    274 GRAPHIC 4144,0 133 0
    275 DESIGN @f@a@d_main
    276 VIEW symbol.sb
    277 GRAPHIC 2448,0 134 0
    278 DESIGN @f@a@d_main
    279 VIEW symbol.sb
    280 GRAPHIC 2453,0 135 0
    281 DESIGN @f@a@d_main
    282 VIEW symbol.sb
    283 GRAPHIC 163,0 136 0
    284 DESIGN @f@a@d_main
    285 VIEW symbol.sb
    286 GRAPHIC 4067,0 137 0
    287 DESIGN @f@a@d_main
    288 VIEW symbol.sb
    289 GRAPHIC 3631,0 138 0
    290 DESIGN @f@a@d_main
    291 VIEW symbol.sb
    292 GRAPHIC 3646,0 139 0
    293 DESIGN @f@a@d_main
    294 VIEW symbol.sb
    295 GRAPHIC 1037,0 140 0
    296 DESIGN @f@a@d_main
    297 VIEW symbol.sb
    298 GRAPHIC 1047,0 141 0
    299 DESIGN @f@a@d_main
    300 VIEW symbol.sb
    301 GRAPHIC 1057,0 142 0
    302 DESIGN @f@a@d_main
    303 VIEW symbol.sb
    304 GRAPHIC 135,0 143 0
    305 DESIGN @f@a@d_main
    306 VIEW symbol.sb
    307 GRAPHIC 1052,0 144 0
    308 DESIGN @f@a@d_main
    309 VIEW symbol.sb
    310 GRAPHIC 3636,0 145 0
    311 DESIGN @f@a@d_main
    312 VIEW symbol.sb
    313 GRAPHIC 1042,0 146 0
    314 DESIGN @f@a@d_@board
    315 VIEW struct.bd
    316 GRAPHIC 6250,0 149 0
    317 DESIGN debouncer
    318 VIEW symbol.sb
    319 GRAPHIC 14,0 150 1
    320 DESIGN debouncer
    321 VIEW @behavioral
    322 GRAPHIC 48,0 154 0
    323 DESIGN debouncer
    324 VIEW @behavioral
    325 GRAPHIC 53,0 155 0
    326 DESIGN debouncer
    327 VIEW @behavioral
    328 GRAPHIC 58,0 157 0
     211GRAPHIC 169,0 107 0
     212DESIGN @f@a@d_main
     213VIEW symbol.sb
     214GRAPHIC 14,0 108 1
     215DESIGN @f@a@d_main
     216VIEW symbol.sb
     217GRAPHIC 1755,0 112 0
     218DESIGN @f@a@d_main
     219VIEW symbol.sb
     220GRAPHIC 2710,0 113 0
     221DESIGN @f@a@d_main
     222VIEW symbol.sb
     223GRAPHIC 2715,0 114 0
     224DESIGN @f@a@d_main
     225VIEW symbol.sb
     226GRAPHIC 2720,0 115 0
     227DESIGN @f@a@d_main
     228VIEW symbol.sb
     229GRAPHIC 2725,0 116 0
     230DESIGN @f@a@d_main
     231VIEW symbol.sb
     232GRAPHIC 2282,0 117 0
     233DESIGN @f@a@d_main
     234VIEW symbol.sb
     235GRAPHIC 1976,0 118 0
     236DESIGN @f@a@d_main
     237VIEW symbol.sb
     238GRAPHIC 923,0 119 0
     239DESIGN @f@a@d_main
     240VIEW symbol.sb
     241GRAPHIC 928,0 120 0
     242DESIGN @f@a@d_main
     243VIEW symbol.sb
     244GRAPHIC 464,0 121 0
     245DESIGN @f@a@d_main
     246VIEW symbol.sb
     247GRAPHIC 1062,0 122 0
     248DESIGN @f@a@d_main
     249VIEW symbol.sb
     250GRAPHIC 1389,0 123 0
     251DESIGN @f@a@d_main
     252VIEW symbol.sb
     253GRAPHIC 1725,0 124 0
     254DESIGN @f@a@d_main
     255VIEW symbol.sb
     256GRAPHIC 2987,0 125 0
     257DESIGN @f@a@d_main
     258VIEW symbol.sb
     259GRAPHIC 2992,0 126 0
     260DESIGN @f@a@d_main
     261VIEW symbol.sb
     262GRAPHIC 833,0 127 0
     263DESIGN @f@a@d_main
     264VIEW symbol.sb
     265GRAPHIC 3641,0 128 0
     266DESIGN @f@a@d_main
     267VIEW symbol.sb
     268GRAPHIC 4144,0 129 0
     269DESIGN @f@a@d_main
     270VIEW symbol.sb
     271GRAPHIC 2448,0 130 0
     272DESIGN @f@a@d_main
     273VIEW symbol.sb
     274GRAPHIC 2453,0 131 0
     275DESIGN @f@a@d_main
     276VIEW symbol.sb
     277GRAPHIC 163,0 132 0
     278DESIGN @f@a@d_main
     279VIEW symbol.sb
     280GRAPHIC 4067,0 133 0
     281DESIGN @f@a@d_main
     282VIEW symbol.sb
     283GRAPHIC 3631,0 134 0
     284DESIGN @f@a@d_main
     285VIEW symbol.sb
     286GRAPHIC 3646,0 135 0
     287DESIGN @f@a@d_main
     288VIEW symbol.sb
     289GRAPHIC 1037,0 136 0
     290DESIGN @f@a@d_main
     291VIEW symbol.sb
     292GRAPHIC 1047,0 137 0
     293DESIGN @f@a@d_main
     294VIEW symbol.sb
     295GRAPHIC 1057,0 138 0
     296DESIGN @f@a@d_main
     297VIEW symbol.sb
     298GRAPHIC 135,0 139 0
     299DESIGN @f@a@d_main
     300VIEW symbol.sb
     301GRAPHIC 1052,0 140 0
     302DESIGN @f@a@d_main
     303VIEW symbol.sb
     304GRAPHIC 3636,0 141 0
     305DESIGN @f@a@d_main
     306VIEW symbol.sb
     307GRAPHIC 1042,0 142 0
    329308LIBRARY FACT_FAD_lib
    330309DESIGN @f@a@d_@board
    331310VIEW struct.bd
    332 NO_GRAPHIC 160
    333 DESIGN @f@a@d_@board
    334 VIEW struct.bd
    335 GRAPHIC 169,0 163 0
    336 DESIGN @f@a@d_@board
    337 VIEW struct.bd
    338 GRAPHIC 6250,0 164 0
    339 DESIGN @f@a@d_@board
    340 VIEW struct.bd
    341 NO_GRAPHIC 167
    342 DESIGN @f@a@d_@board
    343 VIEW struct.bd
    344 GRAPHIC 265,0 170 0
    345 DESIGN @f@a@d_@board
    346 VIEW struct.bd
    347 NO_GRAPHIC 174
    348 DESIGN @f@a@d_@board
    349 VIEW struct.bd
    350 GRAPHIC 3248,0 175 0
    351 DESIGN @f@a@d_@board
    352 VIEW struct.bd
    353 NO_GRAPHIC 181
    354 DESIGN @f@a@d_@board
    355 VIEW struct.bd
    356 GRAPHIC 3300,0 182 0
    357 DESIGN @f@a@d_@board
    358 VIEW struct.bd
    359 NO_GRAPHIC 188
    360 DESIGN @f@a@d_@board
    361 VIEW struct.bd
    362 GRAPHIC 3394,0 189 0
    363 DESIGN @f@a@d_@board
    364 VIEW struct.bd
    365 NO_GRAPHIC 195
    366 DESIGN @f@a@d_@board
    367 VIEW struct.bd
    368 GRAPHIC 3542,0 196 0
    369 DESIGN @f@a@d_@board
    370 VIEW struct.bd
    371 NO_GRAPHIC 202
    372 DESIGN @f@a@d_@board
    373 VIEW struct.bd
    374 GRAPHIC 3700,0 203 0
     311NO_GRAPHIC 145
     312DESIGN @f@a@d_@board
     313VIEW struct.bd
     314GRAPHIC 169,0 148 0
     315DESIGN @f@a@d_@board
     316VIEW struct.bd
     317NO_GRAPHIC 151
     318DESIGN @f@a@d_@board
     319VIEW struct.bd
     320GRAPHIC 265,0 154 0
     321DESIGN @f@a@d_@board
     322VIEW struct.bd
     323NO_GRAPHIC 158
     324DESIGN @f@a@d_@board
     325VIEW struct.bd
     326GRAPHIC 3248,0 159 0
     327DESIGN @f@a@d_@board
     328VIEW struct.bd
     329NO_GRAPHIC 165
     330DESIGN @f@a@d_@board
     331VIEW struct.bd
     332GRAPHIC 3300,0 166 0
     333DESIGN @f@a@d_@board
     334VIEW struct.bd
     335NO_GRAPHIC 172
     336DESIGN @f@a@d_@board
     337VIEW struct.bd
     338GRAPHIC 3394,0 173 0
     339DESIGN @f@a@d_@board
     340VIEW struct.bd
     341NO_GRAPHIC 179
     342DESIGN @f@a@d_@board
     343VIEW struct.bd
     344GRAPHIC 3542,0 180 0
     345DESIGN @f@a@d_@board
     346VIEW struct.bd
     347NO_GRAPHIC 186
     348DESIGN @f@a@d_@board
     349VIEW struct.bd
     350GRAPHIC 3700,0 187 0
     351DESIGN @f@a@d_@board
     352VIEW struct.bd
     353NO_GRAPHIC 207
     354DESIGN @f@a@d_@board
     355VIEW struct.bd
     356GRAPHIC 6888,0 208 0
     357DESIGN @f@a@d_@board
     358VIEW struct.bd
     359NO_GRAPHIC 210
     360DESIGN @f@a@d_@board
     361VIEW struct.bd
     362GRAPHIC 7092,0 211 0
     363DESIGN @f@a@d_@board
     364VIEW struct.bd
     365NO_GRAPHIC 216
     366DESIGN @f@a@d_@board
     367VIEW struct.bd
     368GRAPHIC 7652,0 217 0
     369DESIGN @f@a@d_@board
     370VIEW struct.bd
     371GRAPHIC 6586,0 220 0
    375372DESIGN @f@a@d_@board
    376373VIEW struct.bd
     
    378375DESIGN @f@a@d_@board
    379376VIEW struct.bd
    380 GRAPHIC 6888,0 224 0
    381 DESIGN @f@a@d_@board
    382 VIEW struct.bd
    383 NO_GRAPHIC 226
    384 DESIGN @f@a@d_@board
    385 VIEW struct.bd
    386 GRAPHIC 7092,0 227 0
    387 DESIGN @f@a@d_@board
    388 VIEW struct.bd
    389 NO_GRAPHIC 233
    390 DESIGN @f@a@d_@board
    391 VIEW struct.bd
    392 GRAPHIC 7652,0 234 0
    393 DESIGN @f@a@d_@board
    394 VIEW struct.bd
    395 GRAPHIC 6539,0 237 0
    396 DESIGN @f@a@d_@board
    397 VIEW struct.bd
    398 GRAPHIC 6586,0 240 0
    399 DESIGN @f@a@d_@board
    400 VIEW struct.bd
    401 NO_GRAPHIC 243
    402 DESIGN @f@a@d_@board
    403 VIEW struct.bd
    404 GRAPHIC 169,0 245 0
    405 DESIGN @f@a@d_@board
    406 VIEW struct.bd
    407 GRAPHIC 176,0 246 1
    408 DESIGN @f@a@d_@board
    409 VIEW struct.bd
    410 GRAPHIC 245,0 250 0
    411 DESIGN @f@a@d_@board
    412 VIEW struct.bd
    413 GRAPHIC 1865,0 251 0
    414 DESIGN @f@a@d_@board
    415 VIEW struct.bd
    416 GRAPHIC 1873,0 252 0
    417 DESIGN @f@a@d_@board
    418 VIEW struct.bd
    419 GRAPHIC 1881,0 253 0
    420 DESIGN @f@a@d_@board
    421 VIEW struct.bd
    422 GRAPHIC 1889,0 254 0
    423 DESIGN @f@a@d_@board
    424 VIEW struct.bd
    425 GRAPHIC 1467,0 255 0
    426 DESIGN @f@a@d_@board
    427 VIEW struct.bd
    428 GRAPHIC 1730,0 256 0
    429 DESIGN @f@a@d_@board
    430 VIEW struct.bd
    431 GRAPHIC 277,0 257 0
    432 DESIGN @f@a@d_@board
    433 VIEW struct.bd
    434 GRAPHIC 285,0 258 0
    435 DESIGN @f@a@d_@board
    436 VIEW struct.bd
    437 GRAPHIC 6130,0 259 0
    438 DESIGN @f@a@d_@board
    439 VIEW struct.bd
    440 GRAPHIC 450,0 260 0
    441 DESIGN @f@a@d_@board
    442 VIEW struct.bd
    443 GRAPHIC 3270,0 261 0
    444 DESIGN @f@a@d_@board
    445 VIEW struct.bd
    446 GRAPHIC 2269,0 262 0
    447 DESIGN @f@a@d_@board
    448 VIEW struct.bd
    449 GRAPHIC 2409,0 263 0
    450 DESIGN @f@a@d_@board
    451 VIEW struct.bd
    452 GRAPHIC 2423,0 264 0
    453 DESIGN @f@a@d_@board
    454 VIEW struct.bd
    455 GRAPHIC 362,0 265 0
    456 DESIGN @f@a@d_@board
    457 VIEW struct.bd
    458 GRAPHIC 7477,0 266 0
    459 DESIGN @f@a@d_@board
    460 VIEW struct.bd
    461 GRAPHIC 6431,0 267 0
    462 DESIGN @f@a@d_@board
    463 VIEW struct.bd
    464 GRAPHIC 1833,0 268 0
    465 DESIGN @f@a@d_@board
    466 VIEW struct.bd
    467 GRAPHIC 1841,0 269 0
    468 DESIGN @f@a@d_@board
    469 VIEW struct.bd
    470 GRAPHIC 4942,0 270 0
    471 DESIGN @f@a@d_@board
    472 VIEW struct.bd
    473 GRAPHIC 3682,0 271 0
    474 DESIGN @f@a@d_@board
    475 VIEW struct.bd
    476 GRAPHIC 3009,0 272 0
    477 DESIGN @f@a@d_@board
    478 VIEW struct.bd
    479 GRAPHIC 3021,0 273 0
    480 DESIGN @f@a@d_@board
    481 VIEW struct.bd
    482 GRAPHIC 426,0 274 0
    483 DESIGN @f@a@d_@board
    484 VIEW struct.bd
    485 GRAPHIC 434,0 275 0
    486 DESIGN @f@a@d_@board
    487 VIEW struct.bd
    488 GRAPHIC 458,0 276 0
    489 DESIGN @f@a@d_@board
    490 VIEW struct.bd
    491 GRAPHIC 418,0 277 0
    492 DESIGN @f@a@d_@board
    493 VIEW struct.bd
    494 GRAPHIC 466,0 278 0
    495 DESIGN @f@a@d_@board
    496 VIEW struct.bd
    497 GRAPHIC 3015,0 279 0
    498 DESIGN @f@a@d_@board
    499 VIEW struct.bd
    500 GRAPHIC 442,0 280 0
    501 DESIGN @f@a@d_@board
    502 VIEW struct.bd
    503 GRAPHIC 6250,0 282 0
    504 DESIGN @f@a@d_@board
    505 VIEW struct.bd
    506 GRAPHIC 6257,0 283 1
    507 DESIGN @f@a@d_@board
    508 VIEW struct.bd
    509 GRAPHIC 2269,0 287 0
    510 DESIGN @f@a@d_@board
    511 VIEW struct.bd
    512 GRAPHIC 6328,0 288 0
    513 DESIGN @f@a@d_@board
    514 VIEW struct.bd
    515 GRAPHIC 6288,0 289 0
    516 DESIGN @f@a@d_@board
    517 VIEW struct.bd
    518 GRAPHIC 7118,0 293 0
    519 DESIGN @f@a@d_@board
    520 VIEW struct.bd
    521 NO_GRAPHIC 295
     377GRAPHIC 169,0 225 0
     378DESIGN @f@a@d_@board
     379VIEW struct.bd
     380GRAPHIC 176,0 226 1
     381DESIGN @f@a@d_@board
     382VIEW struct.bd
     383GRAPHIC 245,0 230 0
     384DESIGN @f@a@d_@board
     385VIEW struct.bd
     386GRAPHIC 1865,0 231 0
     387DESIGN @f@a@d_@board
     388VIEW struct.bd
     389GRAPHIC 1873,0 232 0
     390DESIGN @f@a@d_@board
     391VIEW struct.bd
     392GRAPHIC 1881,0 233 0
     393DESIGN @f@a@d_@board
     394VIEW struct.bd
     395GRAPHIC 1889,0 234 0
     396DESIGN @f@a@d_@board
     397VIEW struct.bd
     398GRAPHIC 1467,0 235 0
     399DESIGN @f@a@d_@board
     400VIEW struct.bd
     401GRAPHIC 1730,0 236 0
     402DESIGN @f@a@d_@board
     403VIEW struct.bd
     404GRAPHIC 277,0 237 0
     405DESIGN @f@a@d_@board
     406VIEW struct.bd
     407GRAPHIC 285,0 238 0
     408DESIGN @f@a@d_@board
     409VIEW struct.bd
     410GRAPHIC 6130,0 239 0
     411DESIGN @f@a@d_@board
     412VIEW struct.bd
     413GRAPHIC 450,0 240 0
     414DESIGN @f@a@d_@board
     415VIEW struct.bd
     416GRAPHIC 3270,0 241 0
     417DESIGN @f@a@d_@board
     418VIEW struct.bd
     419GRAPHIC 9502,0 242 0
     420DESIGN @f@a@d_@board
     421VIEW struct.bd
     422GRAPHIC 2409,0 243 0
     423DESIGN @f@a@d_@board
     424VIEW struct.bd
     425GRAPHIC 2423,0 244 0
     426DESIGN @f@a@d_@board
     427VIEW struct.bd
     428GRAPHIC 362,0 245 0
     429DESIGN @f@a@d_@board
     430VIEW struct.bd
     431GRAPHIC 7477,0 246 0
     432DESIGN @f@a@d_@board
     433VIEW struct.bd
     434GRAPHIC 6431,0 247 0
     435DESIGN @f@a@d_@board
     436VIEW struct.bd
     437GRAPHIC 8853,0 248 0
     438DESIGN @f@a@d_@board
     439VIEW struct.bd
     440GRAPHIC 1841,0 249 0
     441DESIGN @f@a@d_@board
     442VIEW struct.bd
     443GRAPHIC 4942,0 250 0
     444DESIGN @f@a@d_@board
     445VIEW struct.bd
     446GRAPHIC 3682,0 251 0
     447DESIGN @f@a@d_@board
     448VIEW struct.bd
     449GRAPHIC 3009,0 252 0
     450DESIGN @f@a@d_@board
     451VIEW struct.bd
     452GRAPHIC 3021,0 253 0
     453DESIGN @f@a@d_@board
     454VIEW struct.bd
     455GRAPHIC 426,0 254 0
     456DESIGN @f@a@d_@board
     457VIEW struct.bd
     458GRAPHIC 434,0 255 0
     459DESIGN @f@a@d_@board
     460VIEW struct.bd
     461GRAPHIC 458,0 256 0
     462DESIGN @f@a@d_@board
     463VIEW struct.bd
     464GRAPHIC 418,0 257 0
     465DESIGN @f@a@d_@board
     466VIEW struct.bd
     467GRAPHIC 466,0 258 0
     468DESIGN @f@a@d_@board
     469VIEW struct.bd
     470GRAPHIC 3015,0 259 0
     471DESIGN @f@a@d_@board
     472VIEW struct.bd
     473GRAPHIC 442,0 260 0
     474DESIGN @f@a@d_@board
     475VIEW struct.bd
     476NO_GRAPHIC 263
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf

    r246 r252  
    187187DESIGN @f@a@d_main
    188188VIEW struct.bd
    189 GRAPHIC 4399,0 107 0
    190 DESIGN @f@a@d_main
    191 VIEW struct.bd
    192 GRAPHIC 4417,0 108 0
    193 DESIGN @f@a@d_main
    194 VIEW struct.bd
    195 GRAPHIC 4741,0 109 0
    196 DESIGN @f@a@d_main
    197 VIEW struct.bd
    198 GRAPHIC 4405,0 110 0
    199 DESIGN @f@a@d_main
    200 VIEW struct.bd
    201 GRAPHIC 6544,0 111 0
    202 DESIGN @f@a@d_main
    203 VIEW struct.bd
    204 GRAPHIC 6450,0 112 0
    205 DESIGN @f@a@d_main
    206 VIEW struct.bd
    207 GRAPHIC 5948,0 113 0
    208 DESIGN @f@a@d_main
    209 VIEW struct.bd
    210 GRAPHIC 2640,0 114 0
    211 DESIGN @f@a@d_main
    212 VIEW struct.bd
    213 GRAPHIC 362,0 115 0
    214 DESIGN @f@a@d_main
    215 VIEW struct.bd
    216 GRAPHIC 368,0 116 0
    217 DESIGN @f@a@d_main
    218 VIEW struct.bd
    219 GRAPHIC 2297,0 117 0
    220 DESIGN @f@a@d_main
    221 VIEW struct.bd
    222 GRAPHIC 2574,0 118 0
    223 DESIGN @f@a@d_main
    224 VIEW struct.bd
    225 GRAPHIC 2580,0 119 0
    226 DESIGN @f@a@d_main
    227 VIEW struct.bd
    228 GRAPHIC 2924,0 120 0
    229 DESIGN @f@a@d_main
    230 VIEW struct.bd
    231 GRAPHIC 2598,0 121 0
    232 DESIGN @f@a@d_main
    233 VIEW struct.bd
    234 GRAPHIC 5279,0 122 0
    235 DESIGN @f@a@d_main
    236 VIEW struct.bd
    237 GRAPHIC 5478,0 123 0
    238 DESIGN @f@a@d_main
    239 VIEW struct.bd
    240 GRAPHIC 5472,0 124 0
    241 DESIGN @f@a@d_main
    242 VIEW struct.bd
    243 GRAPHIC 1981,0 125 0
    244 DESIGN @f@a@d_main
    245 VIEW struct.bd
    246 GRAPHIC 8414,0 126 0
    247 DESIGN @f@a@d_main
    248 VIEW struct.bd
    249 GRAPHIC 2468,0 127 0
    250 DESIGN @f@a@d_main
    251 VIEW struct.bd
    252 GRAPHIC 2492,0 128 0
    253 DESIGN @f@a@d_main
    254 VIEW struct.bd
    255 GRAPHIC 2486,0 129 0
    256 DESIGN @f@a@d_main
    257 VIEW struct.bd
    258 GRAPHIC 2474,0 130 0
    259 DESIGN @f@a@d_main
    260 VIEW struct.bd
    261 GRAPHIC 2498,0 131 0
    262 DESIGN @f@a@d_main
    263 VIEW struct.bd
    264 GRAPHIC 2504,0 132 0
    265 DESIGN @f@a@d_main
    266 VIEW struct.bd
    267 GRAPHIC 2480,0 133 0
    268 DESIGN @f@a@d_main
    269 VIEW struct.bd
    270 GRAPHIC 320,0 134 0
    271 DESIGN @f@a@d_main
    272 VIEW struct.bd
    273 NO_GRAPHIC 135
    274 DESIGN @f@a@d_main
    275 VIEW struct.bd
    276 GRAPHIC 6276,0 137 0
    277 DESIGN @f@a@d_main
    278 VIEW struct.bd
    279 GRAPHIC 3888,0 138 0
    280 DESIGN @f@a@d_main
    281 VIEW struct.bd
    282 NO_GRAPHIC 140
     189GRAPHIC 8508,0 107 0
     190DESIGN @f@a@d_main
     191VIEW struct.bd
     192GRAPHIC 8516,0 108 0
     193DESIGN @f@a@d_main
     194VIEW struct.bd
     195GRAPHIC 8583,0 109 0
     196DESIGN @f@a@d_main
     197VIEW struct.bd
     198GRAPHIC 4399,0 110 0
     199DESIGN @f@a@d_main
     200VIEW struct.bd
     201GRAPHIC 4417,0 111 0
     202DESIGN @f@a@d_main
     203VIEW struct.bd
     204GRAPHIC 4741,0 112 0
     205DESIGN @f@a@d_main
     206VIEW struct.bd
     207GRAPHIC 4405,0 113 0
     208DESIGN @f@a@d_main
     209VIEW struct.bd
     210GRAPHIC 6544,0 114 0
     211DESIGN @f@a@d_main
     212VIEW struct.bd
     213GRAPHIC 6450,0 115 0
     214DESIGN @f@a@d_main
     215VIEW struct.bd
     216GRAPHIC 5948,0 116 0
     217DESIGN @f@a@d_main
     218VIEW struct.bd
     219GRAPHIC 2640,0 117 0
     220DESIGN @f@a@d_main
     221VIEW struct.bd
     222GRAPHIC 362,0 118 0
     223DESIGN @f@a@d_main
     224VIEW struct.bd
     225GRAPHIC 368,0 119 0
     226DESIGN @f@a@d_main
     227VIEW struct.bd
     228GRAPHIC 2297,0 120 0
     229DESIGN @f@a@d_main
     230VIEW struct.bd
     231GRAPHIC 2574,0 121 0
     232DESIGN @f@a@d_main
     233VIEW struct.bd
     234GRAPHIC 2580,0 122 0
     235DESIGN @f@a@d_main
     236VIEW struct.bd
     237GRAPHIC 2924,0 123 0
     238DESIGN @f@a@d_main
     239VIEW struct.bd
     240GRAPHIC 2598,0 124 0
     241DESIGN @f@a@d_main
     242VIEW struct.bd
     243GRAPHIC 5279,0 125 0
     244DESIGN @f@a@d_main
     245VIEW struct.bd
     246GRAPHIC 5478,0 126 0
     247DESIGN @f@a@d_main
     248VIEW struct.bd
     249GRAPHIC 5472,0 127 0
     250DESIGN @f@a@d_main
     251VIEW struct.bd
     252GRAPHIC 1981,0 128 0
     253DESIGN @f@a@d_main
     254VIEW struct.bd
     255GRAPHIC 8414,0 129 0
     256DESIGN @f@a@d_main
     257VIEW struct.bd
     258GRAPHIC 2468,0 130 0
     259DESIGN @f@a@d_main
     260VIEW struct.bd
     261GRAPHIC 2492,0 131 0
     262DESIGN @f@a@d_main
     263VIEW struct.bd
     264GRAPHIC 2486,0 132 0
     265DESIGN @f@a@d_main
     266VIEW struct.bd
     267GRAPHIC 2474,0 133 0
     268DESIGN @f@a@d_main
     269VIEW struct.bd
     270GRAPHIC 2498,0 134 0
     271DESIGN @f@a@d_main
     272VIEW struct.bd
     273GRAPHIC 2504,0 135 0
     274DESIGN @f@a@d_main
     275VIEW struct.bd
     276GRAPHIC 2480,0 136 0
     277DESIGN @f@a@d_main
     278VIEW struct.bd
     279GRAPHIC 320,0 137 0
     280DESIGN @f@a@d_main
     281VIEW struct.bd
     282NO_GRAPHIC 138
     283DESIGN @f@a@d_main
     284VIEW struct.bd
     285GRAPHIC 6276,0 140 0
     286DESIGN @f@a@d_main
     287VIEW struct.bd
     288GRAPHIC 3888,0 141 0
     289DESIGN @f@a@d_main
     290VIEW struct.bd
     291NO_GRAPHIC 143
    283292LIBRARY FACT_FAD_lib
    284293DESIGN adc_buffer
    285294VIEW beha
    286 GRAPHIC 5678,0 142 0
    287 DESIGN @f@a@d_main
    288 VIEW struct.bd
    289 NO_GRAPHIC 149
    290 DESIGN @f@a@d_main
    291 VIEW struct.bd
    292 GRAPHIC 4194,0 151 0
     295GRAPHIC 5678,0 145 0
     296DESIGN @f@a@d_main
     297VIEW struct.bd
     298NO_GRAPHIC 152
     299DESIGN @f@a@d_main
     300VIEW struct.bd
     301GRAPHIC 4194,0 154 0
    293302DESIGN clock_generator
    294303VIEW symbol.sb
    295 GRAPHIC 168,0 153 0
     304GRAPHIC 168,0 156 0
    296305DESIGN clock_generator
    297306VIEW symbol.sb
    298 GRAPHIC 126,0 154 0
     307GRAPHIC 126,0 157 0
    299308DESIGN clock_generator
    300309VIEW symbol.sb
    301 GRAPHIC 131,0 155 0
     310GRAPHIC 131,0 158 0
    302311DESIGN clock_generator
    303312VIEW symbol.sb
    304 GRAPHIC 121,0 156 0
    305 DESIGN @f@a@d_main
    306 VIEW struct.bd
    307 GRAPHIC 5072,0 159 0
    308 DESIGN control_unit
    309 VIEW symbol.sb
    310 GRAPHIC 130,0 161 0
    311 DESIGN control_unit
    312 VIEW symbol.sb
    313 GRAPHIC 135,0 162 0
    314 DESIGN control_unit
    315 VIEW symbol.sb
    316 GRAPHIC 170,0 163 0
    317 DESIGN control_unit
    318 VIEW symbol.sb
    319 GRAPHIC 175,0 164 0
    320 DESIGN control_unit
    321 VIEW symbol.sb
    322 GRAPHIC 160,0 165 0
    323 DESIGN control_unit
    324 VIEW symbol.sb
    325 GRAPHIC 145,0 166 0
    326 DESIGN control_unit
    327 VIEW symbol.sb
    328 GRAPHIC 140,0 167 0
    329 DESIGN control_unit
    330 VIEW symbol.sb
    331 GRAPHIC 180,0 168 0
    332 DESIGN control_unit
    333 VIEW symbol.sb
    334 GRAPHIC 350,0 169 0
    335 DESIGN control_unit
    336 VIEW symbol.sb
    337 GRAPHIC 165,0 170 0
    338 DESIGN control_unit
    339 VIEW symbol.sb
    340 GRAPHIC 155,0 171 0
    341 DESIGN control_unit
    342 VIEW symbol.sb
    343 GRAPHIC 150,0 172 0
    344 DESIGN @f@a@d_main
    345 VIEW struct.bd
    346 GRAPHIC 8277,0 175 0
     313GRAPHIC 121,0 159 0
     314DESIGN @f@a@d_main
     315VIEW struct.bd
     316GRAPHIC 5072,0 162 0
     317DESIGN control_unit
     318VIEW symbol.sb
     319GRAPHIC 130,0 164 0
     320DESIGN control_unit
     321VIEW symbol.sb
     322GRAPHIC 135,0 165 0
     323DESIGN control_unit
     324VIEW symbol.sb
     325GRAPHIC 170,0 166 0
     326DESIGN control_unit
     327VIEW symbol.sb
     328GRAPHIC 175,0 167 0
     329DESIGN control_unit
     330VIEW symbol.sb
     331GRAPHIC 160,0 168 0
     332DESIGN control_unit
     333VIEW symbol.sb
     334GRAPHIC 145,0 169 0
     335DESIGN control_unit
     336VIEW symbol.sb
     337GRAPHIC 140,0 170 0
     338DESIGN control_unit
     339VIEW symbol.sb
     340GRAPHIC 180,0 171 0
     341DESIGN control_unit
     342VIEW symbol.sb
     343GRAPHIC 350,0 172 0
     344DESIGN control_unit
     345VIEW symbol.sb
     346GRAPHIC 165,0 173 0
     347DESIGN control_unit
     348VIEW symbol.sb
     349GRAPHIC 521,0 174 0
     350DESIGN control_unit
     351VIEW symbol.sb
     352GRAPHIC 526,0 175 0
     353DESIGN control_unit
     354VIEW symbol.sb
     355GRAPHIC 155,0 176 0
     356DESIGN control_unit
     357VIEW symbol.sb
     358GRAPHIC 150,0 177 0
     359DESIGN @f@a@d_main
     360VIEW struct.bd
     361GRAPHIC 8277,0 180 0
    347362DESIGN data@r@a@m_64b_16b_width14_5
    348363VIEW data@r@a@m_64b_16b_width14_5_a
    349 GRAPHIC 48,0 177 0
     364GRAPHIC 48,0 182 0
    350365DESIGN data@r@a@m_64b_16b_width14_5
    351366VIEW data@r@a@m_64b_16b_width14_5_a
    352 GRAPHIC 53,0 178 0
     367GRAPHIC 53,0 183 0
    353368DESIGN data@r@a@m_64b_16b_width14_5
    354369VIEW data@r@a@m_64b_16b_width14_5_a
    355 GRAPHIC 58,0 179 0
     370GRAPHIC 58,0 184 0
    356371DESIGN data@r@a@m_64b_16b_width14_5
    357372VIEW data@r@a@m_64b_16b_width14_5_a
    358 GRAPHIC 63,0 180 0
     373GRAPHIC 63,0 185 0
    359374DESIGN data@r@a@m_64b_16b_width14_5
    360375VIEW data@r@a@m_64b_16b_width14_5_a
    361 GRAPHIC 68,0 181 0
     376GRAPHIC 68,0 186 0
    362377DESIGN data@r@a@m_64b_16b_width14_5
    363378VIEW data@r@a@m_64b_16b_width14_5_a
    364 GRAPHIC 73,0 182 0
     379GRAPHIC 73,0 187 0
    365380DESIGN data@r@a@m_64b_16b_width14_5
    366381VIEW data@r@a@m_64b_16b_width14_5_a
    367 GRAPHIC 78,0 183 0
    368 DESIGN @f@a@d_main
    369 VIEW struct.bd
    370 GRAPHIC 1399,0 186 0
    371 DESIGN data_generator
    372 VIEW symbol.sb
    373 GRAPHIC 14,0 187 1
    374 DESIGN data_generator
    375 VIEW @behavioral
    376 GRAPHIC 48,0 191 0
    377 DESIGN data_generator
    378 VIEW @behavioral
    379 GRAPHIC 53,0 192 0
    380 DESIGN data_generator
    381 VIEW @behavioral
    382 GRAPHIC 58,0 193 0
    383 DESIGN data_generator
    384 VIEW @behavioral
    385 GRAPHIC 73,0 194 0
    386 DESIGN data_generator
    387 VIEW @behavioral
    388 GRAPHIC 78,0 195 0
    389 DESIGN data_generator
    390 VIEW @behavioral
    391 GRAPHIC 402,0 196 0
    392 DESIGN data_generator
    393 VIEW @behavioral
    394 GRAPHIC 407,0 197 0
    395 DESIGN data_generator
    396 VIEW @behavioral
    397 GRAPHIC 1122,0 198 0
    398 DESIGN data_generator
    399 VIEW @behavioral
    400 GRAPHIC 963,0 199 0
    401 DESIGN data_generator
    402 VIEW @behavioral
    403 GRAPHIC 1127,0 200 0
    404 DESIGN data_generator
    405 VIEW @behavioral
    406 GRAPHIC 1048,0 201 0
    407 DESIGN data_generator
    408 VIEW @behavioral
    409 GRAPHIC 958,0 202 0
    410 DESIGN data_generator
    411 VIEW @behavioral
    412 GRAPHIC 1053,0 203 0
    413 DESIGN data_generator
    414 VIEW @behavioral
    415 GRAPHIC 1201,0 204 0
    416 DESIGN data_generator
    417 VIEW @behavioral
    418 GRAPHIC 1196,0 205 0
    419 DESIGN data_generator
    420 VIEW @behavioral
    421 GRAPHIC 1206,0 206 0
    422 DESIGN data_generator
    423 VIEW @behavioral
    424 GRAPHIC 473,0 207 0
    425 DESIGN data_generator
    426 VIEW @behavioral
    427 GRAPHIC 412,0 208 0
    428 DESIGN data_generator
    429 VIEW @behavioral
    430 GRAPHIC 1085,0 209 0
    431 DESIGN data_generator
    432 VIEW @behavioral
    433 GRAPHIC 1090,0 210 0
    434 DESIGN data_generator
    435 VIEW @behavioral
    436 GRAPHIC 1240,0 211 0
    437 DESIGN data_generator
    438 VIEW @behavioral
    439 GRAPHIC 526,0 212 0
    440 DESIGN data_generator
    441 VIEW @behavioral
    442 GRAPHIC 88,0 213 0
    443 DESIGN data_generator
    444 VIEW @behavioral
    445 GRAPHIC 285,0 214 0
    446 DESIGN data_generator
    447 VIEW @behavioral
    448 GRAPHIC 93,0 215 0
    449 DESIGN data_generator
    450 VIEW @behavioral
    451 GRAPHIC 98,0 216 0
    452 DESIGN data_generator
    453 VIEW @behavioral
    454 GRAPHIC 1018,0 217 0
    455 DESIGN data_generator
    456 VIEW @behavioral
    457 GRAPHIC 1164,0 218 0
    458 DESIGN data_generator
    459 VIEW @behavioral
    460 GRAPHIC 1159,0 219 0
    461 DESIGN data_generator
    462 VIEW @behavioral
    463 GRAPHIC 898,0 220 0
    464 DESIGN data_generator
    465 VIEW @behavioral
    466 GRAPHIC 637,0 221 0
    467 DESIGN data_generator
    468 VIEW @behavioral
    469 GRAPHIC 642,0 222 0
    470 DESIGN data_generator
    471 VIEW @behavioral
    472 GRAPHIC 676,0 223 0
    473 DESIGN data_generator
    474 VIEW @behavioral
    475 GRAPHIC 845,0 224 0
    476 DESIGN data_generator
    477 VIEW @behavioral
    478 GRAPHIC 681,0 225 0
    479 DESIGN data_generator
    480 VIEW @behavioral
    481 GRAPHIC 801,0 226 0
    482 DESIGN data_generator
    483 VIEW @behavioral
    484 GRAPHIC 806,0 227 0
    485 DESIGN data_generator
    486 VIEW @behavioral
    487 GRAPHIC 811,0 228 0
    488 DESIGN @f@a@d_main
    489 VIEW struct.bd
    490 GRAPHIC 4903,0 231 0
    491 DESIGN @f@a@d_main
    492 VIEW struct.bd
    493 NO_GRAPHIC 244
    494 DESIGN @f@a@d_main
    495 VIEW struct.bd
    496 GRAPHIC 2311,0 246 0
    497 DESIGN memory_manager
    498 VIEW symbol.sb
    499 GRAPHIC 14,0 247 1
    500 DESIGN memory_manager
    501 VIEW beha
    502 GRAPHIC 138,0 252 0
    503 DESIGN memory_manager
    504 VIEW beha
    505 GRAPHIC 194,0 253 0
    506 DESIGN memory_manager
    507 VIEW beha
    508 GRAPHIC 349,0 254 0
    509 DESIGN memory_manager
    510 VIEW beha
    511 GRAPHIC 569,0 255 0
    512 DESIGN memory_manager
    513 VIEW beha
    514 GRAPHIC 224,0 256 0
    515 DESIGN memory_manager
    516 VIEW beha
    517 GRAPHIC 254,0 257 0
    518 DESIGN memory_manager
    519 VIEW beha
    520 GRAPHIC 804,0 258 0
    521 DESIGN memory_manager
    522 VIEW beha
    523 GRAPHIC 433,0 259 0
    524 DESIGN memory_manager
    525 VIEW beha
    526 GRAPHIC 622,0 260 0
    527 DESIGN memory_manager
    528 VIEW beha
    529 GRAPHIC 289,0 261 0
    530 DESIGN memory_manager
    531 VIEW beha
    532 GRAPHIC 309,0 262 0
    533 DESIGN memory_manager
    534 VIEW beha
    535 GRAPHIC 284,0 263 0
    536 DESIGN memory_manager
    537 VIEW beha
    538 GRAPHIC 294,0 264 0
    539 DESIGN memory_manager
    540 VIEW beha
    541 GRAPHIC 304,0 265 0
    542 DESIGN memory_manager
    543 VIEW beha
    544 GRAPHIC 299,0 266 0
    545 DESIGN memory_manager
    546 VIEW beha
    547 GRAPHIC 379,0 267 0
    548 DESIGN memory_manager
    549 VIEW beha
    550 GRAPHIC 915,0 268 0
    551 DESIGN memory_manager
    552 VIEW beha
    553 GRAPHIC 51,0 269 0
    554 DESIGN @f@a@d_main
    555 VIEW struct.bd
    556 GRAPHIC 5793,0 272 0
     382GRAPHIC 78,0 188 0
     383DESIGN @f@a@d_main
     384VIEW struct.bd
     385GRAPHIC 1399,0 191 0
     386DESIGN data_generator
     387VIEW symbol.sb
     388GRAPHIC 14,0 192 1
     389DESIGN data_generator
     390VIEW @behavioral
     391GRAPHIC 48,0 196 0
     392DESIGN data_generator
     393VIEW @behavioral
     394GRAPHIC 53,0 197 0
     395DESIGN data_generator
     396VIEW @behavioral
     397GRAPHIC 58,0 198 0
     398DESIGN data_generator
     399VIEW @behavioral
     400GRAPHIC 73,0 199 0
     401DESIGN data_generator
     402VIEW @behavioral
     403GRAPHIC 78,0 200 0
     404DESIGN data_generator
     405VIEW @behavioral
     406GRAPHIC 402,0 201 0
     407DESIGN data_generator
     408VIEW @behavioral
     409GRAPHIC 407,0 202 0
     410DESIGN data_generator
     411VIEW @behavioral
     412GRAPHIC 1122,0 203 0
     413DESIGN data_generator
     414VIEW @behavioral
     415GRAPHIC 963,0 204 0
     416DESIGN data_generator
     417VIEW @behavioral
     418GRAPHIC 1127,0 205 0
     419DESIGN data_generator
     420VIEW @behavioral
     421GRAPHIC 1048,0 206 0
     422DESIGN data_generator
     423VIEW @behavioral
     424GRAPHIC 958,0 207 0
     425DESIGN data_generator
     426VIEW @behavioral
     427GRAPHIC 1053,0 208 0
     428DESIGN data_generator
     429VIEW @behavioral
     430GRAPHIC 1201,0 209 0
     431DESIGN data_generator
     432VIEW @behavioral
     433GRAPHIC 1196,0 210 0
     434DESIGN data_generator
     435VIEW @behavioral
     436GRAPHIC 1206,0 211 0
     437DESIGN data_generator
     438VIEW @behavioral
     439GRAPHIC 473,0 212 0
     440DESIGN data_generator
     441VIEW @behavioral
     442GRAPHIC 412,0 213 0
     443DESIGN data_generator
     444VIEW @behavioral
     445GRAPHIC 1085,0 214 0
     446DESIGN data_generator
     447VIEW @behavioral
     448GRAPHIC 1090,0 215 0
     449DESIGN data_generator
     450VIEW @behavioral
     451GRAPHIC 1240,0 216 0
     452DESIGN data_generator
     453VIEW @behavioral
     454GRAPHIC 526,0 217 0
     455DESIGN data_generator
     456VIEW @behavioral
     457GRAPHIC 88,0 218 0
     458DESIGN data_generator
     459VIEW @behavioral
     460GRAPHIC 285,0 219 0
     461DESIGN data_generator
     462VIEW @behavioral
     463GRAPHIC 93,0 220 0
     464DESIGN data_generator
     465VIEW @behavioral
     466GRAPHIC 98,0 221 0
     467DESIGN data_generator
     468VIEW @behavioral
     469GRAPHIC 1018,0 222 0
     470DESIGN data_generator
     471VIEW @behavioral
     472GRAPHIC 1164,0 223 0
     473DESIGN data_generator
     474VIEW @behavioral
     475GRAPHIC 1159,0 224 0
     476DESIGN data_generator
     477VIEW @behavioral
     478GRAPHIC 898,0 225 0
     479DESIGN data_generator
     480VIEW @behavioral
     481GRAPHIC 637,0 226 0
     482DESIGN data_generator
     483VIEW @behavioral
     484GRAPHIC 642,0 227 0
     485DESIGN data_generator
     486VIEW @behavioral
     487GRAPHIC 676,0 228 0
     488DESIGN data_generator
     489VIEW @behavioral
     490GRAPHIC 845,0 229 0
     491DESIGN data_generator
     492VIEW @behavioral
     493GRAPHIC 681,0 230 0
     494DESIGN data_generator
     495VIEW @behavioral
     496GRAPHIC 801,0 231 0
     497DESIGN data_generator
     498VIEW @behavioral
     499GRAPHIC 806,0 232 0
     500DESIGN data_generator
     501VIEW @behavioral
     502GRAPHIC 811,0 233 0
     503DESIGN @f@a@d_main
     504VIEW struct.bd
     505GRAPHIC 4903,0 236 0
     506DESIGN @f@a@d_main
     507VIEW struct.bd
     508NO_GRAPHIC 249
     509DESIGN @f@a@d_main
     510VIEW struct.bd
     511GRAPHIC 2311,0 251 0
     512DESIGN memory_manager
     513VIEW symbol.sb
     514GRAPHIC 14,0 252 1
     515DESIGN memory_manager
     516VIEW beha
     517GRAPHIC 138,0 257 0
     518DESIGN memory_manager
     519VIEW beha
     520GRAPHIC 194,0 258 0
     521DESIGN memory_manager
     522VIEW beha
     523GRAPHIC 349,0 259 0
     524DESIGN memory_manager
     525VIEW beha
     526GRAPHIC 569,0 260 0
     527DESIGN memory_manager
     528VIEW beha
     529GRAPHIC 224,0 261 0
     530DESIGN memory_manager
     531VIEW beha
     532GRAPHIC 254,0 262 0
     533DESIGN memory_manager
     534VIEW beha
     535GRAPHIC 804,0 263 0
     536DESIGN memory_manager
     537VIEW beha
     538GRAPHIC 433,0 264 0
     539DESIGN memory_manager
     540VIEW beha
     541GRAPHIC 622,0 265 0
     542DESIGN memory_manager
     543VIEW beha
     544GRAPHIC 289,0 266 0
     545DESIGN memory_manager
     546VIEW beha
     547GRAPHIC 309,0 267 0
     548DESIGN memory_manager
     549VIEW beha
     550GRAPHIC 284,0 268 0
     551DESIGN memory_manager
     552VIEW beha
     553GRAPHIC 294,0 269 0
     554DESIGN memory_manager
     555VIEW beha
     556GRAPHIC 304,0 270 0
     557DESIGN memory_manager
     558VIEW beha
     559GRAPHIC 299,0 271 0
     560DESIGN memory_manager
     561VIEW beha
     562GRAPHIC 379,0 272 0
     563DESIGN memory_manager
     564VIEW beha
     565GRAPHIC 915,0 273 0
     566DESIGN memory_manager
     567VIEW beha
     568GRAPHIC 51,0 274 0
     569DESIGN @f@a@d_main
     570VIEW struct.bd
     571GRAPHIC 5793,0 277 0
    557572DESIGN spi_interface
    558573VIEW symbol.sb
    559 GRAPHIC 1121,0 274 0
     574GRAPHIC 1121,0 279 0
    560575DESIGN spi_interface
    561576VIEW symbol.sb
    562 GRAPHIC 326,0 275 0
     577GRAPHIC 326,0 280 0
    563578DESIGN spi_interface
    564579VIEW symbol.sb
    565 GRAPHIC 197,0 276 0
     580GRAPHIC 197,0 281 0
    566581DESIGN spi_interface
    567582VIEW symbol.sb
    568 GRAPHIC 321,0 277 0
     583GRAPHIC 321,0 282 0
    569584DESIGN spi_interface
    570585VIEW symbol.sb
    571 GRAPHIC 1198,0 278 0
     586GRAPHIC 1198,0 283 0
    572587DESIGN spi_interface
    573588VIEW symbol.sb
    574 GRAPHIC 1017,0 279 0
     589GRAPHIC 1017,0 284 0
    575590DESIGN spi_interface
    576591VIEW symbol.sb
    577 GRAPHIC 1229,0 280 0
     592GRAPHIC 1229,0 285 0
    578593DESIGN spi_interface
    579594VIEW symbol.sb
    580 GRAPHIC 126,0 281 0
     595GRAPHIC 126,0 286 0
    581596DESIGN spi_interface
    582597VIEW symbol.sb
    583 GRAPHIC 819,0 282 0
     598GRAPHIC 819,0 287 0
    584599DESIGN spi_interface
    585600VIEW symbol.sb
    586 GRAPHIC 1022,0 283 0
     601GRAPHIC 1022,0 288 0
    587602DESIGN spi_interface
    588603VIEW symbol.sb
    589 GRAPHIC 824,0 284 0
     604GRAPHIC 824,0 289 0
    590605DESIGN spi_interface
    591606VIEW symbol.sb
    592 GRAPHIC 1283,0 285 0
    593 DESIGN @f@a@d_main
    594 VIEW struct.bd
    595 GRAPHIC 1768,0 288 0
     607GRAPHIC 1283,0 290 0
     608DESIGN @f@a@d_main
     609VIEW struct.bd
     610GRAPHIC 1768,0 293 0
    596611DESIGN trigger_counter
    597612VIEW beha
    598 GRAPHIC 48,0 290 0
     613GRAPHIC 48,0 295 0
    599614DESIGN trigger_counter
    600615VIEW beha
    601 GRAPHIC 53,0 291 0
     616GRAPHIC 53,0 296 0
    602617DESIGN trigger_counter
    603618VIEW beha
    604 GRAPHIC 148,0 292 0
    605 DESIGN @f@a@d_main
    606 VIEW struct.bd
    607 GRAPHIC 1606,0 295 0
    608 DESIGN w5300_modul
    609 VIEW symbol.sb
    610 GRAPHIC 14,0 296 1
    611 DESIGN w5300_modul
    612 VIEW @behavioral
    613 GRAPHIC 48,0 300 0
    614 DESIGN w5300_modul
    615 VIEW @behavioral
    616 GRAPHIC 53,0 301 0
    617 DESIGN w5300_modul
    618 VIEW @behavioral
    619 GRAPHIC 58,0 302 0
    620 DESIGN w5300_modul
    621 VIEW @behavioral
    622 GRAPHIC 63,0 303 0
    623 DESIGN w5300_modul
    624 VIEW @behavioral
    625 GRAPHIC 68,0 304 0
    626 DESIGN w5300_modul
    627 VIEW @behavioral
    628 GRAPHIC 73,0 305 0
    629 DESIGN w5300_modul
    630 VIEW @behavioral
    631 GRAPHIC 491,0 306 0
    632 DESIGN w5300_modul
    633 VIEW @behavioral
    634 GRAPHIC 83,0 307 0
    635 DESIGN w5300_modul
    636 VIEW @behavioral
    637 GRAPHIC 88,0 308 0
    638 DESIGN w5300_modul
    639 VIEW @behavioral
    640 GRAPHIC 93,0 309 0
    641 DESIGN w5300_modul
    642 VIEW @behavioral
    643 GRAPHIC 98,0 310 0
    644 DESIGN w5300_modul
    645 VIEW @behavioral
    646 GRAPHIC 103,0 311 0
    647 DESIGN w5300_modul
    648 VIEW @behavioral
    649 GRAPHIC 108,0 312 0
    650 DESIGN w5300_modul
    651 VIEW @behavioral
    652 GRAPHIC 113,0 313 0
    653 DESIGN w5300_modul
    654 VIEW @behavioral
    655 GRAPHIC 885,0 314 0
    656 DESIGN w5300_modul
    657 VIEW @behavioral
    658 GRAPHIC 118,0 315 0
    659 DESIGN w5300_modul
    660 VIEW @behavioral
    661 GRAPHIC 353,0 316 0
    662 DESIGN w5300_modul
    663 VIEW @behavioral
    664 GRAPHIC 348,0 317 0
    665 DESIGN w5300_modul
    666 VIEW @behavioral
    667 GRAPHIC 385,0 318 0
    668 DESIGN w5300_modul
    669 VIEW @behavioral
    670 GRAPHIC 521,0 319 0
    671 DESIGN w5300_modul
    672 VIEW @behavioral
    673 GRAPHIC 576,0 320 0
    674 DESIGN w5300_modul
    675 VIEW @behavioral
    676 GRAPHIC 566,0 321 0
    677 DESIGN w5300_modul
    678 VIEW @behavioral
    679 GRAPHIC 551,0 322 0
    680 DESIGN w5300_modul
    681 VIEW @behavioral
    682 GRAPHIC 561,0 323 0
    683 DESIGN w5300_modul
    684 VIEW @behavioral
    685 GRAPHIC 571,0 324 0
    686 DESIGN w5300_modul
    687 VIEW @behavioral
    688 GRAPHIC 640,0 325 0
    689 DESIGN w5300_modul
    690 VIEW @behavioral
    691 GRAPHIC 556,0 326 0
    692 DESIGN w5300_modul
    693 VIEW @behavioral
    694 GRAPHIC 670,0 327 0
    695 DESIGN w5300_modul
    696 VIEW @behavioral
    697 GRAPHIC 723,0 328 0
     619GRAPHIC 148,0 297 0
     620DESIGN @f@a@d_main
     621VIEW struct.bd
     622GRAPHIC 1606,0 300 0
     623DESIGN w5300_modul
     624VIEW symbol.sb
     625GRAPHIC 14,0 301 1
     626DESIGN w5300_modul
     627VIEW @behavioral
     628GRAPHIC 48,0 305 0
     629DESIGN w5300_modul
     630VIEW @behavioral
     631GRAPHIC 53,0 306 0
     632DESIGN w5300_modul
     633VIEW @behavioral
     634GRAPHIC 58,0 307 0
     635DESIGN w5300_modul
     636VIEW @behavioral
     637GRAPHIC 63,0 308 0
     638DESIGN w5300_modul
     639VIEW @behavioral
     640GRAPHIC 68,0 309 0
     641DESIGN w5300_modul
     642VIEW @behavioral
     643GRAPHIC 73,0 310 0
     644DESIGN w5300_modul
     645VIEW @behavioral
     646GRAPHIC 491,0 311 0
     647DESIGN w5300_modul
     648VIEW @behavioral
     649GRAPHIC 83,0 312 0
     650DESIGN w5300_modul
     651VIEW @behavioral
     652GRAPHIC 88,0 313 0
     653DESIGN w5300_modul
     654VIEW @behavioral
     655GRAPHIC 93,0 314 0
     656DESIGN w5300_modul
     657VIEW @behavioral
     658GRAPHIC 98,0 315 0
     659DESIGN w5300_modul
     660VIEW @behavioral
     661GRAPHIC 103,0 316 0
     662DESIGN w5300_modul
     663VIEW @behavioral
     664GRAPHIC 108,0 317 0
     665DESIGN w5300_modul
     666VIEW @behavioral
     667GRAPHIC 113,0 318 0
     668DESIGN w5300_modul
     669VIEW @behavioral
     670GRAPHIC 885,0 319 0
     671DESIGN w5300_modul
     672VIEW @behavioral
     673GRAPHIC 118,0 320 0
     674DESIGN w5300_modul
     675VIEW @behavioral
     676GRAPHIC 353,0 321 0
     677DESIGN w5300_modul
     678VIEW @behavioral
     679GRAPHIC 348,0 322 0
     680DESIGN w5300_modul
     681VIEW @behavioral
     682GRAPHIC 385,0 323 0
     683DESIGN w5300_modul
     684VIEW @behavioral
     685GRAPHIC 521,0 324 0
     686DESIGN w5300_modul
     687VIEW @behavioral
     688GRAPHIC 576,0 325 0
     689DESIGN w5300_modul
     690VIEW @behavioral
     691GRAPHIC 566,0 326 0
     692DESIGN w5300_modul
     693VIEW @behavioral
     694GRAPHIC 551,0 327 0
     695DESIGN w5300_modul
     696VIEW @behavioral
     697GRAPHIC 561,0 328 0
     698DESIGN w5300_modul
     699VIEW @behavioral
     700GRAPHIC 571,0 329 0
     701DESIGN w5300_modul
     702VIEW @behavioral
     703GRAPHIC 640,0 330 0
     704DESIGN w5300_modul
     705VIEW @behavioral
     706GRAPHIC 556,0 331 0
     707DESIGN w5300_modul
     708VIEW @behavioral
     709GRAPHIC 670,0 332 0
     710DESIGN w5300_modul
     711VIEW @behavioral
     712GRAPHIC 723,0 333 0
    698713LIBRARY FACT_FAD_lib
    699714DESIGN @f@a@d_main
    700715VIEW struct.bd
    701 NO_GRAPHIC 331
    702 DESIGN @f@a@d_main
    703 VIEW struct.bd
    704 GRAPHIC 5678,0 334 0
    705 DESIGN @f@a@d_main
    706 VIEW struct.bd
    707 GRAPHIC 4194,0 335 0
    708 DESIGN @f@a@d_main
    709 VIEW struct.bd
    710 GRAPHIC 5072,0 336 0
    711 DESIGN @f@a@d_main
    712 VIEW struct.bd
    713 GRAPHIC 8277,0 337 0
    714 DESIGN @f@a@d_main
    715 VIEW struct.bd
    716 GRAPHIC 1399,0 338 0
    717 DESIGN @f@a@d_main
    718 VIEW struct.bd
    719 GRAPHIC 4903,0 339 0
    720 DESIGN @f@a@d_main
    721 VIEW struct.bd
    722 GRAPHIC 2311,0 340 0
    723 DESIGN @f@a@d_main
    724 VIEW struct.bd
    725 GRAPHIC 5793,0 341 0
    726 DESIGN @f@a@d_main
    727 VIEW struct.bd
    728 GRAPHIC 1768,0 342 0
    729 DESIGN @f@a@d_main
    730 VIEW struct.bd
    731 GRAPHIC 1606,0 343 0
    732 DESIGN @f@a@d_main
    733 VIEW struct.bd
    734 NO_GRAPHIC 346
    735 DESIGN @f@a@d_main
    736 VIEW struct.bd
    737 GRAPHIC 6529,0 348 0
     716NO_GRAPHIC 336
     717DESIGN @f@a@d_main
     718VIEW struct.bd
     719GRAPHIC 5678,0 339 0
     720DESIGN @f@a@d_main
     721VIEW struct.bd
     722GRAPHIC 4194,0 340 0
     723DESIGN @f@a@d_main
     724VIEW struct.bd
     725GRAPHIC 5072,0 341 0
     726DESIGN @f@a@d_main
     727VIEW struct.bd
     728GRAPHIC 8277,0 342 0
     729DESIGN @f@a@d_main
     730VIEW struct.bd
     731GRAPHIC 1399,0 343 0
     732DESIGN @f@a@d_main
     733VIEW struct.bd
     734GRAPHIC 4903,0 344 0
     735DESIGN @f@a@d_main
     736VIEW struct.bd
     737GRAPHIC 2311,0 345 0
     738DESIGN @f@a@d_main
     739VIEW struct.bd
     740GRAPHIC 5793,0 346 0
     741DESIGN @f@a@d_main
     742VIEW struct.bd
     743GRAPHIC 1768,0 347 0
     744DESIGN @f@a@d_main
     745VIEW struct.bd
     746GRAPHIC 1606,0 348 0
    738747DESIGN @f@a@d_main
    739748VIEW struct.bd
     
    741750DESIGN @f@a@d_main
    742751VIEW struct.bd
    743 GRAPHIC 5678,0 353 0
    744 DESIGN @f@a@d_main
    745 VIEW struct.bd
    746 GRAPHIC 5646,0 355 0
    747 DESIGN @f@a@d_main
    748 VIEW struct.bd
    749 GRAPHIC 4272,0 356 0
    750 DESIGN @f@a@d_main
    751 VIEW struct.bd
    752 GRAPHIC 2786,0 357 0
    753 DESIGN @f@a@d_main
    754 VIEW struct.bd
    755 GRAPHIC 5626,0 358 0
    756 DESIGN @f@a@d_main
    757 VIEW struct.bd
    758 GRAPHIC 5634,0 359 0
    759 DESIGN @f@a@d_main
    760 VIEW struct.bd
    761 GRAPHIC 4194,0 361 0
    762 DESIGN @f@a@d_main
    763 VIEW struct.bd
    764 GRAPHIC 4042,0 363 0
    765 DESIGN @f@a@d_main
    766 VIEW struct.bd
    767 GRAPHIC 6072,0 364 0
    768 DESIGN @f@a@d_main
    769 VIEW struct.bd
    770 GRAPHIC 3984,0 365 0
    771 DESIGN @f@a@d_main
    772 VIEW struct.bd
    773 GRAPHIC 3888,0 366 0
    774 DESIGN @f@a@d_main
    775 VIEW struct.bd
    776 GRAPHIC 5072,0 368 0
    777 DESIGN @f@a@d_main
    778 VIEW struct.bd
    779 GRAPHIC 5582,0 370 0
    780 DESIGN @f@a@d_main
    781 VIEW struct.bd
    782 GRAPHIC 5090,0 371 0
    783 DESIGN @f@a@d_main
    784 VIEW struct.bd
    785 GRAPHIC 5130,0 372 0
    786 DESIGN @f@a@d_main
    787 VIEW struct.bd
    788 GRAPHIC 5184,0 373 0
    789 DESIGN @f@a@d_main
    790 VIEW struct.bd
    791 GRAPHIC 5122,0 374 0
    792 DESIGN @f@a@d_main
    793 VIEW struct.bd
    794 GRAPHIC 5106,0 375 0
    795 DESIGN @f@a@d_main
    796 VIEW struct.bd
    797 GRAPHIC 5098,0 376 0
    798 DESIGN @f@a@d_main
    799 VIEW struct.bd
    800 GRAPHIC 5190,0 377 0
    801 DESIGN @f@a@d_main
    802 VIEW struct.bd
    803 GRAPHIC 6002,0 378 0
    804 DESIGN @f@a@d_main
    805 VIEW struct.bd
    806 GRAPHIC 5146,0 379 0
    807 DESIGN @f@a@d_main
    808 VIEW struct.bd
    809 GRAPHIC 5138,0 380 0
    810 DESIGN @f@a@d_main
    811 VIEW struct.bd
    812 GRAPHIC 5114,0 381 0
    813 DESIGN @f@a@d_main
    814 VIEW struct.bd
    815 GRAPHIC 8277,0 383 0
    816 DESIGN @f@a@d_main
    817 VIEW struct.bd
    818 GRAPHIC 5602,0 385 0
    819 DESIGN @f@a@d_main
    820 VIEW struct.bd
    821 GRAPHIC 334,0 386 0
    822 DESIGN @f@a@d_main
    823 VIEW struct.bd
    824 GRAPHIC 328,0 387 0
    825 DESIGN @f@a@d_main
    826 VIEW struct.bd
    827 GRAPHIC 322,0 388 0
    828 DESIGN @f@a@d_main
    829 VIEW struct.bd
    830 GRAPHIC 4240,0 389 0
    831 DESIGN @f@a@d_main
    832 VIEW struct.bd
    833 GRAPHIC 364,0 390 0
    834 DESIGN @f@a@d_main
    835 VIEW struct.bd
    836 GRAPHIC 370,0 391 0
    837 DESIGN @f@a@d_main
    838 VIEW struct.bd
    839 GRAPHIC 1399,0 393 0
    840 DESIGN @f@a@d_main
    841 VIEW struct.bd
    842 GRAPHIC 1406,0 394 1
    843 DESIGN @f@a@d_main
    844 VIEW struct.bd
    845 GRAPHIC 5602,0 398 0
    846 DESIGN @f@a@d_main
    847 VIEW struct.bd
    848 GRAPHIC 334,0 399 0
    849 DESIGN @f@a@d_main
    850 VIEW struct.bd
    851 GRAPHIC 328,0 400 0
    852 DESIGN @f@a@d_main
    853 VIEW struct.bd
    854 GRAPHIC 322,0 401 0
    855 DESIGN @f@a@d_main
    856 VIEW struct.bd
    857 GRAPHIC 2299,0 402 0
    858 DESIGN @f@a@d_main
    859 VIEW struct.bd
    860 GRAPHIC 2576,0 403 0
    861 DESIGN @f@a@d_main
    862 VIEW struct.bd
    863 GRAPHIC 2582,0 404 0
    864 DESIGN @f@a@d_main
    865 VIEW struct.bd
    866 GRAPHIC 2588,0 405 0
    867 DESIGN @f@a@d_main
    868 VIEW struct.bd
    869 GRAPHIC 5184,0 406 0
    870 DESIGN @f@a@d_main
    871 VIEW struct.bd
    872 GRAPHIC 5745,0 407 0
    873 DESIGN @f@a@d_main
    874 VIEW struct.bd
    875 GRAPHIC 2594,0 408 0
    876 DESIGN @f@a@d_main
    877 VIEW struct.bd
    878 GRAPHIC 5190,0 409 0
    879 DESIGN @f@a@d_main
    880 VIEW struct.bd
    881 GRAPHIC 5404,0 410 0
    882 DESIGN @f@a@d_main
    883 VIEW struct.bd
    884 GRAPHIC 6018,0 411 0
    885 DESIGN @f@a@d_main
    886 VIEW struct.bd
    887 GRAPHIC 6002,0 412 0
    888 DESIGN @f@a@d_main
    889 VIEW struct.bd
    890 GRAPHIC 6008,0 413 0
    891 DESIGN @f@a@d_main
    892 VIEW struct.bd
    893 GRAPHIC 5138,0 414 0
    894 DESIGN @f@a@d_main
    895 VIEW struct.bd
    896 GRAPHIC 2600,0 415 0
    897 DESIGN @f@a@d_main
    898 VIEW struct.bd
    899 GRAPHIC 5480,0 416 0
    900 DESIGN @f@a@d_main
    901 VIEW struct.bd
    902 GRAPHIC 5474,0 417 0
    903 DESIGN @f@a@d_main
    904 VIEW struct.bd
    905 GRAPHIC 6064,0 418 0
    906 DESIGN @f@a@d_main
    907 VIEW struct.bd
    908 GRAPHIC 2642,0 419 0
    909 DESIGN @f@a@d_main
    910 VIEW struct.bd
    911 GRAPHIC 1411,0 420 0
    912 DESIGN @f@a@d_main
    913 VIEW struct.bd
    914 GRAPHIC 1682,0 421 0
    915 DESIGN @f@a@d_main
    916 VIEW struct.bd
    917 GRAPHIC 1983,0 422 0
    918 DESIGN @f@a@d_main
    919 VIEW struct.bd
    920 GRAPHIC 1425,0 423 0
    921 DESIGN @f@a@d_main
    922 VIEW struct.bd
    923 GRAPHIC 5281,0 424 0
    924 DESIGN @f@a@d_main
    925 VIEW struct.bd
    926 GRAPHIC 5950,0 425 0
    927 DESIGN @f@a@d_main
    928 VIEW struct.bd
    929 GRAPHIC 5962,0 426 0
    930 DESIGN @f@a@d_main
    931 VIEW struct.bd
    932 GRAPHIC 5626,0 427 0
    933 DESIGN @f@a@d_main
    934 VIEW struct.bd
    935 GRAPHIC 2778,0 428 0
    936 DESIGN @f@a@d_main
    937 VIEW struct.bd
    938 GRAPHIC 5634,0 429 0
    939 DESIGN @f@a@d_main
    940 VIEW struct.bd
    941 GRAPHIC 4537,0 430 0
    942 DESIGN @f@a@d_main
    943 VIEW struct.bd
    944 GRAPHIC 6540,0 431 0
    945 DESIGN @f@a@d_main
    946 VIEW struct.bd
    947 GRAPHIC 4401,0 432 0
    948 DESIGN @f@a@d_main
    949 VIEW struct.bd
    950 GRAPHIC 4419,0 433 0
    951 DESIGN @f@a@d_main
    952 VIEW struct.bd
    953 GRAPHIC 4743,0 434 0
    954 DESIGN @f@a@d_main
    955 VIEW struct.bd
    956 GRAPHIC 4407,0 435 0
    957 DESIGN @f@a@d_main
    958 VIEW struct.bd
    959 GRAPHIC 4903,0 437 0
    960 DESIGN @f@a@d_main
    961 VIEW struct.bd
    962 GRAPHIC 4757,0 439 0
    963 DESIGN @f@a@d_main
    964 VIEW struct.bd
    965 GRAPHIC 4401,0 440 0
    966 DESIGN @f@a@d_main
    967 VIEW struct.bd
    968 GRAPHIC 4419,0 441 0
    969 DESIGN @f@a@d_main
    970 VIEW struct.bd
    971 GRAPHIC 4671,0 442 0
    972 DESIGN @f@a@d_main
    973 VIEW struct.bd
    974 GRAPHIC 4679,0 443 0
    975 DESIGN @f@a@d_main
    976 VIEW struct.bd
    977 GRAPHIC 4687,0 444 0
    978 DESIGN @f@a@d_main
    979 VIEW struct.bd
    980 GRAPHIC 4695,0 445 0
    981 DESIGN @f@a@d_main
    982 VIEW struct.bd
    983 GRAPHIC 4407,0 446 0
    984 DESIGN @f@a@d_main
    985 VIEW struct.bd
    986 GRAPHIC 4743,0 447 0
    987 DESIGN @f@a@d_main
    988 VIEW struct.bd
    989 GRAPHIC 4948,0 448 0
    990 DESIGN @f@a@d_main
    991 VIEW struct.bd
    992 GRAPHIC 4962,0 449 0
    993 DESIGN @f@a@d_main
    994 VIEW struct.bd
    995 GRAPHIC 2311,0 451 0
    996 DESIGN @f@a@d_main
    997 VIEW struct.bd
    998 GRAPHIC 2318,0 452 1
    999 DESIGN @f@a@d_main
    1000 VIEW struct.bd
    1001 GRAPHIC 6082,0 457 0
    1002 DESIGN @f@a@d_main
    1003 VIEW struct.bd
    1004 GRAPHIC 2588,0 458 0
    1005 DESIGN @f@a@d_main
    1006 VIEW struct.bd
    1007 GRAPHIC 2582,0 459 0
    1008 DESIGN @f@a@d_main
    1009 VIEW struct.bd
    1010 GRAPHIC 5168,0 460 0
    1011 DESIGN @f@a@d_main
    1012 VIEW struct.bd
    1013 GRAPHIC 2576,0 461 0
    1014 DESIGN @f@a@d_main
    1015 VIEW struct.bd
    1016 GRAPHIC 2594,0 462 0
    1017 DESIGN @f@a@d_main
    1018 VIEW struct.bd
    1019 GRAPHIC 6018,0 463 0
    1020 DESIGN @f@a@d_main
    1021 VIEW struct.bd
    1022 GRAPHIC 2600,0 464 0
    1023 DESIGN @f@a@d_main
    1024 VIEW struct.bd
    1025 GRAPHIC 2642,0 465 0
    1026 DESIGN @f@a@d_main
    1027 VIEW struct.bd
    1028 GRAPHIC 2488,0 466 0
    1029 DESIGN @f@a@d_main
    1030 VIEW struct.bd
    1031 GRAPHIC 2482,0 467 0
    1032 DESIGN @f@a@d_main
    1033 VIEW struct.bd
    1034 GRAPHIC 2494,0 468 0
    1035 DESIGN @f@a@d_main
    1036 VIEW struct.bd
    1037 GRAPHIC 2476,0 469 0
    1038 DESIGN @f@a@d_main
    1039 VIEW struct.bd
    1040 GRAPHIC 2506,0 470 0
    1041 DESIGN @f@a@d_main
    1042 VIEW struct.bd
    1043 GRAPHIC 2500,0 471 0
    1044 DESIGN @f@a@d_main
    1045 VIEW struct.bd
    1046 GRAPHIC 2470,0 472 0
    1047 DESIGN @f@a@d_main
    1048 VIEW struct.bd
    1049 GRAPHIC 8416,0 473 0
    1050 DESIGN @f@a@d_main
    1051 VIEW struct.bd
    1052 GRAPHIC 2299,0 474 0
    1053 DESIGN @f@a@d_main
    1054 VIEW struct.bd
    1055 GRAPHIC 5793,0 476 0
    1056 DESIGN @f@a@d_main
    1057 VIEW struct.bd
    1058 GRAPHIC 5805,0 478 0
    1059 DESIGN @f@a@d_main
    1060 VIEW struct.bd
    1061 GRAPHIC 5745,0 479 0
    1062 DESIGN @f@a@d_main
    1063 VIEW struct.bd
    1064 GRAPHIC 5146,0 480 0
    1065 DESIGN @f@a@d_main
    1066 VIEW struct.bd
    1067 GRAPHIC 5404,0 481 0
    1068 DESIGN @f@a@d_main
    1069 VIEW struct.bd
    1070 GRAPHIC 6008,0 482 0
    1071 DESIGN @f@a@d_main
    1072 VIEW struct.bd
    1073 GRAPHIC 5829,0 483 0
    1074 DESIGN @f@a@d_main
    1075 VIEW struct.bd
    1076 GRAPHIC 6160,0 484 0
    1077 DESIGN @f@a@d_main
    1078 VIEW struct.bd
    1079 GRAPHIC 5813,0 485 0
    1080 DESIGN @f@a@d_main
    1081 VIEW struct.bd
    1082 GRAPHIC 5480,0 486 0
    1083 DESIGN @f@a@d_main
    1084 VIEW struct.bd
    1085 GRAPHIC 5837,0 487 0
    1086 DESIGN @f@a@d_main
    1087 VIEW struct.bd
    1088 GRAPHIC 5474,0 488 0
    1089 DESIGN @f@a@d_main
    1090 VIEW struct.bd
    1091 GRAPHIC 5821,0 489 0
    1092 DESIGN @f@a@d_main
    1093 VIEW struct.bd
    1094 GRAPHIC 1768,0 491 0
    1095 DESIGN @f@a@d_main
    1096 VIEW struct.bd
    1097 GRAPHIC 1983,0 493 0
    1098 DESIGN @f@a@d_main
    1099 VIEW struct.bd
    1100 GRAPHIC 2876,0 494 0
    1101 DESIGN @f@a@d_main
    1102 VIEW struct.bd
    1103 GRAPHIC 6276,0 495 0
    1104 DESIGN @f@a@d_main
    1105 VIEW struct.bd
    1106 GRAPHIC 1606,0 497 0
    1107 DESIGN @f@a@d_main
    1108 VIEW struct.bd
    1109 GRAPHIC 1613,0 498 1
    1110 DESIGN @f@a@d_main
    1111 VIEW struct.bd
    1112 GRAPHIC 3888,0 502 0
    1113 DESIGN @f@a@d_main
    1114 VIEW struct.bd
    1115 GRAPHIC 376,0 503 0
    1116 DESIGN @f@a@d_main
    1117 VIEW struct.bd
    1118 GRAPHIC 384,0 504 0
    1119 DESIGN @f@a@d_main
    1120 VIEW struct.bd
    1121 GRAPHIC 392,0 505 0
    1122 DESIGN @f@a@d_main
    1123 VIEW struct.bd
    1124 GRAPHIC 400,0 506 0
    1125 DESIGN @f@a@d_main
    1126 VIEW struct.bd
    1127 GRAPHIC 408,0 507 0
    1128 DESIGN @f@a@d_main
    1129 VIEW struct.bd
    1130 GRAPHIC 5222,0 508 0
    1131 DESIGN @f@a@d_main
    1132 VIEW struct.bd
    1133 GRAPHIC 424,0 509 0
    1134 DESIGN @f@a@d_main
    1135 VIEW struct.bd
    1136 GRAPHIC 432,0 510 0
    1137 DESIGN @f@a@d_main
    1138 VIEW struct.bd
    1139 GRAPHIC 2482,0 511 0
    1140 DESIGN @f@a@d_main
    1141 VIEW struct.bd
    1142 GRAPHIC 2488,0 512 0
    1143 DESIGN @f@a@d_main
    1144 VIEW struct.bd
    1145 GRAPHIC 370,0 513 0
    1146 DESIGN @f@a@d_main
    1147 VIEW struct.bd
    1148 GRAPHIC 364,0 514 0
    1149 DESIGN @f@a@d_main
    1150 VIEW struct.bd
    1151 GRAPHIC 2476,0 515 0
    1152 DESIGN @f@a@d_main
    1153 VIEW struct.bd
    1154 GRAPHIC 8416,0 516 0
    1155 DESIGN @f@a@d_main
    1156 VIEW struct.bd
    1157 GRAPHIC 2470,0 517 0
    1158 DESIGN @f@a@d_main
    1159 VIEW struct.bd
    1160 GRAPHIC 2506,0 518 0
    1161 DESIGN @f@a@d_main
    1162 VIEW struct.bd
    1163 GRAPHIC 2500,0 519 0
    1164 DESIGN @f@a@d_main
    1165 VIEW struct.bd
    1166 GRAPHIC 2494,0 520 0
    1167 DESIGN @f@a@d_main
    1168 VIEW struct.bd
    1169 GRAPHIC 5281,0 521 0
    1170 DESIGN @f@a@d_main
    1171 VIEW struct.bd
    1172 GRAPHIC 5950,0 522 0
    1173 DESIGN @f@a@d_main
    1174 VIEW struct.bd
    1175 GRAPHIC 5962,0 523 0
    1176 DESIGN @f@a@d_main
    1177 VIEW struct.bd
    1178 GRAPHIC 5090,0 524 0
    1179 DESIGN @f@a@d_main
    1180 VIEW struct.bd
    1181 GRAPHIC 5114,0 525 0
    1182 DESIGN @f@a@d_main
    1183 VIEW struct.bd
    1184 GRAPHIC 5122,0 526 0
    1185 DESIGN @f@a@d_main
    1186 VIEW struct.bd
    1187 GRAPHIC 5130,0 527 0
    1188 DESIGN @f@a@d_main
    1189 VIEW struct.bd
    1190 GRAPHIC 5106,0 528 0
    1191 DESIGN @f@a@d_main
    1192 VIEW struct.bd
    1193 GRAPHIC 6362,0 529 0
    1194 DESIGN @f@a@d_main
    1195 VIEW struct.bd
    1196 GRAPHIC 6452,0 530 0
    1197 DESIGN @f@a@d_main
    1198 VIEW struct.bd
    1199 GRAPHIC 6276,0 534 0
    1200 DESIGN @f@a@d_main
    1201 VIEW struct.bd
    1202 GRAPHIC 3888,0 535 0
    1203 DESIGN @f@a@d_main
    1204 VIEW struct.bd
    1205 NO_GRAPHIC 537
     752GRAPHIC 6529,0 353 0
     753DESIGN @f@a@d_main
     754VIEW struct.bd
     755GRAPHIC 8562,0 356 0
     756DESIGN @f@a@d_main
     757VIEW struct.bd
     758NO_GRAPHIC 367
     759DESIGN @f@a@d_main
     760VIEW struct.bd
     761GRAPHIC 5678,0 369 0
     762DESIGN @f@a@d_main
     763VIEW struct.bd
     764GRAPHIC 5646,0 371 0
     765DESIGN @f@a@d_main
     766VIEW struct.bd
     767GRAPHIC 4272,0 372 0
     768DESIGN @f@a@d_main
     769VIEW struct.bd
     770GRAPHIC 2786,0 373 0
     771DESIGN @f@a@d_main
     772VIEW struct.bd
     773GRAPHIC 5626,0 374 0
     774DESIGN @f@a@d_main
     775VIEW struct.bd
     776GRAPHIC 5634,0 375 0
     777DESIGN @f@a@d_main
     778VIEW struct.bd
     779GRAPHIC 4194,0 377 0
     780DESIGN @f@a@d_main
     781VIEW struct.bd
     782GRAPHIC 4042,0 379 0
     783DESIGN @f@a@d_main
     784VIEW struct.bd
     785GRAPHIC 6072,0 380 0
     786DESIGN @f@a@d_main
     787VIEW struct.bd
     788GRAPHIC 3984,0 381 0
     789DESIGN @f@a@d_main
     790VIEW struct.bd
     791GRAPHIC 3888,0 382 0
     792DESIGN @f@a@d_main
     793VIEW struct.bd
     794GRAPHIC 5072,0 384 0
     795DESIGN @f@a@d_main
     796VIEW struct.bd
     797GRAPHIC 5582,0 386 0
     798DESIGN @f@a@d_main
     799VIEW struct.bd
     800GRAPHIC 5090,0 387 0
     801DESIGN @f@a@d_main
     802VIEW struct.bd
     803GRAPHIC 5130,0 388 0
     804DESIGN @f@a@d_main
     805VIEW struct.bd
     806GRAPHIC 5184,0 389 0
     807DESIGN @f@a@d_main
     808VIEW struct.bd
     809GRAPHIC 5122,0 390 0
     810DESIGN @f@a@d_main
     811VIEW struct.bd
     812GRAPHIC 5106,0 391 0
     813DESIGN @f@a@d_main
     814VIEW struct.bd
     815GRAPHIC 5098,0 392 0
     816DESIGN @f@a@d_main
     817VIEW struct.bd
     818GRAPHIC 5190,0 393 0
     819DESIGN @f@a@d_main
     820VIEW struct.bd
     821GRAPHIC 6002,0 394 0
     822DESIGN @f@a@d_main
     823VIEW struct.bd
     824GRAPHIC 5146,0 395 0
     825DESIGN @f@a@d_main
     826VIEW struct.bd
     827GRAPHIC 8510,0 396 0
     828DESIGN @f@a@d_main
     829VIEW struct.bd
     830GRAPHIC 8518,0 397 0
     831DESIGN @f@a@d_main
     832VIEW struct.bd
     833GRAPHIC 5138,0 398 0
     834DESIGN @f@a@d_main
     835VIEW struct.bd
     836GRAPHIC 5114,0 399 0
     837DESIGN @f@a@d_main
     838VIEW struct.bd
     839GRAPHIC 8277,0 401 0
     840DESIGN @f@a@d_main
     841VIEW struct.bd
     842GRAPHIC 5602,0 403 0
     843DESIGN @f@a@d_main
     844VIEW struct.bd
     845GRAPHIC 334,0 404 0
     846DESIGN @f@a@d_main
     847VIEW struct.bd
     848GRAPHIC 328,0 405 0
     849DESIGN @f@a@d_main
     850VIEW struct.bd
     851GRAPHIC 322,0 406 0
     852DESIGN @f@a@d_main
     853VIEW struct.bd
     854GRAPHIC 4240,0 407 0
     855DESIGN @f@a@d_main
     856VIEW struct.bd
     857GRAPHIC 364,0 408 0
     858DESIGN @f@a@d_main
     859VIEW struct.bd
     860GRAPHIC 370,0 409 0
     861DESIGN @f@a@d_main
     862VIEW struct.bd
     863GRAPHIC 1399,0 411 0
     864DESIGN @f@a@d_main
     865VIEW struct.bd
     866GRAPHIC 1406,0 412 1
     867DESIGN @f@a@d_main
     868VIEW struct.bd
     869GRAPHIC 5602,0 416 0
     870DESIGN @f@a@d_main
     871VIEW struct.bd
     872GRAPHIC 334,0 417 0
     873DESIGN @f@a@d_main
     874VIEW struct.bd
     875GRAPHIC 328,0 418 0
     876DESIGN @f@a@d_main
     877VIEW struct.bd
     878GRAPHIC 322,0 419 0
     879DESIGN @f@a@d_main
     880VIEW struct.bd
     881GRAPHIC 2299,0 420 0
     882DESIGN @f@a@d_main
     883VIEW struct.bd
     884GRAPHIC 2576,0 421 0
     885DESIGN @f@a@d_main
     886VIEW struct.bd
     887GRAPHIC 2582,0 422 0
     888DESIGN @f@a@d_main
     889VIEW struct.bd
     890GRAPHIC 2588,0 423 0
     891DESIGN @f@a@d_main
     892VIEW struct.bd
     893GRAPHIC 5184,0 424 0
     894DESIGN @f@a@d_main
     895VIEW struct.bd
     896GRAPHIC 5745,0 425 0
     897DESIGN @f@a@d_main
     898VIEW struct.bd
     899GRAPHIC 2594,0 426 0
     900DESIGN @f@a@d_main
     901VIEW struct.bd
     902GRAPHIC 5190,0 427 0
     903DESIGN @f@a@d_main
     904VIEW struct.bd
     905GRAPHIC 5404,0 428 0
     906DESIGN @f@a@d_main
     907VIEW struct.bd
     908GRAPHIC 6018,0 429 0
     909DESIGN @f@a@d_main
     910VIEW struct.bd
     911GRAPHIC 6002,0 430 0
     912DESIGN @f@a@d_main
     913VIEW struct.bd
     914GRAPHIC 6008,0 431 0
     915DESIGN @f@a@d_main
     916VIEW struct.bd
     917GRAPHIC 5138,0 432 0
     918DESIGN @f@a@d_main
     919VIEW struct.bd
     920GRAPHIC 2600,0 433 0
     921DESIGN @f@a@d_main
     922VIEW struct.bd
     923GRAPHIC 5480,0 434 0
     924DESIGN @f@a@d_main
     925VIEW struct.bd
     926GRAPHIC 5474,0 435 0
     927DESIGN @f@a@d_main
     928VIEW struct.bd
     929GRAPHIC 6064,0 436 0
     930DESIGN @f@a@d_main
     931VIEW struct.bd
     932GRAPHIC 2642,0 437 0
     933DESIGN @f@a@d_main
     934VIEW struct.bd
     935GRAPHIC 1411,0 438 0
     936DESIGN @f@a@d_main
     937VIEW struct.bd
     938GRAPHIC 1682,0 439 0
     939DESIGN @f@a@d_main
     940VIEW struct.bd
     941GRAPHIC 1983,0 440 0
     942DESIGN @f@a@d_main
     943VIEW struct.bd
     944GRAPHIC 1425,0 441 0
     945DESIGN @f@a@d_main
     946VIEW struct.bd
     947GRAPHIC 5281,0 442 0
     948DESIGN @f@a@d_main
     949VIEW struct.bd
     950GRAPHIC 5950,0 443 0
     951DESIGN @f@a@d_main
     952VIEW struct.bd
     953GRAPHIC 5962,0 444 0
     954DESIGN @f@a@d_main
     955VIEW struct.bd
     956GRAPHIC 5626,0 445 0
     957DESIGN @f@a@d_main
     958VIEW struct.bd
     959GRAPHIC 2778,0 446 0
     960DESIGN @f@a@d_main
     961VIEW struct.bd
     962GRAPHIC 5634,0 447 0
     963DESIGN @f@a@d_main
     964VIEW struct.bd
     965GRAPHIC 8577,0 448 0
     966DESIGN @f@a@d_main
     967VIEW struct.bd
     968GRAPHIC 6540,0 449 0
     969DESIGN @f@a@d_main
     970VIEW struct.bd
     971GRAPHIC 4401,0 450 0
     972DESIGN @f@a@d_main
     973VIEW struct.bd
     974GRAPHIC 4419,0 451 0
     975DESIGN @f@a@d_main
     976VIEW struct.bd
     977GRAPHIC 4743,0 452 0
     978DESIGN @f@a@d_main
     979VIEW struct.bd
     980GRAPHIC 4407,0 453 0
     981DESIGN @f@a@d_main
     982VIEW struct.bd
     983GRAPHIC 4903,0 455 0
     984DESIGN @f@a@d_main
     985VIEW struct.bd
     986GRAPHIC 4757,0 457 0
     987DESIGN @f@a@d_main
     988VIEW struct.bd
     989GRAPHIC 4401,0 458 0
     990DESIGN @f@a@d_main
     991VIEW struct.bd
     992GRAPHIC 4419,0 459 0
     993DESIGN @f@a@d_main
     994VIEW struct.bd
     995GRAPHIC 4671,0 460 0
     996DESIGN @f@a@d_main
     997VIEW struct.bd
     998GRAPHIC 4679,0 461 0
     999DESIGN @f@a@d_main
     1000VIEW struct.bd
     1001GRAPHIC 4687,0 462 0
     1002DESIGN @f@a@d_main
     1003VIEW struct.bd
     1004GRAPHIC 4695,0 463 0
     1005DESIGN @f@a@d_main
     1006VIEW struct.bd
     1007GRAPHIC 4407,0 464 0
     1008DESIGN @f@a@d_main
     1009VIEW struct.bd
     1010GRAPHIC 4743,0 465 0
     1011DESIGN @f@a@d_main
     1012VIEW struct.bd
     1013GRAPHIC 4948,0 466 0
     1014DESIGN @f@a@d_main
     1015VIEW struct.bd
     1016GRAPHIC 4962,0 467 0
     1017DESIGN @f@a@d_main
     1018VIEW struct.bd
     1019GRAPHIC 2311,0 469 0
     1020DESIGN @f@a@d_main
     1021VIEW struct.bd
     1022GRAPHIC 2318,0 470 1
     1023DESIGN @f@a@d_main
     1024VIEW struct.bd
     1025GRAPHIC 6082,0 475 0
     1026DESIGN @f@a@d_main
     1027VIEW struct.bd
     1028GRAPHIC 2588,0 476 0
     1029DESIGN @f@a@d_main
     1030VIEW struct.bd
     1031GRAPHIC 2582,0 477 0
     1032DESIGN @f@a@d_main
     1033VIEW struct.bd
     1034GRAPHIC 5168,0 478 0
     1035DESIGN @f@a@d_main
     1036VIEW struct.bd
     1037GRAPHIC 2576,0 479 0
     1038DESIGN @f@a@d_main
     1039VIEW struct.bd
     1040GRAPHIC 2594,0 480 0
     1041DESIGN @f@a@d_main
     1042VIEW struct.bd
     1043GRAPHIC 6018,0 481 0
     1044DESIGN @f@a@d_main
     1045VIEW struct.bd
     1046GRAPHIC 2600,0 482 0
     1047DESIGN @f@a@d_main
     1048VIEW struct.bd
     1049GRAPHIC 2642,0 483 0
     1050DESIGN @f@a@d_main
     1051VIEW struct.bd
     1052GRAPHIC 2488,0 484 0
     1053DESIGN @f@a@d_main
     1054VIEW struct.bd
     1055GRAPHIC 2482,0 485 0
     1056DESIGN @f@a@d_main
     1057VIEW struct.bd
     1058GRAPHIC 2494,0 486 0
     1059DESIGN @f@a@d_main
     1060VIEW struct.bd
     1061GRAPHIC 2476,0 487 0
     1062DESIGN @f@a@d_main
     1063VIEW struct.bd
     1064GRAPHIC 2506,0 488 0
     1065DESIGN @f@a@d_main
     1066VIEW struct.bd
     1067GRAPHIC 2500,0 489 0
     1068DESIGN @f@a@d_main
     1069VIEW struct.bd
     1070GRAPHIC 2470,0 490 0
     1071DESIGN @f@a@d_main
     1072VIEW struct.bd
     1073GRAPHIC 8416,0 491 0
     1074DESIGN @f@a@d_main
     1075VIEW struct.bd
     1076GRAPHIC 2299,0 492 0
     1077DESIGN @f@a@d_main
     1078VIEW struct.bd
     1079GRAPHIC 5793,0 494 0
     1080DESIGN @f@a@d_main
     1081VIEW struct.bd
     1082GRAPHIC 5805,0 496 0
     1083DESIGN @f@a@d_main
     1084VIEW struct.bd
     1085GRAPHIC 5745,0 497 0
     1086DESIGN @f@a@d_main
     1087VIEW struct.bd
     1088GRAPHIC 5146,0 498 0
     1089DESIGN @f@a@d_main
     1090VIEW struct.bd
     1091GRAPHIC 5404,0 499 0
     1092DESIGN @f@a@d_main
     1093VIEW struct.bd
     1094GRAPHIC 6008,0 500 0
     1095DESIGN @f@a@d_main
     1096VIEW struct.bd
     1097GRAPHIC 5829,0 501 0
     1098DESIGN @f@a@d_main
     1099VIEW struct.bd
     1100GRAPHIC 6160,0 502 0
     1101DESIGN @f@a@d_main
     1102VIEW struct.bd
     1103GRAPHIC 5813,0 503 0
     1104DESIGN @f@a@d_main
     1105VIEW struct.bd
     1106GRAPHIC 5480,0 504 0
     1107DESIGN @f@a@d_main
     1108VIEW struct.bd
     1109GRAPHIC 5837,0 505 0
     1110DESIGN @f@a@d_main
     1111VIEW struct.bd
     1112GRAPHIC 5474,0 506 0
     1113DESIGN @f@a@d_main
     1114VIEW struct.bd
     1115GRAPHIC 5821,0 507 0
     1116DESIGN @f@a@d_main
     1117VIEW struct.bd
     1118GRAPHIC 1768,0 509 0
     1119DESIGN @f@a@d_main
     1120VIEW struct.bd
     1121GRAPHIC 1983,0 511 0
     1122DESIGN @f@a@d_main
     1123VIEW struct.bd
     1124GRAPHIC 2876,0 512 0
     1125DESIGN @f@a@d_main
     1126VIEW struct.bd
     1127GRAPHIC 6276,0 513 0
     1128DESIGN @f@a@d_main
     1129VIEW struct.bd
     1130GRAPHIC 1606,0 515 0
     1131DESIGN @f@a@d_main
     1132VIEW struct.bd
     1133GRAPHIC 1613,0 516 1
     1134DESIGN @f@a@d_main
     1135VIEW struct.bd
     1136GRAPHIC 3888,0 520 0
     1137DESIGN @f@a@d_main
     1138VIEW struct.bd
     1139GRAPHIC 376,0 521 0
     1140DESIGN @f@a@d_main
     1141VIEW struct.bd
     1142GRAPHIC 384,0 522 0
     1143DESIGN @f@a@d_main
     1144VIEW struct.bd
     1145GRAPHIC 392,0 523 0
     1146DESIGN @f@a@d_main
     1147VIEW struct.bd
     1148GRAPHIC 400,0 524 0
     1149DESIGN @f@a@d_main
     1150VIEW struct.bd
     1151GRAPHIC 408,0 525 0
     1152DESIGN @f@a@d_main
     1153VIEW struct.bd
     1154GRAPHIC 5222,0 526 0
     1155DESIGN @f@a@d_main
     1156VIEW struct.bd
     1157GRAPHIC 424,0 527 0
     1158DESIGN @f@a@d_main
     1159VIEW struct.bd
     1160GRAPHIC 432,0 528 0
     1161DESIGN @f@a@d_main
     1162VIEW struct.bd
     1163GRAPHIC 2482,0 529 0
     1164DESIGN @f@a@d_main
     1165VIEW struct.bd
     1166GRAPHIC 2488,0 530 0
     1167DESIGN @f@a@d_main
     1168VIEW struct.bd
     1169GRAPHIC 370,0 531 0
     1170DESIGN @f@a@d_main
     1171VIEW struct.bd
     1172GRAPHIC 364,0 532 0
     1173DESIGN @f@a@d_main
     1174VIEW struct.bd
     1175GRAPHIC 2476,0 533 0
     1176DESIGN @f@a@d_main
     1177VIEW struct.bd
     1178GRAPHIC 8416,0 534 0
     1179DESIGN @f@a@d_main
     1180VIEW struct.bd
     1181GRAPHIC 2470,0 535 0
     1182DESIGN @f@a@d_main
     1183VIEW struct.bd
     1184GRAPHIC 2506,0 536 0
     1185DESIGN @f@a@d_main
     1186VIEW struct.bd
     1187GRAPHIC 2500,0 537 0
     1188DESIGN @f@a@d_main
     1189VIEW struct.bd
     1190GRAPHIC 2494,0 538 0
     1191DESIGN @f@a@d_main
     1192VIEW struct.bd
     1193GRAPHIC 5281,0 539 0
     1194DESIGN @f@a@d_main
     1195VIEW struct.bd
     1196GRAPHIC 5950,0 540 0
     1197DESIGN @f@a@d_main
     1198VIEW struct.bd
     1199GRAPHIC 5962,0 541 0
     1200DESIGN @f@a@d_main
     1201VIEW struct.bd
     1202GRAPHIC 5090,0 542 0
     1203DESIGN @f@a@d_main
     1204VIEW struct.bd
     1205GRAPHIC 5114,0 543 0
     1206DESIGN @f@a@d_main
     1207VIEW struct.bd
     1208GRAPHIC 5122,0 544 0
     1209DESIGN @f@a@d_main
     1210VIEW struct.bd
     1211GRAPHIC 5130,0 545 0
     1212DESIGN @f@a@d_main
     1213VIEW struct.bd
     1214GRAPHIC 5106,0 546 0
     1215DESIGN @f@a@d_main
     1216VIEW struct.bd
     1217GRAPHIC 6362,0 547 0
     1218DESIGN @f@a@d_main
     1219VIEW struct.bd
     1220GRAPHIC 6452,0 548 0
     1221DESIGN @f@a@d_main
     1222VIEW struct.bd
     1223GRAPHIC 6276,0 552 0
     1224DESIGN @f@a@d_main
     1225VIEW struct.bd
     1226GRAPHIC 3888,0 553 0
     1227DESIGN @f@a@d_main
     1228VIEW struct.bd
     1229NO_GRAPHIC 555
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd

    r246 r252  
    4040)
    4141(Instance
    42 name "I_debouncer"
    43 duLibraryName "FACT_FAD_LIB"
    44 duName "debouncer"
    45 elements [
    46 (GiElement
    47 name "WIDTH"
    48 type "INTEGER"
    49 value "17"
    50 )
    51 ]
    52 mwi 0
    53 uid 6250,0
    54 )
    55 (Instance
    56 name "I1"
    57 duLibraryName "moduleware"
    58 duName "inv"
    59 elements [
    60 ]
    61 mwi 1
    62 uid 6539,0
    63 )
    64 (Instance
    6542name "I2"
    6643duLibraryName "moduleware"
     
    128105(vvPair
    129106variable "HDLDir"
    130 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hdl"
     107value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    131108)
    132109(vvPair
    133110variable "HDSDir"
    134 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hds"
     111value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    135112)
    136113(vvPair
    137114variable "SideDataDesignDir"
    138 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
     115value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
    139116)
    140117(vvPair
    141118variable "SideDataUserDir"
    142 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
     119value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
    143120)
    144121(vvPair
    145122variable "SourceDir"
    146 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hds"
     123value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    147124)
    148125(vvPair
     
    156133(vvPair
    157134variable "config"
    158 value "%(unit)_config"
     135value "%(unit)_%(view)_config"
    159136)
    160137(vvPair
    161138variable "d"
    162 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     139value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    163140)
    164141(vvPair
    165142variable "d_logical"
    166 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_Board"
     143value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_Board"
    167144)
    168145(vvPair
    169146variable "date"
    170 value "24.06.2010"
     147value "14.07.2010"
    171148)
    172149(vvPair
    173150variable "day"
    174 value "Do"
     151value "Mi"
    175152)
    176153(vvPair
    177154variable "day_long"
    178 value "Donnerstag"
     155value "Mittwoch"
    179156)
    180157(vvPair
    181158variable "dd"
    182 value "24"
     159value "14"
    183160)
    184161(vvPair
     
    208185(vvPair
    209186variable "host"
    210 value "EEPC8"
     187value "E5B-LABOR6"
    211188)
    212189(vvPair
     
    219196)
    220197(vvPair
     198variable "library_downstream_HdsLintPlugin"
     199value "$HDS_PROJECT_DIR\\FACT_FAD_lib\\designcheck"
     200)
     201(vvPair
    221202variable "library_downstream_ISEPARInvoke"
    222203value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
     
    236217(vvPair
    237218variable "mm"
    238 value "06"
     219value "07"
    239220)
    240221(vvPair
     
    244225(vvPair
    245226variable "month"
    246 value "Jun"
     227value "Jul"
    247228)
    248229(vvPair
    249230variable "month_long"
    250 value "Juni"
     231value "Juli"
    251232)
    252233(vvPair
    253234variable "p"
    254 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
     235value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
    255236)
    256237(vvPair
    257238variable "p_logical"
    258 value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
     239value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
    259240)
    260241(vvPair
     
    280261(vvPair
    281262variable "task_ModelSimPath"
    282 value "$HDS_HOME/../Modeltech/win32"
     263value "<TBD>"
    283264)
    284265(vvPair
     
    288269(vvPair
    289270variable "task_PrecisionRTLPath"
    290 value "$HDS_HOME/../Precision/Mgc_home/bin"
     271value "<TBD>"
    291272)
    292273(vvPair
     
    312293(vvPair
    313294variable "time"
    314 value "14:18:44"
     295value "15:25:08"
    315296)
    316297(vvPair
     
    320301(vvPair
    321302variable "user"
    322 value "Benjamin Krumm"
     303value "dneise"
    323304)
    324305(vvPair
     
    364345bg "0,0,32768"
    365346)
    366 xt "99200,4000,108700,5000"
     347xt "99200,4000,108500,5000"
    367348st "
    368349by %user on %dd %month %year
     
    20742055)
    20752056xt "39000,48000,67000,48800"
    2076 st "SIGNAL board_id       : std_logic_vector(3 downto 0)"
     2057st "SIGNAL board_id       : std_logic_vector(3 downto 0)
     2058"
    20772059)
    20782060)
     
    20922074)
    20932075xt "39000,48800,67000,49600"
    2094 st "SIGNAL crate_id       : std_logic_vector(1 downto 0)"
     2076st "SIGNAL crate_id       : std_logic_vector(1 downto 0)
     2077"
    20952078)
    20962079)
     
    24152398)
    24162399xt "39000,47200,62500,48000"
    2417 st "SIGNAL adc_data_array : adc_data_array_type"
     2400st "SIGNAL adc_data_array : adc_data_array_type
     2401"
    24182402)
    24192403)
    24202404*63 (Net
    2421 uid 2267,0
    2422 decl (Decl
    2423 n "CLK_50"
    2424 t "std_logic"
    2425 preAdd 0
    2426 posAdd 0
    2427 o 51
    2428 suid 54,0
    2429 )
    2430 declText (MLText
    2431 uid 2268,0
    2432 va (VaSet
    2433 font "Courier New,8,0"
    2434 )
    2435 xt "39000,44800,57000,45600"
    2436 st "SIGNAL CLK_50         : std_logic"
    2437 )
    2438 )
    2439 *64 (Net
    24402405uid 2407,0
    24412406decl (Decl
     
    24522417)
    24532418xt "39000,31000,68000,31800"
    2454 st "RSRLOAD        : std_logic                      := '0'"
    2455 )
    2456 )
    2457 *65 (PortIoOut
     2419st "RSRLOAD        : std_logic                      := '0'
     2420"
     2421)
     2422)
     2423*64 (PortIoOut
    24582424uid 2415,0
    24592425shape (CompositeShape
     
    25002466)
    25012467)
    2502 *66 (Net
     2468*65 (Net
    25032469uid 2421,0
    25042470decl (Decl
     
    25152481)
    25162482xt "39000,45600,71500,46400"
    2517 st "SIGNAL SRCLK          : std_logic                      := '0'"
    2518 )
    2519 )
    2520 *67 (Net
     2483st "SIGNAL SRCLK          : std_logic                      := '0'
     2484"
     2485)
     2486)
     2487*66 (Net
    25212488uid 3019,0
    25222489decl (Decl
     
    25332500)
    25342501xt "39000,51200,67000,52000"
    2535 st "SIGNAL sensor_cs      : std_logic_vector(3 DOWNTO 0)"
    2536 )
    2537 )
    2538 *68 (Net
     2502st "SIGNAL sensor_cs      : std_logic_vector(3 DOWNTO 0)
     2503"
     2504)
     2505)
     2506*67 (Net
    25392507uid 3025,0
    25402508decl (Decl
     
    25502518)
    25512519xt "39000,19800,53500,20600"
    2552 st "DAC_CS         : std_logic"
    2553 )
    2554 )
    2555 *69 (PortIoOut
     2520st "DAC_CS         : std_logic
     2521"
     2522)
     2523)
     2524*68 (PortIoOut
    25562525uid 3153,0
    25572526shape (CompositeShape
     
    25982567)
    25992568)
    2600 *70 (Net
     2569*69 (Net
    26012570uid 3216,0
    26022571decl (Decl
     
    26142583)
    26152584xt "39000,14200,53500,15000"
    2616 st "X_50M          : STD_LOGIC"
    2617 )
    2618 )
    2619 *71 (Net
     2585st "X_50M          : STD_LOGIC
     2586"
     2587)
     2588)
     2589*70 (Net
    26202590uid 3226,0
    26212591decl (Decl
     
    26312601)
    26322602xt "39000,12600,53500,13400"
    2633 st "TRG            : STD_LOGIC"
    2634 )
    2635 )
    2636 *72 (HdlText
     2603st "TRG            : STD_LOGIC
     2604"
     2605)
     2606)
     2607*71 (HdlText
    26372608uid 3248,0
    26382609optionalChildren [
    2639 *73 (EmbeddedText
     2610*72 (EmbeddedText
    26402611uid 3254,0
    26412612commentText (CommentText
     
    26892660stg "VerticalLayoutStrategy"
    26902661textVec [
    2691 *74 (Text
     2662*73 (Text
    26922663uid 3251,0
    26932664va (VaSet
     
    26992670tm "HdlTextNameMgr"
    27002671)
    2701 *75 (Text
     2672*74 (Text
    27022673uid 3252,0
    27032674va (VaSet
     
    27252696viewiconposition 0
    27262697)
    2727 *76 (Net
     2698*75 (Net
    27282699uid 3266,0
    27292700decl (Decl
     
    27402711)
    27412712xt "39000,15800,63500,16600"
    2742 st "A_CLK          : std_logic_vector(3 downto 0)"
    2743 )
    2744 )
    2745 *77 (Net
     2713st "A_CLK          : std_logic_vector(3 downto 0)
     2714"
     2715)
     2716)
     2717*76 (Net
    27462718uid 3268,0
    27472719decl (Decl
     
    27572729)
    27582730xt "39000,44000,57000,44800"
    2759 st "SIGNAL CLK_25_PS      : std_logic"
    2760 )
    2761 )
    2762 *78 (PortIoOut
     2731st "SIGNAL CLK_25_PS      : std_logic
     2732"
     2733)
     2734)
     2735*77 (PortIoOut
    27632736uid 3284,0
    27642737shape (CompositeShape
     
    28052778)
    28062779)
    2807 *79 (Net
     2780*78 (Net
    28082781uid 3290,0
    28092782decl (Decl
     
    28212794)
    28222795xt "39000,27000,53500,27800"
    2823 st "OE_ADC         : STD_LOGIC"
    2824 )
    2825 )
    2826 *80 (PortIoIn
     2796st "OE_ADC         : STD_LOGIC
     2797"
     2798)
     2799)
     2800*79 (PortIoIn
    28272801uid 3292,0
    28282802shape (CompositeShape
     
    28692843)
    28702844)
    2871 *81 (Net
     2845*80 (Net
    28722846uid 3298,0
    28732847decl (Decl
     
    28842858)
    28852859xt "39000,7000,63500,7800"
    2886 st "A_OTR          : std_logic_vector(3 DOWNTO 0)"
    2887 )
    2888 )
    2889 *82 (HdlText
     2860st "A_OTR          : std_logic_vector(3 DOWNTO 0)
     2861"
     2862)
     2863)
     2864*81 (HdlText
    28902865uid 3300,0
    28912866optionalChildren [
    2892 *83 (EmbeddedText
     2867*82 (EmbeddedText
    28932868uid 3306,0
    28942869commentText (CommentText
     
    29422917stg "VerticalLayoutStrategy"
    29432918textVec [
    2944 *84 (Text
     2919*83 (Text
    29452920uid 3303,0
    29462921va (VaSet
     
    29522927tm "HdlTextNameMgr"
    29532928)
    2954 *85 (Text
     2929*84 (Text
    29552930uid 3304,0
    29562931va (VaSet
     
    29782953viewiconposition 0
    29792954)
    2980 *86 (PortIoIn
     2955*85 (PortIoIn
    29812956uid 3310,0
    29822957shape (CompositeShape
     
    30232998)
    30242999)
    3025 *87 (PortIoIn
     3000*86 (PortIoIn
    30263001uid 3332,0
    30273002shape (CompositeShape
     
    30683043)
    30693044)
    3070 *88 (PortIoIn
     3045*87 (PortIoIn
    30713046uid 3338,0
    30723047shape (CompositeShape
     
    31133088)
    31143089)
    3115 *89 (PortIoIn
     3090*88 (PortIoIn
    31163091uid 3344,0
    31173092shape (CompositeShape
     
    31583133)
    31593134)
    3160 *90 (Net
     3135*89 (Net
    31613136uid 3374,0
    31623137decl (Decl
     
    31733148)
    31743149xt "39000,3800,64000,4600"
    3175 st "A0_D           : std_logic_vector(11 DOWNTO 0)"
    3176 )
    3177 )
    3178 *91 (Net
     3150st "A0_D           : std_logic_vector(11 DOWNTO 0)
     3151"
     3152)
     3153)
     3154*90 (Net
    31793155uid 3376,0
    31803156decl (Decl
     
    31913167)
    31923168xt "39000,4600,64000,5400"
    3193 st "A1_D           : std_logic_vector(11 DOWNTO 0)"
    3194 )
    3195 )
    3196 *92 (Net
     3169st "A1_D           : std_logic_vector(11 DOWNTO 0)
     3170"
     3171)
     3172)
     3173*91 (Net
    31973174uid 3378,0
    31983175decl (Decl
     
    32093186)
    32103187xt "39000,5400,64000,6200"
    3211 st "A2_D           : std_logic_vector(11 DOWNTO 0)"
    3212 )
    3213 )
    3214 *93 (Net
     3188st "A2_D           : std_logic_vector(11 DOWNTO 0)
     3189"
     3190)
     3191)
     3192*92 (Net
    32153193uid 3380,0
    32163194decl (Decl
     
    32273205)
    32283206xt "39000,6200,64000,7000"
    3229 st "A3_D           : std_logic_vector(11 DOWNTO 0)"
    3230 )
    3231 )
    3232 *94 (HdlText
     3207st "A3_D           : std_logic_vector(11 DOWNTO 0)
     3208"
     3209)
     3210)
     3211*93 (HdlText
    32333212uid 3394,0
    32343213optionalChildren [
    3235 *95 (EmbeddedText
     3214*94 (EmbeddedText
    32363215uid 3400,0
    32373216commentText (CommentText
     
    32853264stg "VerticalLayoutStrategy"
    32863265textVec [
    3287 *96 (Text
     3266*95 (Text
    32883267uid 3397,0
    32893268va (VaSet
     
    32953274tm "HdlTextNameMgr"
    32963275)
    3297 *97 (Text
     3276*96 (Text
    32983277uid 3398,0
    32993278va (VaSet
     
    33213300viewiconposition 0
    33223301)
    3323 *98 (Net
     3302*97 (Net
    33243303uid 3460,0
    33253304decl (Decl
     
    33353314)
    33363315xt "39000,16600,53500,17400"
    3337 st "D0_SRCLK       : STD_LOGIC"
    3338 )
    3339 )
    3340 *99 (Net
     3316st "D0_SRCLK       : STD_LOGIC
     3317"
     3318)
     3319)
     3320*98 (Net
    33413321uid 3462,0
    33423322decl (Decl
     
    33523332)
    33533333xt "39000,17400,53500,18200"
    3354 st "D1_SRCLK       : STD_LOGIC"
    3355 )
    3356 )
    3357 *100 (Net
     3334st "D1_SRCLK       : STD_LOGIC
     3335"
     3336)
     3337)
     3338*99 (Net
    33583339uid 3464,0
    33593340decl (Decl
     
    33693350)
    33703351xt "39000,18200,53500,19000"
    3371 st "D2_SRCLK       : STD_LOGIC"
    3372 )
    3373 )
    3374 *101 (Net
     3352st "D2_SRCLK       : STD_LOGIC
     3353"
     3354)
     3355)
     3356*100 (Net
    33753357uid 3466,0
    33763358decl (Decl
     
    33863368)
    33873369xt "39000,19000,53500,19800"
    3388 st "D3_SRCLK       : STD_LOGIC"
    3389 )
    3390 )
    3391 *102 (PortIoIn
     3370st "D3_SRCLK       : STD_LOGIC
     3371"
     3372)
     3373)
     3374*101 (PortIoIn
    33923375uid 3476,0
    33933376shape (CompositeShape
     
    34343417)
    34353418)
    3436 *103 (PortIoIn
     3419*102 (PortIoIn
    34373420uid 3482,0
    34383421shape (CompositeShape
     
    34793462)
    34803463)
    3481 *104 (PortIoIn
     3464*103 (PortIoIn
    34823465uid 3488,0
    34833466shape (CompositeShape
     
    35243507)
    35253508)
    3526 *105 (PortIoIn
     3509*104 (PortIoIn
    35273510uid 3494,0
    35283511shape (CompositeShape
     
    35693552)
    35703553)
    3571 *106 (Net
     3554*105 (Net
    35723555uid 3500,0
    35733556decl (Decl
     
    35833566)
    35843567xt "39000,7800,53500,8600"
    3585 st "D0_SROUT       : std_logic"
    3586 )
    3587 )
    3588 *107 (Net
     3568st "D0_SROUT       : std_logic
     3569"
     3570)
     3571)
     3572*106 (Net
    35893573uid 3502,0
    35903574decl (Decl
     
    36003584)
    36013585xt "39000,8600,53500,9400"
    3602 st "D1_SROUT       : std_logic"
    3603 )
    3604 )
    3605 *108 (Net
     3586st "D1_SROUT       : std_logic
     3587"
     3588)
     3589)
     3590*107 (Net
    36063591uid 3504,0
    36073592decl (Decl
     
    36173602)
    36183603xt "39000,9400,53500,10200"
    3619 st "D2_SROUT       : std_logic"
    3620 )
    3621 )
    3622 *109 (Net
     3604st "D2_SROUT       : std_logic
     3605"
     3606)
     3607)
     3608*108 (Net
    36233609uid 3506,0
    36243610decl (Decl
     
    36343620)
    36353621xt "39000,10200,53500,11000"
    3636 st "D3_SROUT       : std_logic"
    3637 )
    3638 )
    3639 *110 (PortIoOut
     3622st "D3_SROUT       : std_logic
     3623"
     3624)
     3625)
     3626*109 (PortIoOut
    36403627uid 3508,0
    36413628shape (CompositeShape
     
    36503637sl 0
    36513638ro 90
    3652 xt "19000,108625,20500,109375"
     3639xt "4000,133625,5500,134375"
    36533640)
    36543641(Line
     
    36563643sl 0
    36573644ro 90
    3658 xt "20500,109000,21000,109000"
    3659 pts [
    3660 "21000,109000"
    3661 "20500,109000"
     3645xt "5500,134000,6000,134000"
     3646pts [
     3647"6000,134000"
     3648"5500,134000"
    36623649]
    36633650)
     
    36743661va (VaSet
    36753662)
    3676 xt "16100,108500,18000,109500"
     3663xt "1100,133500,3000,134500"
    36773664st "D_A"
    36783665ju 2
    3679 blo "18000,109300"
     3666blo "3000,134300"
    36803667tm "WireNameMgr"
    36813668)
    36823669)
    36833670)
    3684 *111 (Net
     3671*110 (Net
    36853672uid 3514,0
    36863673decl (Decl
     
    36983685)
    36993686xt "39000,22200,74000,23000"
    3700 st "D_A            : std_logic_vector(3 DOWNTO 0)   := (others => '0')"
    3701 )
    3702 )
    3703 *112 (PortIoOut
     3687st "D_A            : std_logic_vector(3 DOWNTO 0)   := (others => '0')
     3688"
     3689)
     3690)
     3691*111 (PortIoOut
    37043692uid 3516,0
    37053693shape (CompositeShape
     
    37463734)
    37473735)
    3748 *113 (Net
     3736*112 (Net
    37493737uid 3522,0
    37503738decl (Decl
     
    37613749)
    37623750xt "39000,21400,68000,22200"
    3763 st "DWRITE         : std_logic                      := '0'"
    3764 )
    3765 )
    3766 *114 (PortIoOut
     3751st "DWRITE         : std_logic                      := '0'
     3752"
     3753)
     3754)
     3755*113 (PortIoOut
    37673756uid 3536,0
    37683757shape (CompositeShape
     
    38083797)
    38093798)
    3810 *115 (HdlText
     3799*114 (HdlText
    38113800uid 3542,0
    38123801optionalChildren [
    3813 *116 (EmbeddedText
     3802*115 (EmbeddedText
    38143803uid 3612,0
    38153804commentText (CommentText
     
    38633852stg "VerticalLayoutStrategy"
    38643853textVec [
    3865 *117 (Text
     3854*116 (Text
    38663855uid 3545,0
    38673856va (VaSet
     
    38733862tm "HdlTextNameMgr"
    38743863)
    3875 *118 (Text
     3864*117 (Text
    38763865uid 3546,0
    38773866va (VaSet
     
    38993888viewiconposition 0
    39003889)
    3901 *119 (PortIoOut
     3890*118 (PortIoOut
    39023891uid 3548,0
    39033892shape (CompositeShape
     
    39433932)
    39443933)
    3945 *120 (PortIoOut
     3934*119 (PortIoOut
    39463935uid 3554,0
    39473936shape (CompositeShape
     
    39873976)
    39883977)
    3989 *121 (PortIoOut
     3978*120 (PortIoOut
    39903979uid 3560,0
    39913980shape (CompositeShape
     
    40314020)
    40324021)
    4033 *122 (PortIoOut
     4022*121 (PortIoOut
    40344023uid 3566,0
    40354024shape (CompositeShape
     
    40754064)
    40764065)
    4077 *123 (Net
     4066*122 (Net
    40784067uid 3604,0
    40794068decl (Decl
     
    40894078)
    40904079xt "39000,33400,53500,34200"
    4091 st "T0_CS          : std_logic"
    4092 )
    4093 )
    4094 *124 (Net
     4080st "T0_CS          : std_logic
     4081"
     4082)
     4083)
     4084*123 (Net
    40954085uid 3606,0
    40964086decl (Decl
     
    41064096)
    41074097xt "39000,34200,53500,35000"
    4108 st "T1_CS          : std_logic"
    4109 )
    4110 )
    4111 *125 (Net
     4098st "T1_CS          : std_logic
     4099"
     4100)
     4101)
     4102*124 (Net
    41124103uid 3608,0
    41134104decl (Decl
     
    41234114)
    41244115xt "39000,35000,53500,35800"
    4125 st "T2_CS          : std_logic"
    4126 )
    4127 )
    4128 *126 (Net
     4116st "T2_CS          : std_logic
     4117"
     4118)
     4119)
     4120*125 (Net
    41294121uid 3610,0
    41304122decl (Decl
     
    41404132)
    41414133xt "39000,35800,53500,36600"
    4142 st "T3_CS          : std_logic"
    4143 )
    4144 )
    4145 *127 (PortIoOut
     4134st "T3_CS          : std_logic
     4135"
     4136)
     4137)
     4138*126 (PortIoOut
    41464139uid 3624,0
    41474140shape (CompositeShape
     
    41874180)
    41884181)
    4189 *128 (Net
     4182*127 (Net
    41904183uid 3630,0
    41914184decl (Decl
     
    42014194)
    42024195xt "39000,32600,53500,33400"
    4203 st "S_CLK          : std_logic"
    4204 )
    4205 )
    4206 *129 (Net
     4196st "S_CLK          : std_logic
     4197"
     4198)
     4199)
     4200*128 (Net
    42074201uid 3632,0
    42084202decl (Decl
     
    42194213)
    42204214xt "39000,37400,63500,38200"
    4221 st "W_A            : std_logic_vector(9 DOWNTO 0)"
    4222 )
    4223 )
    4224 *130 (Net
     4215st "W_A            : std_logic_vector(9 DOWNTO 0)
     4216"
     4217)
     4218)
     4219*129 (Net
    42254220uid 3634,0
    42264221decl (Decl
     
    42374232)
    42384233xt "39000,42200,64000,43000"
    4239 st "W_D            : std_logic_vector(15 DOWNTO 0)"
    4240 )
    4241 )
    4242 *131 (Net
     4234st "W_D            : std_logic_vector(15 DOWNTO 0)
     4235"
     4236)
     4237)
     4238*130 (Net
    42434239uid 3636,0
    42444240decl (Decl
     
    42554251)
    42564252xt "39000,39800,68000,40600"
    4257 st "W_RES          : std_logic                      := '1'"
    4258 )
    4259 )
    4260 *132 (Net
     4253st "W_RES          : std_logic                      := '1'
     4254"
     4255)
     4256)
     4257*131 (Net
    42614258uid 3638,0
    42624259decl (Decl
     
    42734270)
    42744271xt "39000,39000,68000,39800"
    4275 st "W_RD           : std_logic                      := '1'"
    4276 )
    4277 )
    4278 *133 (Net
     4272st "W_RD           : std_logic                      := '1'
     4273"
     4274)
     4275)
     4276*132 (Net
    42794277uid 3640,0
    42804278decl (Decl
     
    42914289)
    42924290xt "39000,40600,68000,41400"
    4293 st "W_WR           : std_logic                      := '1'"
    4294 )
    4295 )
    4296 *134 (Net
     4291st "W_WR           : std_logic                      := '1'
     4292"
     4293)
     4294)
     4295*133 (Net
    42974296uid 3642,0
    42984297decl (Decl
     
    43084307)
    43094308xt "39000,13400,53500,14200"
    4310 st "W_INT          : std_logic"
    4311 )
    4312 )
    4313 *135 (Net
     4309st "W_INT          : std_logic
     4310"
     4311)
     4312)
     4313*134 (Net
    43144314uid 3644,0
    43154315decl (Decl
     
    43264326)
    43274327xt "39000,38200,68000,39000"
    4328 st "W_CS           : std_logic                      := '1'"
    4329 )
    4330 )
    4331 *136 (PortIoInOut
     4328st "W_CS           : std_logic                      := '1'
     4329"
     4330)
     4331)
     4332*135 (PortIoInOut
    43324333uid 3674,0
    43334334shape (CompositeShape
     
    43714372)
    43724373)
    4373 *137 (Net
     4374*136 (Net
    43744375uid 3680,0
    43754376decl (Decl
     
    43864387)
    43874388xt "39000,26200,68000,27000"
    4388 st "MOSI           : std_logic                      := '0'"
    4389 )
    4390 )
    4391 *138 (PortIoOut
     4389st "MOSI           : std_logic                      := '0'
     4390"
     4391)
     4392)
     4393*137 (PortIoOut
    43924394uid 3688,0
    43934395shape (CompositeShape
     
    44334435)
    44344436)
    4435 *139 (Net
     4437*138 (Net
    44364438uid 3694,0
    44374439decl (Decl
     
    44494451)
    44504452xt "39000,41400,53500,42200"
    4451 st "MISO           : std_logic"
    4452 )
    4453 )
    4454 *140 (HdlText
     4453st "MISO           : std_logic
     4454"
     4455)
     4456)
     4457*139 (HdlText
    44554458uid 3700,0
    44564459optionalChildren [
    4457 *141 (EmbeddedText
     4460*140 (EmbeddedText
    44584461uid 3706,0
    44594462commentText (CommentText
     
    45224525stg "VerticalLayoutStrategy"
    45234526textVec [
    4524 *142 (Text
     4527*141 (Text
    45254528uid 3703,0
    45264529va (VaSet
     
    45324535tm "HdlTextNameMgr"
    45334536)
    4534 *143 (Text
     4537*142 (Text
    45354538uid 3704,0
    45364539va (VaSet
     
    45584561viewiconposition 0
    45594562)
    4560 *144 (PortIoOut
     4563*143 (PortIoOut
    45614564uid 3710,0
    45624565shape (CompositeShape
     
    46024605)
    46034606)
    4604 *145 (PortIoOut
     4607*144 (PortIoOut
    46054608uid 3716,0
    46064609shape (CompositeShape
     
    46464649)
    46474650)
    4648 *146 (PortIoOut
     4651*145 (PortIoOut
    46494652uid 3722,0
    46504653shape (CompositeShape
     
    46904693)
    46914694)
    4692 *147 (PortIoOut
     4695*146 (PortIoOut
    46934696uid 3728,0
    46944697shape (CompositeShape
     
    47344737)
    47354738)
    4736 *148 (PortIoOut
     4739*147 (PortIoOut
    47374740uid 3734,0
    47384741shape (CompositeShape
     
    47784781)
    47794782)
    4780 *149 (PortIoOut
     4783*148 (PortIoOut
    47814784uid 3740,0
    47824785shape (CompositeShape
     
    48224825)
    48234826)
    4824 *150 (PortIoOut
     4827*149 (PortIoOut
    48254828uid 3746,0
    48264829shape (CompositeShape
     
    48664869)
    48674870)
    4868 *151 (PortIoOut
     4871*150 (PortIoOut
    48694872uid 3752,0
    48704873shape (CompositeShape
     
    49104913)
    49114914)
    4912 *152 (PortIoOut
     4915*151 (PortIoOut
    49134916uid 3758,0
    49144917shape (CompositeShape
     
    49544957)
    49554958)
    4956 *153 (Net
     4959*152 (Net
    49574960uid 3864,0
    49584961decl (Decl
     
    49684971)
    49694972xt "39000,36600,53500,37400"
    4970 st "TRG_V          : std_logic"
    4971 )
    4972 )
    4973 *154 (Net
     4973st "TRG_V          : std_logic
     4974"
     4975)
     4976)
     4977*153 (Net
    49744978uid 3866,0
    49754979decl (Decl
     
    49854989)
    49864990xt "39000,28600,53500,29400"
    4987 st "RS485_C_RE     : std_logic"
    4988 )
    4989 )
    4990 *155 (Net
     4991st "RS485_C_RE     : std_logic
     4992"
     4993)
     4994)
     4995*154 (Net
    49914996uid 3868,0
    49924997decl (Decl
     
    50025007)
    50035008xt "39000,27800,53500,28600"
    5004 st "RS485_C_DE     : std_logic"
    5005 )
    5006 )
    5007 *156 (Net
     5009st "RS485_C_DE     : std_logic
     5010"
     5011)
     5012)
     5013*155 (Net
    50085014uid 3870,0
    50095015decl (Decl
     
    50195025)
    50205026xt "39000,30200,53500,31000"
    5021 st "RS485_E_RE     : std_logic"
    5022 )
    5023 )
    5024 *157 (Net
     5027st "RS485_E_RE     : std_logic
     5028"
     5029)
     5030)
     5031*156 (Net
    50255032uid 3872,0
    50265033decl (Decl
     
    50365043)
    50375044xt "39000,29400,53500,30200"
    5038 st "RS485_E_DE     : std_logic"
    5039 )
    5040 )
    5041 *158 (Net
     5045st "RS485_E_DE     : std_logic
     5046"
     5047)
     5048)
     5049*157 (Net
    50425050uid 3874,0
    50435051decl (Decl
     
    50545062)
    50555063xt "39000,20600,68000,21400"
    5056 st "DENABLE        : std_logic                      := '0'"
    5057 )
    5058 )
    5059 *159 (Net
     5064st "DENABLE        : std_logic                      := '0'
     5065"
     5066)
     5067)
     5068*158 (Net
    50605069uid 3876,0
    50615070decl (Decl
     
    50715080)
    50725081xt "39000,31800,53500,32600"
    5073 st "SRIN           : std_logic"
    5074 )
    5075 )
    5076 *160 (Net
     5082st "SRIN           : std_logic
     5083"
     5084)
     5085)
     5086*159 (Net
    50775087uid 3878,0
    50785088decl (Decl
     
    50885098)
    50895099xt "39000,24600,53500,25400"
    5090 st "EE_CS          : std_logic"
    5091 )
    5092 )
    5093 *161 (Net
     5100st "EE_CS          : std_logic
     5101"
     5102)
     5103)
     5104*160 (Net
    50945105uid 3880,0
    50955106decl (Decl
     
    51075118)
    51085119xt "39000,25400,74000,26200"
    5109 st "LED            : std_logic_vector( 2 DOWNTO 0 ) := (others => '1')"
    5110 )
    5111 )
    5112 *162 (PortIoOut
     5120st "LED            : std_logic_vector( 2 DOWNTO 0 ) := (others => '1')
     5121"
     5122)
     5123)
     5124*161 (PortIoOut
    51135125uid 3995,0
    51145126shape (CompositeShape
     
    51555167)
    51565168)
    5157 *163 (PortIoOut
     5169*162 (PortIoOut
    51585170uid 4001,0
    51595171shape (CompositeShape
     
    52005212)
    52015213)
    5202 *164 (PortIoOut
     5214*163 (PortIoOut
    52035215uid 4007,0
    52045216shape (CompositeShape
     
    52455257)
    52465258)
    5247 *165 (PortIoOut
     5259*164 (PortIoOut
    52485260uid 4013,0
    52495261shape (CompositeShape
     
    52905302)
    52915303)
    5292 *166 (PortIoOut
     5304*165 (PortIoOut
    52935305uid 4916,0
    52945306shape (CompositeShape
     
    53345346)
    53355347)
    5336 *167 (Net
     5348*166 (Net
    53375349uid 5320,0
    53385350decl (Decl
     
    53505362)
    53515363xt "39000,23000,74000,23800"
    5352 st "D_T            : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
    5353 )
    5354 )
    5355 *168 (PortIoIn
     5364st "D_T            : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
     5365"
     5366)
     5367)
     5368*167 (PortIoIn
    53565369uid 5650,0
    53575370shape (CompositeShape
     
    53665379sl 0
    53675380ro 270
    5368 xt "-30000,88625,-28500,89375"
     5381xt "9000,78625,10500,79375"
    53695382)
    53705383(Line
     
    53725385sl 0
    53735386ro 270
    5374 xt "-28500,89000,-28000,89000"
    5375 pts [
    5376 "-28500,89000"
    5377 "-28000,89000"
     5387xt "10500,79000,11000,79000"
     5388pts [
     5389"10500,79000"
     5390"11000,79000"
    53785391]
    53795392)
     
    53905403va (VaSet
    53915404)
    5392 xt "-35500,88500,-31000,89500"
     5405xt "3500,78500,8000,79500"
    53935406st "TEST_TRG"
    53945407ju 2
    5395 blo "-31000,89300"
     5408blo "8000,79300"
    53965409tm "WireNameMgr"
    53975410)
    53985411)
    53995412)
    5400 *169 (Net
     5413*168 (Net
    54015414uid 5662,0
    54025415decl (Decl
     
    54125425)
    54135426xt "39000,11800,53500,12600"
    5414 st "TEST_TRG       : std_logic"
    5415 )
    5416 )
    5417 *170 (Net
     5427st "TEST_TRG       : std_logic
     5428"
     5429)
     5430)
     5431*169 (Net
    54185432uid 6138,0
    54195433decl (Decl
     
    54295443)
    54305444xt "39000,46400,57000,47200"
    5431 st "SIGNAL TRG_OR         : std_logic"
    5432 )
    5433 )
    5434 *171 (SaComponent
    5435 uid 6250,0
    5436 optionalChildren [
    5437 *172 (CptPort
    5438 uid 6235,0
    5439 ps "OnEdgeStrategy"
    5440 shape (Triangle
    5441 uid 6236,0
    5442 ro 90
    5443 va (VaSet
    5444 vasetType 1
    5445 fg "0,65535,0"
    5446 )
    5447 xt "-11750,87625,-11000,88375"
    5448 )
    5449 tg (CPTG
    5450 uid 6237,0
    5451 ps "CptPortTextPlaceStrategy"
    5452 stg "VerticalLayoutStrategy"
    5453 f (Text
    5454 uid 6238,0
    5455 va (VaSet
    5456 )
    5457 xt "-10000,87500,-8700,88500"
    5458 st "clk"
    5459 blo "-10000,88300"
    5460 )
    5461 )
    5462 thePort (LogicalPort
    5463 decl (Decl
    5464 n "clk"
    5465 t "STD_LOGIC"
    5466 preAdd 0
    5467 posAdd 0
    5468 o 1
    5469 suid 1,0
    5470 )
    5471 )
    5472 )
    5473 *173 (CptPort
    5474 uid 6239,0
    5475 ps "OnEdgeStrategy"
    5476 shape (Triangle
    5477 uid 6240,0
    5478 ro 90
    5479 va (VaSet
    5480 vasetType 1
    5481 fg "0,65535,0"
    5482 )
    5483 xt "-11750,88625,-11000,89375"
    5484 )
    5485 tg (CPTG
    5486 uid 6241,0
    5487 ps "CptPortTextPlaceStrategy"
    5488 stg "VerticalLayoutStrategy"
    5489 f (Text
    5490 uid 6242,0
    5491 va (VaSet
    5492 )
    5493 xt "-10000,88500,-5800,89500"
    5494 st "trigger_in"
    5495 blo "-10000,89300"
    5496 )
    5497 )
    5498 thePort (LogicalPort
    5499 decl (Decl
    5500 n "trigger_in"
    5501 t "STD_LOGIC"
    5502 prec "--           rst : in  STD_LOGIC;"
    5503 preAdd 0
    5504 posAdd 0
    5505 o 2
    5506 suid 2,0
    5507 )
    5508 )
    5509 )
    5510 *174 (CptPort
    5511 uid 6243,0
    5512 ps "OnEdgeStrategy"
    5513 shape (Triangle
    5514 uid 6244,0
    5515 ro 90
    5516 va (VaSet
    5517 vasetType 1
    5518 fg "0,65535,0"
    5519 )
    5520 xt "1000,88625,1750,89375"
    5521 )
    5522 tg (CPTG
    5523 uid 6245,0
    5524 ps "CptPortTextPlaceStrategy"
    5525 stg "RightVerticalLayoutStrategy"
    5526 f (Text
    5527 uid 6246,0
    5528 va (VaSet
    5529 )
    5530 xt "-4600,88500,0,89500"
    5531 st "trigger_out"
    5532 ju 2
    5533 blo "0,89300"
    5534 )
    5535 )
    5536 thePort (LogicalPort
    5537 m 1
    5538 decl (Decl
    5539 n "trigger_out"
    5540 t "STD_LOGIC"
    5541 preAdd 0
    5542 posAdd 0
    5543 o 3
    5544 suid 3,0
    5545 i "'0'"
    5546 )
    5547 )
    5548 )
    5549 ]
    5550 shape (Rectangle
    5551 uid 6251,0
    5552 va (VaSet
    5553 vasetType 1
    5554 fg "0,65535,0"
    5555 lineColor "0,32896,0"
    5556 lineWidth 2
    5557 )
    5558 xt "-11000,87000,1000,92000"
    5559 )
    5560 oxt "25000,13000,37000,18000"
    5561 ttg (MlTextGroup
    5562 uid 6252,0
    5563 ps "CenterOffsetStrategy"
    5564 stg "VerticalLayoutStrategy"
    5565 textVec [
    5566 *175 (Text
    5567 uid 6253,0
    5568 va (VaSet
    5569 font "Arial,8,1"
    5570 )
    5571 xt "-10800,92000,-4200,93000"
    5572 st "FACT_FAD_LIB"
    5573 blo "-10800,92800"
    5574 tm "BdLibraryNameMgr"
    5575 )
    5576 *176 (Text
    5577 uid 6254,0
    5578 va (VaSet
    5579 font "Arial,8,1"
    5580 )
    5581 xt "-10800,93000,-6400,94000"
    5582 st "debouncer"
    5583 blo "-10800,93800"
    5584 tm "CptNameMgr"
    5585 )
    5586 *177 (Text
    5587 uid 6255,0
    5588 va (VaSet
    5589 font "Arial,8,1"
    5590 )
    5591 xt "-10800,94000,-5400,95000"
    5592 st "I_debouncer"
    5593 blo "-10800,94800"
    5594 tm "InstanceNameMgr"
    5595 )
    5596 ]
    5597 )
    5598 ga (GenericAssociation
    5599 uid 6256,0
    5600 ps "EdgeToEdgeStrategy"
    5601 matrix (Matrix
    5602 uid 6257,0
    5603 text (MLText
    5604 uid 6258,0
    5605 va (VaSet
    5606 font "Courier New,8,0"
    5607 )
    5608 xt "-11000,86200,4000,87000"
    5609 st "WIDTH = 17    ( INTEGER )  "
    5610 )
    5611 header ""
    5612 )
    5613 elements [
    5614 (GiElement
    5615 name "WIDTH"
    5616 type "INTEGER"
    5617 value "17"
    5618 )
    5619 ]
    5620 )
    5621 viewicon (ZoomableIcon
    5622 uid 6259,0
    5623 sl 0
    5624 va (VaSet
    5625 vasetType 1
    5626 fg "49152,49152,49152"
    5627 )
    5628 xt "-10750,90250,-9250,91750"
    5629 iconName "VhdlFileViewIcon.png"
    5630 iconMaskName "VhdlFileViewIcon.msk"
    5631 ftype 10
    5632 )
    5633 ordering 1
    5634 viewiconposition 0
    5635 portVis (PortSigDisplay
    5636 )
    5637 archFileType "UNKNOWN"
    5638 )
    5639 *178 (Net
    5640 uid 6278,0
    5641 decl (Decl
    5642 n "trigger_out"
    5643 t "STD_LOGIC"
    5644 preAdd 0
    5645 posAdd 0
    5646 o 60
    5647 suid 147,0
    5648 i "'0'"
    5649 )
    5650 declText (MLText
    5651 uid 6279,0
    5652 va (VaSet
    5653 font "Courier New,8,0"
    5654 )
    5655 xt "39000,52000,71500,52800"
    5656 st "SIGNAL trigger_out    : STD_LOGIC                      := '0'"
    5657 )
    5658 )
    5659 *179 (Net
    5660 uid 6326,0
    5661 decl (Decl
    5662 n "not_TEST_TRG"
    5663 t "STD_LOGIC"
    5664 o 58
    5665 suid 148,0
    5666 )
    5667 declText (MLText
    5668 uid 6327,0
    5669 va (VaSet
    5670 font "Courier New,8,0"
    5671 )
    5672 xt "39000,50400,57000,51200"
    5673 st "SIGNAL not_TEST_TRG   : STD_LOGIC"
    5674 )
    5675 )
    5676 *180 (MWC
    5677 uid 6539,0
    5678 optionalChildren [
    5679 *181 (CptPort
    5680 uid 6526,0
    5681 optionalChildren [
    5682 *182 (Line
    5683 uid 6530,0
    5684 layer 5
    5685 sl 0
    5686 va (VaSet
    5687 vasetType 3
    5688 )
    5689 xt "-22000,89000,-20999,89000"
    5690 pts [
    5691 "-22000,89000"
    5692 "-20999,89000"
    5693 ]
    5694 )
    5695 ]
    5696 ps "OnEdgeStrategy"
    5697 shape (Triangle
    5698 uid 6527,0
    5699 ro 90
    5700 va (VaSet
    5701 vasetType 1
    5702 isHidden 1
    5703 fg "0,65535,65535"
    5704 )
    5705 xt "-22750,88625,-22000,89375"
    5706 )
    5707 tg (CPTG
    5708 uid 6528,0
    5709 ps "CptPortTextPlaceStrategy"
    5710 stg "VerticalLayoutStrategy"
    5711 f (Text
    5712 uid 6529,0
    5713 sl 0
    5714 va (VaSet
    5715 isHidden 1
    5716 font "arial,8,0"
    5717 )
    5718 xt "-25000,88500,-23600,89500"
    5719 st "din"
    5720 blo "-25000,89300"
    5721 )
    5722 s (Text
    5723 uid 6548,0
    5724 sl 0
    5725 va (VaSet
    5726 font "arial,8,0"
    5727 )
    5728 xt "-25000,89500,-25000,89500"
    5729 blo "-25000,89500"
    5730 )
    5731 )
    5732 thePort (LogicalPort
    5733 decl (Decl
    5734 n "din"
    5735 t "std_logic"
    5736 o 11
    5737 suid 1,0
    5738 )
    5739 )
    5740 )
    5741 *183 (CptPort
    5742 uid 6531,0
    5743 optionalChildren [
    5744 *184 (Line
    5745 uid 6535,0
    5746 layer 5
    5747 sl 0
    5748 va (VaSet
    5749 vasetType 3
    5750 )
    5751 xt "-17249,89000,-17000,89000"
    5752 pts [
    5753 "-17000,89000"
    5754 "-17249,89000"
    5755 ]
    5756 )
    5757 *185 (Circle
    5758 uid 6536,0
    5759 va (VaSet
    5760 vasetType 1
    5761 fg "65535,65535,65535"
    5762 lineColor "26368,26368,26368"
    5763 )
    5764 xt "-17999,88625,-17249,89375"
    5765 radius 375
    5766 )
    5767 ]
    5768 ps "OnEdgeStrategy"
    5769 shape (Triangle
    5770 uid 6532,0
    5771 ro 90
    5772 va (VaSet
    5773 vasetType 1
    5774 isHidden 1
    5775 fg "0,65535,65535"
    5776 )
    5777 xt "-17000,88625,-16250,89375"
    5778 )
    5779 tg (CPTG
    5780 uid 6533,0
    5781 ps "CptPortTextPlaceStrategy"
    5782 stg "RightVerticalLayoutStrategy"
    5783 f (Text
    5784 uid 6534,0
    5785 sl 0
    5786 va (VaSet
    5787 isHidden 1
    5788 font "arial,8,0"
    5789 )
    5790 xt "-15050,88500,-13250,89500"
    5791 st "dout"
    5792 ju 2
    5793 blo "-13250,89300"
    5794 )
    5795 s (Text
    5796 uid 6549,0
    5797 sl 0
    5798 va (VaSet
    5799 font "arial,8,0"
    5800 )
    5801 xt "-13250,89500,-13250,89500"
    5802 ju 2
    5803 blo "-13250,89500"
    5804 )
    5805 )
    5806 thePort (LogicalPort
    5807 m 1
    5808 decl (Decl
    5809 n "dout"
    5810 t "STD_LOGIC"
    5811 o 58
    5812 suid 2,0
    5813 )
    5814 )
    5815 )
    5816 *186 (CommentGraphic
    5817 uid 6537,0
    5818 shape (CustomPolygon
    5819 pts [
    5820 "-21000,87000"
    5821 "-18000,89000"
    5822 "-21000,91000"
    5823 "-21000,87000"
    5824 ]
    5825 uid 6538,0
    5826 layer 0
    5827 sl 0
    5828 va (VaSet
    5829 vasetType 1
    5830 fg "0,65535,65535"
    5831 bg "0,65535,65535"
    5832 lineColor "26368,26368,26368"
    5833 )
    5834 xt "-21000,87000,-18000,91000"
    5835 )
    5836 oxt "7000,6000,10000,10000"
    5837 )
    5838 ]
    5839 shape (Rectangle
    5840 uid 6540,0
    5841 va (VaSet
    5842 vasetType 1
    5843 transparent 1
    5844 fg "0,65535,0"
    5845 lineColor "65535,65535,65535"
    5846 lineWidth -1
    5847 )
    5848 xt "-22000,87000,-17000,91000"
    5849 fos 1
    5850 )
    5851 showPorts 0
    5852 oxt "6000,6000,11000,10000"
    5853 ttg (MlTextGroup
    5854 uid 6541,0
    5855 ps "CenterOffsetStrategy"
    5856 stg "VerticalLayoutStrategy"
    5857 textVec [
    5858 *187 (Text
    5859 uid 6542,0
    5860 va (VaSet
    5861 isHidden 1
    5862 font "arial,8,0"
    5863 )
    5864 xt "-19650,89100,-14850,90100"
    5865 st "moduleware"
    5866 blo "-19650,89900"
    5867 )
    5868 *188 (Text
    5869 uid 6543,0
    5870 va (VaSet
    5871 font "arial,8,0"
    5872 )
    5873 xt "-19650,90100,-18350,91100"
    5874 st "inv"
    5875 blo "-19650,90900"
    5876 )
    5877 *189 (Text
    5878 uid 6544,0
    5879 va (VaSet
    5880 font "arial,8,0"
    5881 )
    5882 xt "-19650,91100,-18650,92100"
    5883 st "I1"
    5884 blo "-19650,91900"
    5885 tm "InstanceNameMgr"
    5886 )
    5887 ]
    5888 )
    5889 ga (GenericAssociation
    5890 uid 6545,0
    5891 ps "EdgeToEdgeStrategy"
    5892 matrix (Matrix
    5893 uid 6546,0
    5894 text (MLText
    5895 uid 6547,0
    5896 va (VaSet
    5897 font "arial,8,0"
    5898 )
    5899 xt "-25000,68400,-25000,68400"
    5900 )
    5901 header ""
    5902 )
    5903 elements [
    5904 ]
    5905 )
    5906 sed 1
    5907 awe 1
    5908 portVis (PortSigDisplay
    5909 disp 1
    5910 sN 0
    5911 sTC 0
    5912 selT 0
    5913 )
    5914 prms (Property
    5915 pclass "params"
    5916 pname "params"
    5917 ptn "String"
    5918 )
    5919 visOptions (mwParamsVisibilityOptions
    5920 )
    5921 )
    5922 *190 (MWC
     5445st "SIGNAL TRG_OR         : std_logic
     5446"
     5447)
     5448)
     5449*170 (MWC
    59235450uid 6586,0
    59245451optionalChildren [
    5925 *191 (CptPort
     5452*171 (CptPort
    59265453uid 6550,0
    59275454optionalChildren [
    5928 *192 (Line
     5455*172 (Line
    59295456uid 6554,0
    59305457layer 5
     
    59705497decl (Decl
    59715498n "din1"
    5972 t "STD_LOGIC"
    5973 preAdd 0
    5974 posAdd 0
    5975 o 60
     5499t "std_logic"
     5500o 11
    59765501suid 1,0
    5977 i "'0'"
    5978 )
    5979 )
    5980 )
    5981 *193 (CptPort
     5502)
     5503)
     5504)
     5505*173 (CptPort
    59825506uid 6555,0
    59835507optionalChildren [
    5984 *194 (Property
     5508*174 (Property
    59855509uid 6559,0
    59865510pclass "_MW_GEOM_"
     
    59885512ptn "String"
    59895513)
    5990 *195 (Line
     5514*175 (Line
    59915515uid 6560,0
    59925516layer 5
     
    60405564)
    60415565)
    6042 *196 (CptPort
     5566*176 (CptPort
    60435567uid 6561,0
    60445568optionalChildren [
    6045 *197 (Line
     5569*177 (Line
    60465570uid 6565,0
    60475571layer 5
     
    60935617)
    60945618)
    6095 *198 (CommentGraphic
     5619*178 (CommentGraphic
    60965620uid 6566,0
    60975621shape (Arc2D
     
    61145638oxt "7000,6003,11000,8000"
    61155639)
    6116 *199 (CommentGraphic
     5640*179 (CommentGraphic
    61175641uid 6568,0
    61185642shape (Arc2D
     
    61355659oxt "6996,8005,11000,10000"
    61365660)
    6137 *200 (Grouping
     5661*180 (Grouping
    61385662uid 6570,0
    61395663optionalChildren [
    6140 *201 (CommentGraphic
     5664*181 (CommentGraphic
    61415665uid 6572,0
    61425666optionalChildren [
    6143 *202 (Property
     5667*182 (Property
    61445668uid 6574,0
    61455669pclass "_MW_GEOM_"
     
    61725696oxt "7000,6000,11000,9998"
    61735697)
    6174 *203 (CommentGraphic
     5698*183 (CommentGraphic
    61755699uid 6575,0
    61765700optionalChildren [
    6177 *204 (Property
     5701*184 (Property
    61785702uid 6577,0
    61795703pclass "_MW_GEOM_"
     
    62175741oxt "7000,6000,11000,10000"
    62185742)
    6219 *205 (CommentGraphic
     5743*185 (CommentGraphic
    62205744uid 6578,0
    62215745shape (PolyLine2D
     
    62365760oxt "11000,8000,11000,8000"
    62375761)
    6238 *206 (CommentGraphic
     5762*186 (CommentGraphic
    62395763uid 6580,0
    62405764optionalChildren [
    6241 *207 (Property
     5765*187 (Property
    62425766uid 6582,0
    62435767pclass "_MW_GEOM_"
     
    62635787oxt "7000,6000,7000,6000"
    62645788)
    6265 *208 (CommentGraphic
     5789*188 (CommentGraphic
    62665790uid 6583,0
    62675791optionalChildren [
    6268 *209 (Property
     5792*189 (Property
    62695793uid 6585,0
    62705794pclass "_MW_GEOM_"
     
    63095833stg "VerticalLayoutStrategy"
    63105834textVec [
    6311 *210 (Text
     5835*190 (Text
    63125836uid 6589,0
    63135837va (VaSet
     
    63195843blo "15500,77300"
    63205844)
    6321 *211 (Text
     5845*191 (Text
    63225846uid 6590,0
    63235847va (VaSet
     
    63285852blo "15500,78300"
    63295853)
    6330 *212 (Text
     5854*192 (Text
    63315855uid 6591,0
    63325856va (VaSet
     
    63735897)
    63745898)
    6375 *213 (PortIoIn
     5899*193 (PortIoIn
    63765900uid 6781,0
    63775901shape (CompositeShape
     
    64185942)
    64195943)
    6420 *214 (Net
     5944*194 (Net
    64215945uid 6793,0
    64225946decl (Decl
     
    64335957)
    64345958xt "39000,11000,63500,11800"
    6435 st "D_PLLLCK       : std_logic_vector(3 DOWNTO 0)"
    6436 )
    6437 )
    6438 *215 (PortIoOut
     5959st "D_PLLLCK       : std_logic_vector(3 DOWNTO 0)
     5960"
     5961)
     5962)
     5963*195 (PortIoOut
    64395964uid 6874,0
    64405965shape (CompositeShape
     
    64806005)
    64816006)
    6482 *216 (Net
     6007*196 (Net
    64836008uid 6886,0
    64846009decl (Decl
     
    64966021)
    64976022xt "39000,23800,74000,24600"
    6498 st "D_T2           : std_logic_vector(3 DOWNTO 0)   := (others => '0')"
    6499 )
    6500 )
    6501 *217 (HdlText
     6023st "D_T2           : std_logic_vector(3 DOWNTO 0)   := (others => '0')
     6024"
     6025)
     6026)
     6027*197 (HdlText
    65026028uid 6888,0
    65036029optionalChildren [
    6504 *218 (EmbeddedText
     6030*198 (EmbeddedText
    65056031uid 6894,0
    65066032commentText (CommentText
     
    65506076stg "VerticalLayoutStrategy"
    65516077textVec [
    6552 *219 (Text
     6078*199 (Text
    65536079uid 6891,0
    65546080va (VaSet
     
    65606086tm "HdlTextNameMgr"
    65616087)
    6562 *220 (Text
     6088*200 (Text
    65636089uid 6892,0
    65646090va (VaSet
     
    65866112viewiconposition 0
    65876113)
    6588 *221 (HdlText
     6114*201 (HdlText
    65896115uid 7092,0
    65906116optionalChildren [
    6591 *222 (EmbeddedText
     6117*202 (EmbeddedText
    65926118uid 7098,0
    65936119commentText (CommentText
     
    66026128lineWidth 2
    66036129)
    6604 xt "27000,137000,45000,145000"
     6130xt "26000,137000,46000,143000"
    66056131)
    66066132oxt "0,0,18000,5000"
     
    66096135va (VaSet
    66106136)
    6611 xt "27200,137200,39400,142200"
     6137xt "26200,137200,40000,141200"
    66126138st "
    66136139-- eb2 8                                       
    6614 A1_T(0) <= dummy;
    6615 A1_T(1) <= RSRLOAD;
    6616 A1_T(2) <= D0_SROUT;
    6617 A1_T(3) <= D1_SROUT;
     6140A1_T(3 downto 0) <= drs_channel_id;
     6141D_A <= drs_channel_id;
     6142A1_T(4)  <= TRG_OR;
    66186143"
    66196144tm "HdlTextMgr"
    66206145wrapOption 3
    6621 visibleHeight 8000
    6622 visibleWidth 18000
     6146visibleHeight 6000
     6147visibleWidth 20000
    66236148)
    66246149)
     
    66416166stg "VerticalLayoutStrategy"
    66426167textVec [
    6643 *223 (Text
     6168*203 (Text
    66446169uid 7095,0
    66456170va (VaSet
     
    66516176tm "HdlTextNameMgr"
    66526177)
    6653 *224 (Text
     6178*204 (Text
    66546179uid 7096,0
    66556180va (VaSet
     
    66776202viewiconposition 0
    66786203)
    6679 *225 (PortIoOut
     6204*205 (PortIoOut
    66806205uid 7138,0
    66816206shape (CompositeShape
     
    67216246)
    67226247)
    6723 *226 (Net
     6248*206 (Net
    67246249uid 7150,0
    67256250decl (Decl
    67266251n "A1_T"
    67276252t "std_logic_vector"
    6728 b "(3 DOWNTO 0)"
     6253b "(7 DOWNTO 0)"
    67296254o 15
    67306255suid 155,0
     6256i "(OTHERS => '0')"
    67316257)
    67326258declText (MLText
     
    67356261font "Courier New,8,0"
    67366262)
    6737 xt "39000,15000,63500,15800"
    6738 st "A1_T           : std_logic_vector(3 DOWNTO 0)"
    6739 )
    6740 )
    6741 *227 (Net
     6263xt "39000,15000,74000,15800"
     6264st "A1_T           : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')
     6265"
     6266)
     6267)
     6268*207 (Net
    67426269uid 7485,0
    67436270decl (Decl
    67446271n "dummy"
    67456272t "std_logic"
    6746 o 60
     6273o 58
    67476274suid 157,0
    67486275)
     
    67526279font "Courier New,8,0"
    67536280)
    6754 xt "39000,49600,57000,50400"
    6755 st "SIGNAL dummy          : std_logic"
    6756 )
    6757 )
    6758 *228 (MWC
     6281xt "39000,50400,57000,51200"
     6282st "SIGNAL dummy          : std_logic
     6283"
     6284)
     6285)
     6286*208 (MWC
    67596287uid 7652,0
    67606288optionalChildren [
    6761 *229 (CptPort
     6289*209 (CptPort
    67626290uid 7632,0
    67636291optionalChildren [
    6764 *230 (Line
     6292*210 (Line
    67656293uid 7636,0
    67666294layer 5
     
    68166344n "s"
    68176345t "std_logic"
    6818 o 60
     6346o 58
    68196347suid 1,0
    68206348)
    68216349)
    68226350)
    6823 *231 (CptPort
     6351*211 (CptPort
    68246352uid 7637,0
    68256353optionalChildren [
    6826 *232 (Line
     6354*212 (Line
    68276355uid 7641,0
    68286356layer 5
     
    68866414)
    68876415)
    6888 *233 (CommentGraphic
     6416*213 (CommentGraphic
    68896417uid 7642,0
    68906418shape (PolyLine2D
     
    69076435oxt "6000,6000,7000,7000"
    69086436)
    6909 *234 (CommentGraphic
     6437*214 (CommentGraphic
    69106438uid 7644,0
    69116439shape (PolyLine2D
     
    69286456oxt "6000,7000,7000,8000"
    69296457)
    6930 *235 (CommentGraphic
     6458*215 (CommentGraphic
    69316459uid 7646,0
    69326460shape (PolyLine2D
     
    69496477oxt "6988,7329,7988,7329"
    69506478)
    6951 *236 (CommentGraphic
     6479*216 (CommentGraphic
    69526480uid 7648,0
    69536481shape (PolyLine2D
     
    69686496oxt "8000,7000,9000,7000"
    69696497)
    6970 *237 (CommentGraphic
     6498*217 (CommentGraphic
    69716499uid 7650,0
    69726500shape (PolyLine2D
     
    70096537stg "VerticalLayoutStrategy"
    70106538textVec [
    7011 *238 (Text
     6539*218 (Text
    70126540uid 7655,0
    70136541va (VaSet
     
    70196547blo "90350,83900"
    70206548)
    7021 *239 (Text
     6549*219 (Text
    70226550uid 7656,0
    70236551va (VaSet
     
    70286556blo "90350,84900"
    70296557)
    7030 *240 (Text
     6558*220 (Text
    70316559uid 7657,0
    70326560va (VaSet
     
    70736601)
    70746602)
    7075 *241 (Wire
     6603*221 (Net
     6604uid 8851,0
     6605decl (Decl
     6606n "drs_channel_id"
     6607t "std_logic_vector"
     6608b "(3 downto 0)"
     6609o 57
     6610suid 159,0
     6611i "(others => '0')"
     6612)
     6613declText (MLText
     6614uid 8852,0
     6615va (VaSet
     6616font "Courier New,8,0"
     6617)
     6618xt "39000,49600,77500,50400"
     6619st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0)   := (others => '0')
     6620"
     6621)
     6622)
     6623*222 (Net
     6624uid 9500,0
     6625decl (Decl
     6626n "CLK_50"
     6627t "std_logic"
     6628o 51
     6629suid 163,0
     6630)
     6631declText (MLText
     6632uid 9501,0
     6633va (VaSet
     6634font "Courier New,8,0"
     6635)
     6636xt "39000,44800,57000,45600"
     6637st "SIGNAL CLK_50         : std_logic
     6638"
     6639)
     6640)
     6641*223 (Wire
    70766642uid 245,0
    70776643shape (OrthoPolyLine
     
    71106676)
    71116677)
    7112 on &70
    7113 )
    7114 *242 (Wire
     6678on &69
     6679)
     6680*224 (Wire
    71156681uid 277,0
    71166682shape (OrthoPolyLine
     
    71506716on &53
    71516717)
    7152 *243 (Wire
     6718*225 (Wire
    71536719uid 285,0
    71546720shape (OrthoPolyLine
     
    71886754on &54
    71896755)
    7190 *244 (Wire
     6756*226 (Wire
    71916757uid 362,0
    71926758shape (OrthoPolyLine
     
    72016767]
    72026768)
    7203 start &78
     6769start &77
    72046770end &16
    72056771sat 32
     
    72246790)
    72256791)
    7226 on &79
    7227 )
    7228 *245 (Wire
     6792on &78
     6793)
     6794*227 (Wire
    72296795uid 418,0
    72306796shape (OrthoPolyLine
     
    72626828)
    72636829)
    7264 on &131
    7265 )
    7266 *246 (Wire
     6830on &130
     6831)
     6832*228 (Wire
    72676833uid 426,0
    72686834shape (OrthoPolyLine
     
    73026868)
    73036869)
    7304 on &129
    7305 )
    7306 *247 (Wire
     6870on &128
     6871)
     6872*229 (Wire
    73076873uid 434,0
    73086874shape (OrthoPolyLine
     
    73406906)
    73416907)
    7342 on &135
    7343 )
    7344 *248 (Wire
     6908on &134
     6909)
     6910*230 (Wire
    73456911uid 442,0
    73466912shape (OrthoPolyLine
     
    73806946)
    73816947)
    7382 on &130
    7383 )
    7384 *249 (Wire
     6948on &129
     6949)
     6950*231 (Wire
    73856951uid 450,0
    73866952shape (OrthoPolyLine
     
    74186984)
    74196985)
    7420 on &134
    7421 )
    7422 *250 (Wire
     6986on &133
     6987)
     6988*232 (Wire
    74236989uid 458,0
    74246990shape (OrthoPolyLine
     
    74567022)
    74577023)
    7458 on &132
    7459 )
    7460 *251 (Wire
     7024on &131
     7025)
     7026*233 (Wire
    74617027uid 466,0
    74627028shape (OrthoPolyLine
     
    74947060)
    74957061)
    7496 on &133
    7497 )
    7498 *252 (Wire
     7062on &132
     7063)
     7064*234 (Wire
    74997065uid 1467,0
    75007066shape (OrthoPolyLine
     
    75097075]
    75107076)
    7511 start &82
     7077start &81
    75127078end &28
    75137079sat 2
     
    75327098on &62
    75337099)
    7534 *253 (Wire
     7100*235 (Wire
    75357101uid 1730,0
    75367102shape (OrthoPolyLine
     
    75467112]
    75477113)
    7548 start &80
     7114start &79
    75497115end &29
    75507116sat 32
     
    75707136)
    75717137)
    7572 on &81
    7573 )
    7574 *254 (Wire
     7138on &80
     7139)
     7140*236 (Wire
    75757141uid 1833,0
    75767142shape (OrthoPolyLine
     
    75807146lineWidth 2
    75817147)
    7582 xt "21000,109000,51250,109000"
    7583 pts [
    7584 "51250,109000"
    7585 "21000,109000"
    7586 ]
    7587 )
    7588 start &30
    7589 end &110
    7590 sat 32
     7148xt "6000,134000,31000,134000"
     7149pts [
     7150"31000,134000"
     7151"6000,134000"
     7152]
     7153)
     7154start &201
     7155end &109
     7156sat 2
    75917157eat 32
    75927158sty 1
     
    76047170isHidden 1
    76057171)
    7606 xt "22000,108000,23900,109000"
     7172xt "7000,133000,8900,134000"
    76077173st "D_A"
    7608 blo "22000,108800"
     7174blo "7000,133800"
    76097175tm "WireNameMgr"
    76107176)
    76117177)
    7612 on &111
    7613 )
    7614 *255 (Wire
     7178on &110
     7179)
     7180*237 (Wire
    76157181uid 1841,0
    76167182shape (OrthoPolyLine
     
    76267192)
    76277193start &31
    7628 end &112
     7194end &111
    76297195sat 32
    76307196eat 32
     
    76487214)
    76497215)
    7650 on &113
    7651 )
    7652 *256 (Wire
     7216on &112
     7217)
     7218*238 (Wire
    76537219uid 1865,0
    76547220shape (OrthoPolyLine
     
    76637229]
    76647230)
    7665 start &102
     7231start &101
    76667232end &32
    76677233sat 32
     
    76867252)
    76877253)
    7688 on &106
    7689 )
    7690 *257 (Wire
     7254on &105
     7255)
     7256*239 (Wire
    76917257uid 1873,0
    76927258shape (OrthoPolyLine
     
    77017267]
    77027268)
    7703 start &103
     7269start &102
    77047270end &33
    77057271sat 32
     
    77247290)
    77257291)
    7726 on &107
    7727 )
    7728 *258 (Wire
     7292on &106
     7293)
     7294*240 (Wire
    77297295uid 1881,0
    77307296shape (OrthoPolyLine
     
    77397305]
    77407306)
    7741 start &104
     7307start &103
    77427308end &34
    77437309sat 32
     
    77627328)
    77637329)
    7764 on &108
    7765 )
    7766 *259 (Wire
     7330on &107
     7331)
     7332*241 (Wire
    77677333uid 1889,0
    77687334shape (OrthoPolyLine
     
    77777343]
    77787344)
    7779 start &105
     7345start &104
    77807346end &35
    77817347sat 32
     
    78007366)
    78017367)
    7802 on &109
    7803 )
    7804 *260 (Wire
    7805 uid 2269,0
    7806 shape (OrthoPolyLine
    7807 uid 2270,0
    7808 va (VaSet
    7809 vasetType 3
    7810 )
    7811 xt "-15000,69000,51250,88000"
    7812 pts [
    7813 "51250,69000"
    7814 "-15000,69000"
    7815 "-15000,88000"
    7816 "-11750,88000"
    7817 ]
    7818 )
    7819 start &26
    7820 end &172
    7821 sat 32
    7822 eat 32
    7823 stc 0
    7824 st 0
    7825 sf 1
    7826 si 0
    7827 tg (WTG
    7828 uid 2273,0
    7829 ps "ConnStartEndStrategy"
    7830 stg "STSignalDisplayStrategy"
    7831 f (Text
    7832 uid 2274,0
    7833 va (VaSet
    7834 isHidden 1
    7835 )
    7836 xt "50250,68000,53350,69000"
    7837 st "CLK_50"
    7838 blo "50250,68800"
    7839 tm "WireNameMgr"
    7840 )
    7841 )
    7842 on &63
    7843 )
    7844 *261 (Wire
     7368on &108
     7369)
     7370*242 (Wire
    78457371uid 2409,0
    78467372shape (OrthoPolyLine
     
    78567382)
    78577383start &36
    7858 end &65
     7384end &64
    78597385sat 32
    78607386eat 32
     
    78787404)
    78797405)
    7880 on &64
    7881 )
    7882 *262 (Wire
     7406on &63
     7407)
     7408*243 (Wire
    78837409uid 2423,0
    78847410shape (OrthoPolyLine
     
    78947420)
    78957421start &37
    7896 end &94
     7422end &93
    78977423sat 32
    78987424eat 1
     
    79167442)
    79177443)
    7918 on &66
    7919 )
    7920 *263 (Wire
     7444on &65
     7445)
     7446*244 (Wire
    79217447uid 3009,0
    79227448shape (OrthoPolyLine
     
    79327458)
    79337459start &39
    7934 end &127
     7460end &126
    79357461sat 32
    79367462eat 32
     
    79547480)
    79557481)
    7956 on &128
    7957 )
    7958 *264 (Wire
     7482on &127
     7483)
     7484*245 (Wire
    79597485uid 3015,0
    79607486shape (OrthoPolyLine
     
    79707496)
    79717497start &41
    7972 end &136
     7498end &135
    79737499sat 32
    79747500eat 32
     
    79927518)
    79937519)
    7994 on &139
    7995 )
    7996 *265 (Wire
     7520on &138
     7521)
     7522*246 (Wire
    79977523uid 3021,0
    79987524shape (OrthoPolyLine
     
    80097535)
    80107536start &40
    8011 end &115
     7537end &114
    80127538sat 32
    80137539eat 1
     
    80307556)
    80317557)
    8032 on &67
    8033 )
    8034 *266 (Wire
     7558on &66
     7559)
     7560*247 (Wire
    80357561uid 3027,0
    80367562shape (OrthoPolyLine
     
    80457571]
    80467572)
    8047 start &231
    8048 end &114
     7573start &211
     7574end &113
    80497575ss 0
    80507576sat 32
     
    80697595)
    80707596)
    8071 on &68
    8072 )
    8073 *267 (Wire
     7597on &67
     7598)
     7599*248 (Wire
    80747600uid 3218,0
    80757601shape (OrthoPolyLine
     
    80857611)
    80867612start &47
    8087 end &196
     7613end &176
    80887614sat 32
    80897615eat 32
     
    81077633)
    81087634)
    8109 on &71
    8110 )
    8111 *268 (Wire
     7635on &70
     7636)
     7637*249 (Wire
    81127638uid 3260,0
    81137639shape (OrthoPolyLine
     
    81237649]
    81247650)
    8125 start &69
    8126 end &72
     7651start &68
     7652end &71
    81277653sat 32
    81287654eat 2
     
    81477673)
    81487674)
    8149 on &76
    8150 )
    8151 *269 (Wire
     7675on &75
     7676)
     7677*250 (Wire
    81527678uid 3270,0
    81537679shape (OrthoPolyLine
     
    81637689)
    81647690start &25
    8165 end &72
     7691end &71
    81667692sat 32
    81677693eat 1
     
    81837709)
    81847710)
    8185 on &77
    8186 )
    8187 *270 (Wire
     7711on &76
     7712)
     7713*251 (Wire
    81887714uid 3318,0
    81897715shape (OrthoPolyLine
     
    81997725]
    82007726)
    8201 start &86
    8202 end &82
     7727start &85
     7728end &81
    82037729sat 32
    82047730eat 1
     
    82237749)
    82247750)
    8225 on &90
    8226 )
    8227 *271 (Wire
     7751on &89
     7752)
     7753*252 (Wire
    82287754uid 3352,0
    82297755shape (OrthoPolyLine
     
    82397765]
    82407766)
    8241 start &87
    8242 end &82
     7767start &86
     7768end &81
    82437769sat 32
    82447770eat 1
     
    82637789)
    82647790)
    8265 on &91
    8266 )
    8267 *272 (Wire
     7791on &90
     7792)
     7793*253 (Wire
    82687794uid 3360,0
    82697795shape (OrthoPolyLine
     
    82797805]
    82807806)
    8281 start &88
    8282 end &82
     7807start &87
     7808end &81
    82837809sat 32
    82847810eat 1
     
    83037829)
    83047830)
    8305 on &92
    8306 )
    8307 *273 (Wire
     7831on &91
     7832)
     7833*254 (Wire
    83087834uid 3368,0
    83097835shape (OrthoPolyLine
     
    83197845]
    83207846)
    8321 start &89
    8322 end &82
     7847start &88
     7848end &81
    83237849sat 32
    83247850eat 1
     
    83437869)
    83447870)
    8345 on &93
    8346 )
    8347 *274 (Wire
     7871on &92
     7872)
     7873*255 (Wire
    83487874uid 3430,0
    83497875shape (OrthoPolyLine
     
    83587884]
    83597885)
    8360 start &162
    8361 end &94
     7886start &161
     7887end &93
    83627888sat 32
    83637889eat 2
     
    83817907)
    83827908)
    8383 on &98
    8384 )
    8385 *275 (Wire
     7909on &97
     7910)
     7911*256 (Wire
    83867912uid 3438,0
    83877913shape (OrthoPolyLine
     
    83967922]
    83977923)
    8398 start &163
    8399 end &94
     7924start &162
     7925end &93
    84007926sat 32
    84017927eat 2
     
    84197945)
    84207946)
    8421 on &99
    8422 )
    8423 *276 (Wire
     7947on &98
     7948)
     7949*257 (Wire
    84247950uid 3446,0
    84257951shape (OrthoPolyLine
     
    84347960]
    84357961)
    8436 start &164
    8437 end &94
     7962start &163
     7963end &93
    84387964sat 32
    84397965eat 2
     
    84577983)
    84587984)
    8459 on &100
    8460 )
    8461 *277 (Wire
     7985on &99
     7986)
     7987*258 (Wire
    84627988uid 3454,0
    84637989shape (OrthoPolyLine
     
    84727998]
    84737999)
    8474 start &165
    8475 end &94
     8000start &164
     8001end &93
    84768002sat 32
    84778003eat 2
     
    84958021)
    84968022)
    8497 on &101
    8498 )
    8499 *278 (Wire
     8023on &100
     8024)
     8025*259 (Wire
    85008026uid 3574,0
    85018027shape (OrthoPolyLine
     
    85108036]
    85118037)
    8512 start &119
    8513 end &115
     8038start &118
     8039end &114
    85148040sat 32
    85158041eat 2
     
    85338059)
    85348060)
    8535 on &123
    8536 )
    8537 *279 (Wire
     8061on &122
     8062)
     8063*260 (Wire
    85388064uid 3582,0
    85398065shape (OrthoPolyLine
     
    85488074]
    85498075)
    8550 start &120
    8551 end &115
     8076start &119
     8077end &114
    85528078sat 32
    85538079eat 2
     
    85718097)
    85728098)
    8573 on &124
    8574 )
    8575 *280 (Wire
     8099on &123
     8100)
     8101*261 (Wire
    85768102uid 3590,0
    85778103shape (OrthoPolyLine
     
    85868112]
    85878113)
    8588 start &121
    8589 end &115
     8114start &120
     8115end &114
    85908116sat 32
    85918117eat 2
     
    86098135)
    86108136)
    8611 on &125
    8612 )
    8613 *281 (Wire
     8137on &124
     8138)
     8139*262 (Wire
    86148140uid 3598,0
    86158141shape (OrthoPolyLine
     
    86248150]
    86258151)
    8626 start &122
    8627 end &115
     8152start &121
     8153end &114
    86288154sat 32
    86298155eat 2
     
    86478173)
    86488174)
    8649 on &126
    8650 )
    8651 *282 (Wire
     8175on &125
     8176)
     8177*263 (Wire
    86528178uid 3682,0
    86538179shape (OrthoPolyLine
     
    86638189)
    86648190start &42
    8665 end &138
     8191end &137
    86668192sat 32
    86678193eat 32
     
    86858211)
    86868212)
    8687 on &137
    8688 )
    8689 *283 (Wire
     8213on &136
     8214)
     8215*264 (Wire
    86908216uid 3778,0
    86918217shape (OrthoPolyLine
     
    87008226]
    87018227)
    8702 start &144
    8703 end &140
     8228start &143
     8229end &139
    87048230sat 32
    87058231eat 2
     
    87238249)
    87248250)
    8725 on &153
    8726 )
    8727 *284 (Wire
     8251on &152
     8252)
     8253*265 (Wire
    87288254uid 3786,0
    87298255shape (OrthoPolyLine
     
    87388264]
    87398265)
    8740 start &145
    8741 end &140
     8266start &144
     8267end &139
    87428268sat 32
    87438269eat 2
     
    87618287)
    87628288)
    8763 on &154
    8764 )
    8765 *285 (Wire
     8289on &153
     8290)
     8291*266 (Wire
    87668292uid 3794,0
    87678293shape (OrthoPolyLine
     
    87768302]
    87778303)
    8778 start &146
    8779 end &140
     8304start &145
     8305end &139
    87808306sat 32
    87818307eat 2
     
    87998325)
    88008326)
    8801 on &155
    8802 )
    8803 *286 (Wire
     8327on &154
     8328)
     8329*267 (Wire
    88048330uid 3802,0
    88058331shape (OrthoPolyLine
     
    88148340]
    88158341)
    8816 start &147
    8817 end &140
     8342start &146
     8343end &139
    88188344sat 32
    88198345eat 2
     
    88378363)
    88388364)
    8839 on &156
    8840 )
    8841 *287 (Wire
     8365on &155
     8366)
     8367*268 (Wire
    88428368uid 3810,0
    88438369shape (OrthoPolyLine
     
    88528378]
    88538379)
    8854 start &148
    8855 end &140
     8380start &147
     8381end &139
    88568382sat 32
    88578383eat 2
     
    88758401)
    88768402)
    8877 on &157
    8878 )
    8879 *288 (Wire
     8403on &156
     8404)
     8405*269 (Wire
    88808406uid 3826,0
    88818407shape (OrthoPolyLine
     
    88908416]
    88918417)
    8892 start &150
    8893 end &140
     8418start &149
     8419end &139
    88948420sat 32
    88958421eat 2
     
    89138439)
    89148440)
    8915 on &159
    8916 )
    8917 *289 (Wire
     8441on &158
     8442)
     8443*270 (Wire
    89188444uid 3834,0
    89198445shape (OrthoPolyLine
     
    89288454]
    89298455)
    8930 start &151
    8931 end &140
     8456start &150
     8457end &139
    89328458sat 32
    89338459eat 2
     
    89518477)
    89528478)
    8953 on &160
    8954 )
    8955 *290 (Wire
     8479on &159
     8480)
     8481*271 (Wire
    89568482uid 3842,0
    89578483shape (OrthoPolyLine
     
    89678493]
    89688494)
    8969 start &152
    8970 end &140
     8495start &151
     8496end &139
    89718497sat 32
    89728498eat 2
     
    89918517)
    89928518)
    8993 on &161
    8994 )
    8995 *291 (Wire
     8519on &160
     8520)
     8521*272 (Wire
    89968522uid 4942,0
    89978523shape (OrthoPolyLine
     
    90088534)
    90098535start &14
    9010 end &166
     8536end &165
    90118537sat 32
    90128538eat 32
     
    90318557)
    90328558)
    9033 on &167
    9034 )
    9035 *292 (Wire
     8559on &166
     8560)
     8561*273 (Wire
    90368562uid 6130,0
    90378563shape (OrthoPolyLine
     
    90468572]
    90478573)
    9048 start &193
     8574start &173
    90498575end &15
    90508576sat 32
     
    90678593)
    90688594)
    9069 on &170
    9070 )
    9071 *293 (Wire
    9072 uid 6288,0
    9073 shape (OrthoPolyLine
    9074 uid 6289,0
    9075 va (VaSet
    9076 vasetType 3
    9077 )
    9078 xt "1750,79000,13000,89000"
    9079 pts [
    9080 "1750,89000"
    9081 "9000,89000"
    9082 "9000,86000"
    9083 "9000,79000"
    9084 "13000,79000"
    9085 ]
    9086 )
    9087 start &174
    9088 end &191
    9089 sat 32
    9090 eat 32
    9091 st 0
    9092 sf 1
    9093 si 0
    9094 tg (WTG
    9095 uid 6294,0
    9096 ps "ConnStartEndStrategy"
    9097 stg "STSignalDisplayStrategy"
    9098 f (Text
    9099 uid 6295,0
    9100 va (VaSet
    9101 )
    9102 xt "4000,88000,8600,89000"
    9103 st "trigger_out"
    9104 blo "4000,88800"
    9105 tm "WireNameMgr"
    9106 )
    9107 )
    9108 on &178
    9109 )
    9110 *294 (Wire
     8595on &169
     8596)
     8597*274 (Wire
    91118598uid 6306,0
    91128599shape (OrthoPolyLine
     
    91158602vasetType 3
    91168603)
    9117 xt "-28000,89000,-22000,89000"
    9118 pts [
    9119 "-28000,89000"
    9120 "-22000,89000"
    9121 ]
    9122 )
    9123 start &168
    9124 end &181
    9125 es 0
     8604xt "11000,79000,13000,79000"
     8605pts [
     8606"11000,79000"
     8607"13000,79000"
     8608]
     8609)
     8610start &167
     8611end &171
    91268612sat 32
    91278613eat 32
     8614stc 0
    91288615st 0
    91298616sf 1
     
    91368623uid 6313,0
    91378624va (VaSet
    9138 )
    9139 xt "-26000,88000,-21500,89000"
     8625isHidden 1
     8626)
     8627xt "13000,78000,17500,79000"
    91408628st "TEST_TRG"
    9141 blo "-26000,88800"
     8629blo "13000,78800"
    91428630tm "WireNameMgr"
    91438631)
    91448632)
    9145 on &169
    9146 )
    9147 *295 (Wire
    9148 uid 6328,0
    9149 shape (OrthoPolyLine
    9150 uid 6329,0
    9151 va (VaSet
    9152 vasetType 3
    9153 )
    9154 xt "-17000,89000,-11750,89000"
    9155 pts [
    9156 "-17000,89000"
    9157 "-11750,89000"
    9158 ]
    9159 )
    9160 start &183
    9161 end &173
    9162 sat 32
    9163 eat 32
    9164 st 0
    9165 sf 1
    9166 si 0
    9167 tg (WTG
    9168 uid 6334,0
    9169 ps "ConnStartEndStrategy"
    9170 stg "STSignalDisplayStrategy"
    9171 f (Text
    9172 uid 6335,0
    9173 va (VaSet
    9174 )
    9175 xt "-18000,92000,-11700,93000"
    9176 st "not_TEST_TRG"
    9177 blo "-18000,92800"
    9178 tm "WireNameMgr"
    9179 )
    9180 )
    9181 on &179
    9182 )
    9183 *296 (Wire
     8633on &168
     8634)
     8635*275 (Wire
    91848636uid 6431,0
    91858637shape (OrthoPolyLine
     
    91958647)
    91968648start &43
    9197 end &149
     8649end &148
    91988650sat 32
    91998651eat 32
     
    92178669)
    92188670)
    9219 on &158
    9220 )
    9221 *297 (Wire
     8671on &157
     8672)
     8673*276 (Wire
    92228674uid 6787,0
    92238675shape (OrthoPolyLine
     
    92338685]
    92348686)
    9235 start &213
    9236 end &217
     8687start &193
     8688end &197
    92378689sat 32
    92388690eat 1
     
    92568708)
    92578709)
    9258 on &214
    9259 )
    9260 *298 (Wire
     8710on &194
     8711)
     8712*277 (Wire
    92618713uid 6880,0
    92628714shape (OrthoPolyLine
     
    92728724]
    92738725)
    9274 start &217
    9275 end &215
     8726start &197
     8727end &195
    92768728sat 2
    92778729eat 32
     
    92958747)
    92968748)
    9297 on &216
    9298 )
    9299 *299 (Wire
    9300 uid 7102,0
    9301 shape (OrthoPolyLine
    9302 uid 7103,0
    9303 va (VaSet
    9304 vasetType 3
    9305 )
    9306 xt "21000,132000,31000,132000"
    9307 pts [
    9308 "21000,132000"
    9309 "31000,132000"
    9310 ]
    9311 )
    9312 end &221
    9313 sat 16
    9314 eat 1
    9315 st 0
    9316 sf 1
    9317 si 0
    9318 tg (WTG
    9319 uid 7108,0
    9320 ps "ConnStartEndStrategy"
    9321 stg "STSignalDisplayStrategy"
    9322 f (Text
    9323 uid 7109,0
    9324 va (VaSet
    9325 )
    9326 xt "23000,131000,27600,132000"
    9327 st "D0_SROUT"
    9328 blo "23000,131800"
    9329 tm "WireNameMgr"
    9330 )
    9331 )
    9332 on &106
    9333 )
    9334 *300 (Wire
    9335 uid 7110,0
    9336 shape (OrthoPolyLine
    9337 uid 7111,0
    9338 va (VaSet
    9339 vasetType 3
    9340 )
    9341 xt "21000,133000,31000,133000"
    9342 pts [
    9343 "21000,133000"
    9344 "31000,133000"
    9345 ]
    9346 )
    9347 end &221
    9348 sat 16
    9349 eat 1
    9350 st 0
    9351 sf 1
    9352 si 0
    9353 tg (WTG
    9354 uid 7116,0
    9355 ps "ConnStartEndStrategy"
    9356 stg "STSignalDisplayStrategy"
    9357 f (Text
    9358 uid 7117,0
    9359 va (VaSet
    9360 )
    9361 xt "23000,132000,27600,133000"
    9362 st "D1_SROUT"
    9363 blo "23000,132800"
    9364 tm "WireNameMgr"
    9365 )
    9366 )
    9367 on &107
    9368 )
    9369 *301 (Wire
    9370 uid 7118,0
    9371 shape (OrthoPolyLine
    9372 uid 7119,0
    9373 va (VaSet
    9374 vasetType 3
    9375 )
    9376 xt "21000,134000,31000,134000"
    9377 pts [
    9378 "21000,134000"
    9379 "31000,134000"
    9380 ]
    9381 )
    9382 end &221
    9383 sat 16
    9384 eat 1
    9385 st 0
    9386 sf 1
    9387 si 0
    9388 tg (WTG
    9389 uid 7124,0
    9390 ps "ConnStartEndStrategy"
    9391 stg "STSignalDisplayStrategy"
    9392 f (Text
    9393 uid 7125,0
    9394 va (VaSet
    9395 )
    9396 xt "23000,133000,27200,134000"
    9397 st "RSRLOAD"
    9398 blo "23000,133800"
    9399 tm "WireNameMgr"
    9400 )
    9401 )
    9402 on &64
    9403 )
    9404 *302 (Wire
     8749on &196
     8750)
     8751*278 (Wire
    94058752uid 7144,0
    94068753shape (OrthoPolyLine
     
    94168763]
    94178764)
    9418 start &221
    9419 end &225
     8765start &201
     8766end &205
    94208767sat 2
    94218768eat 32
     
    94348781)
    94358782xt "41000,131000,45800,132000"
    9436 st "A1_T : (3:0)"
     8783st "A1_T : (7:0)"
    94378784blo "41000,131800"
    94388785tm "WireNameMgr"
    94398786)
    94408787)
    9441 on &226
    9442 )
    9443 *303 (Wire
     8788on &206
     8789)
     8790*279 (Wire
    94448791uid 7477,0
    94458792shape (OrthoPolyLine
     
    94558802)
    94568803start &38
    9457 end &229
     8804end &209
    94588805es 0
    94598806sat 32
     
    94768823)
    94778824)
    9478 on &227
    9479 )
    9480 *304 (Wire
    9481 uid 7487,0
     8825on &207
     8826)
     8827*280 (Wire
     8828uid 8853,0
    94828829shape (OrthoPolyLine
    9483 uid 7488,0
     8830uid 8854,0
     8831va (VaSet
     8832vasetType 3
     8833lineWidth 2
     8834)
     8835xt "10000,109000,51250,132000"
     8836pts [
     8837"51250,109000"
     8838"10000,109000"
     8839"10000,132000"
     8840"31000,132000"
     8841]
     8842)
     8843start &30
     8844end &201
     8845sat 32
     8846eat 1
     8847sty 1
     8848st 0
     8849sf 1
     8850si 0
     8851tg (WTG
     8852uid 8857,0
     8853ps "ConnStartEndStrategy"
     8854stg "STSignalDisplayStrategy"
     8855f (Text
     8856uid 8858,0
     8857va (VaSet
     8858)
     8859xt "42000,108000,50500,109000"
     8860st "drs_channel_id : (3:0)"
     8861blo "42000,108800"
     8862tm "WireNameMgr"
     8863)
     8864)
     8865on &221
     8866)
     8867*281 (Wire
     8868uid 9492,0
     8869shape (OrthoPolyLine
     8870uid 9493,0
    94848871va (VaSet
    94858872vasetType 3
     
    94918878]
    94928879)
    9493 end &221
     8880end &201
    94948881sat 16
    94958882eat 1
     
    94988885si 0
    94998886tg (WTG
    9500 uid 7493,0
     8887uid 9498,0
    95018888ps "ConnStartEndStrategy"
    95028889stg "STSignalDisplayStrategy"
    95038890f (Text
    9504 uid 7494,0
    9505 va (VaSet
    9506 )
    9507 xt "23000,134000,25700,135000"
    9508 st "dummy"
     8891uid 9499,0
     8892va (VaSet
     8893)
     8894xt "23000,134000,26700,135000"
     8895st "TRG_OR"
    95098896blo "23000,134800"
    95108897tm "WireNameMgr"
    95118898)
    95128899)
    9513 on &227
     8900on &169
     8901)
     8902*282 (Wire
     8903uid 9502,0
     8904shape (OrthoPolyLine
     8905uid 9503,0
     8906va (VaSet
     8907vasetType 3
     8908)
     8909xt "46000,69000,51250,69000"
     8910pts [
     8911"51250,69000"
     8912"46000,69000"
     8913]
     8914)
     8915start &26
     8916sat 32
     8917eat 16
     8918st 0
     8919sf 1
     8920si 0
     8921tg (WTG
     8922uid 9506,0
     8923ps "ConnStartEndStrategy"
     8924stg "STSignalDisplayStrategy"
     8925f (Text
     8926uid 9507,0
     8927va (VaSet
     8928)
     8929xt "47000,68000,50100,69000"
     8930st "CLK_50"
     8931blo "47000,68800"
     8932tm "WireNameMgr"
     8933)
     8934)
     8935on &222
    95148936)
    95158937]
     
    95258947color "26368,26368,26368"
    95268948)
    9527 packageList *305 (PackageList
     8949packageList *283 (PackageList
    95288950uid 41,0
    95298951stg "VerticalLayoutStrategy"
    95308952textVec [
    9531 *306 (Text
     8953*284 (Text
    95328954uid 42,0
    95338955va (VaSet
     
    95388960blo "0,800"
    95398961)
    9540 *307 (MLText
     8962*285 (MLText
    95418963uid 43,0
    95428964va (VaSet
     
    95598981stg "VerticalLayoutStrategy"
    95608982textVec [
    9561 *308 (Text
     8983*286 (Text
    95628984uid 45,0
    95638985va (VaSet
     
    95698991blo "20000,800"
    95708992)
    9571 *309 (Text
     8993*287 (Text
    95728994uid 46,0
    95738995va (VaSet
     
    95799001blo "20000,1800"
    95809002)
    9581 *310 (MLText
     9003*288 (MLText
    95829004uid 47,0
    95839005va (VaSet
     
    95899011tm "BdCompilerDirectivesTextMgr"
    95909012)
    9591 *311 (Text
     9013*289 (Text
    95929014uid 48,0
    95939015va (VaSet
     
    95999021blo "20000,4800"
    96009022)
    9601 *312 (MLText
     9023*290 (MLText
    96029024uid 49,0
    96039025va (VaSet
     
    96079029tm "BdCompilerDirectivesTextMgr"
    96089030)
    9609 *313 (Text
     9031*291 (Text
    96109032uid 50,0
    96119033va (VaSet
     
    96179039blo "20000,5800"
    96189040)
    9619 *314 (MLText
     9041*292 (MLText
    96209042uid 51,0
    96219043va (VaSet
     
    96289050associable 1
    96299051)
    9630 windowSize "0,0,1281,1002"
    9631 viewArea "2340,64220,87220,128140"
    9632 cachedDiagramExtent "-35500,0,699000,450107"
     9052windowSize "0,22,1281,1024"
     9053viewArea "-13800,92200,71080,160280"
     9054cachedDiagramExtent "0,0,699000,450107"
    96339055pageSetupInfo (PageSetupInfo
    96349056ptrCmd ""
     
    96419063)
    96429064hasePageBreakOrigin 1
    9643 pageBreakOrigin "-73000,0"
    9644 lastUid 8751,0
     9065pageBreakOrigin "0,0"
     9066lastUid 9715,0
    96459067defaultCommentText (CommentText
    96469068shape (Rectangle
     
    97049126stg "VerticalLayoutStrategy"
    97059127textVec [
    9706 *315 (Text
     9128*293 (Text
    97079129va (VaSet
    97089130font "Arial,8,1"
     
    97139135tm "BdLibraryNameMgr"
    97149136)
    9715 *316 (Text
     9137*294 (Text
    97169138va (VaSet
    97179139font "Arial,8,1"
     
    97229144tm "BlkNameMgr"
    97239145)
    9724 *317 (Text
     9146*295 (Text
    97259147va (VaSet
    97269148font "Arial,8,1"
     
    97739195stg "VerticalLayoutStrategy"
    97749196textVec [
    9775 *318 (Text
     9197*296 (Text
    97769198va (VaSet
    97779199font "Arial,8,1"
     
    97819203blo "550,4300"
    97829204)
    9783 *319 (Text
     9205*297 (Text
    97849206va (VaSet
    97859207font "Arial,8,1"
     
    97899211blo "550,5300"
    97909212)
    9791 *320 (Text
     9213*298 (Text
    97929214va (VaSet
    97939215font "Arial,8,1"
     
    98389260stg "VerticalLayoutStrategy"
    98399261textVec [
    9840 *321 (Text
     9262*299 (Text
    98419263va (VaSet
    98429264font "Arial,8,1"
     
    98479269tm "BdLibraryNameMgr"
    98489270)
    9849 *322 (Text
     9271*300 (Text
    98509272va (VaSet
    98519273font "Arial,8,1"
     
    98569278tm "CptNameMgr"
    98579279)
    9858 *323 (Text
     9280*301 (Text
    98599281va (VaSet
    98609282font "Arial,8,1"
     
    99109332stg "VerticalLayoutStrategy"
    99119333textVec [
    9912 *324 (Text
     9334*302 (Text
    99139335va (VaSet
    99149336font "Arial,8,1"
     
    99189340blo "500,4300"
    99199341)
    9920 *325 (Text
     9342*303 (Text
    99219343va (VaSet
    99229344font "Arial,8,1"
     
    99269348blo "500,5300"
    99279349)
    9928 *326 (Text
     9350*304 (Text
    99299351va (VaSet
    99309352font "Arial,8,1"
     
    99719393stg "VerticalLayoutStrategy"
    99729394textVec [
    9973 *327 (Text
     9395*305 (Text
    99749396va (VaSet
    99759397font "Arial,8,1"
     
    99799401blo "50,4300"
    99809402)
    9981 *328 (Text
     9403*306 (Text
    99829404va (VaSet
    99839405font "Arial,8,1"
     
    99879409blo "50,5300"
    99889410)
    9989 *329 (Text
     9411*307 (Text
    99909412va (VaSet
    99919413font "Arial,8,1"
     
    100289450stg "VerticalLayoutStrategy"
    100299451textVec [
    10030 *330 (Text
     9452*308 (Text
    100319453va (VaSet
    100329454font "Arial,8,1"
     
    100379459tm "HdlTextNameMgr"
    100389460)
    10039 *331 (Text
     9461*309 (Text
    100409462va (VaSet
    100419463font "Arial,8,1"
     
    104409862stg "VerticalLayoutStrategy"
    104419863textVec [
    10442 *332 (Text
     9864*310 (Text
    104439865va (VaSet
    104449866font "Arial,8,1"
     
    104489870blo "14100,20800"
    104499871)
    10450 *333 (MLText
     9872*311 (MLText
    104519873va (VaSet
    104529874)
     
    105009922stg "VerticalLayoutStrategy"
    105019923textVec [
    10502 *334 (Text
     9924*312 (Text
    105039925va (VaSet
    105049926font "Arial,8,1"
     
    105089930blo "14100,20800"
    105099931)
    10510 *335 (MLText
     9932*313 (MLText
    105119933va (VaSet
    105129934)
     
    1065210074commonDM (CommonDM
    1065310075ldm (LogicalDM
    10654 suid 158,0
     10076suid 163,0
    1065510077usingSuid 1
    10656 emptyRow *336 (LEmptyRow
     10078emptyRow *314 (LEmptyRow
    1065710079)
    1065810080uid 54,0
    1065910081optionalChildren [
    10660 *337 (RefLabelRowHdr
    10661 )
    10662 *338 (TitleRowHdr
    10663 )
    10664 *339 (FilterRowHdr
    10665 )
    10666 *340 (RefLabelColHdr
     10082*315 (RefLabelRowHdr
     10083)
     10084*316 (TitleRowHdr
     10085)
     10086*317 (FilterRowHdr
     10087)
     10088*318 (RefLabelColHdr
    1066710089tm "RefLabelColHdrMgr"
    1066810090)
    10669 *341 (RowExpandColHdr
     10091*319 (RowExpandColHdr
    1067010092tm "RowExpandColHdrMgr"
    1067110093)
    10672 *342 (GroupColHdr
     10094*320 (GroupColHdr
    1067310095tm "GroupColHdrMgr"
    1067410096)
    10675 *343 (NameColHdr
     10097*321 (NameColHdr
    1067610098tm "BlockDiagramNameColHdrMgr"
    1067710099)
    10678 *344 (ModeColHdr
     10100*322 (ModeColHdr
    1067910101tm "BlockDiagramModeColHdrMgr"
    1068010102)
    10681 *345 (TypeColHdr
     10103*323 (TypeColHdr
    1068210104tm "BlockDiagramTypeColHdrMgr"
    1068310105)
    10684 *346 (BoundsColHdr
     10106*324 (BoundsColHdr
    1068510107tm "BlockDiagramBoundsColHdrMgr"
    1068610108)
    10687 *347 (InitColHdr
     10109*325 (InitColHdr
    1068810110tm "BlockDiagramInitColHdrMgr"
    1068910111)
    10690 *348 (EolColHdr
     10112*326 (EolColHdr
    1069110113tm "BlockDiagramEolColHdrMgr"
    1069210114)
    10693 *349 (LeafLogPort
     10115*327 (LeafLogPort
    1069410116port (LogicalPort
    1069510117m 4
     
    1070610128uid 327,0
    1070710129)
    10708 *350 (LeafLogPort
     10130*328 (LeafLogPort
    1070910131port (LogicalPort
    1071010132m 4
     
    1071910141uid 329,0
    1072010142)
    10721 *351 (LeafLogPort
     10143*329 (LeafLogPort
    1072210144port (LogicalPort
    1072310145m 4
     
    1073110153uid 1491,0
    1073210154)
    10733 *352 (LeafLogPort
    10734 port (LogicalPort
    10735 m 4
    10736 decl (Decl
    10737 n "CLK_50"
    10738 t "std_logic"
    10739 preAdd 0
    10740 posAdd 0
    10741 o 51
    10742 suid 54,0
    10743 )
    10744 )
    10745 uid 2275,0
    10746 )
    10747 *353 (LeafLogPort
     10155*330 (LeafLogPort
    1074810156port (LogicalPort
    1074910157m 1
     
    1075810166uid 2435,0
    1075910167)
    10760 *354 (LeafLogPort
     10168*331 (LeafLogPort
    1076110169port (LogicalPort
    1076210170m 4
     
    1077110179uid 2437,0
    1077210180)
    10773 *355 (LeafLogPort
     10181*332 (LeafLogPort
    1077410182port (LogicalPort
    1077510183m 4
     
    1078410192uid 3037,0
    1078510193)
    10786 *356 (LeafLogPort
     10194*333 (LeafLogPort
    1078710195port (LogicalPort
    1078810196m 1
     
    1079610204uid 3039,0
    1079710205)
    10798 *357 (LeafLogPort
     10206*334 (LeafLogPort
    1079910207port (LogicalPort
    1080010208decl (Decl
     
    1080910217uid 3276,0
    1081010218)
    10811 *358 (LeafLogPort
     10219*335 (LeafLogPort
    1081210220port (LogicalPort
    1081310221decl (Decl
     
    1082010228uid 3278,0
    1082110229)
    10822 *359 (LeafLogPort
     10230*336 (LeafLogPort
    1082310231port (LogicalPort
    1082410232m 1
     
    1083310241uid 3280,0
    1083410242)
    10835 *360 (LeafLogPort
     10243*337 (LeafLogPort
    1083610244port (LogicalPort
    1083710245m 4
     
    1084510253uid 3282,0
    1084610254)
    10847 *361 (LeafLogPort
     10255*338 (LeafLogPort
    1084810256port (LogicalPort
    1084910257m 1
     
    1085910267uid 3382,0
    1086010268)
    10861 *362 (LeafLogPort
     10269*339 (LeafLogPort
    1086210270port (LogicalPort
    1086310271decl (Decl
     
    1087110279uid 3384,0
    1087210280)
    10873 *363 (LeafLogPort
     10281*340 (LeafLogPort
    1087410282port (LogicalPort
    1087510283decl (Decl
     
    1088310291uid 3386,0
    1088410292)
    10885 *364 (LeafLogPort
     10293*341 (LeafLogPort
    1088610294port (LogicalPort
    1088710295decl (Decl
     
    1089510303uid 3388,0
    1089610304)
    10897 *365 (LeafLogPort
     10305*342 (LeafLogPort
    1089810306port (LogicalPort
    1089910307decl (Decl
     
    1090710315uid 3390,0
    1090810316)
    10909 *366 (LeafLogPort
     10317*343 (LeafLogPort
    1091010318port (LogicalPort
    1091110319decl (Decl
     
    1091910327uid 3392,0
    1092010328)
    10921 *367 (LeafLogPort
     10329*344 (LeafLogPort
    1092210330port (LogicalPort
    1092310331m 1
     
    1093110339uid 3468,0
    1093210340)
    10933 *368 (LeafLogPort
     10341*345 (LeafLogPort
    1093410342port (LogicalPort
    1093510343m 1
     
    1094310351uid 3470,0
    1094410352)
    10945 *369 (LeafLogPort
     10353*346 (LeafLogPort
    1094610354port (LogicalPort
    1094710355m 1
     
    1095510363uid 3472,0
    1095610364)
    10957 *370 (LeafLogPort
     10365*347 (LeafLogPort
    1095810366port (LogicalPort
    1095910367m 1
     
    1096710375uid 3474,0
    1096810376)
    10969 *371 (LeafLogPort
     10377*348 (LeafLogPort
    1097010378port (LogicalPort
    1097110379decl (Decl
     
    1097810386uid 3524,0
    1097910387)
    10980 *372 (LeafLogPort
     10388*349 (LeafLogPort
    1098110389port (LogicalPort
    1098210390decl (Decl
     
    1098910397uid 3526,0
    1099010398)
    10991 *373 (LeafLogPort
     10399*350 (LeafLogPort
    1099210400port (LogicalPort
    1099310401decl (Decl
     
    1100010408uid 3528,0
    1100110409)
    11002 *374 (LeafLogPort
     10410*351 (LeafLogPort
    1100310411port (LogicalPort
    1100410412decl (Decl
     
    1101110419uid 3530,0
    1101210420)
    11013 *375 (LeafLogPort
     10421*352 (LeafLogPort
    1101410422port (LogicalPort
    1101510423m 1
     
    1102510433uid 3532,0
    1102610434)
    11027 *376 (LeafLogPort
     10435*353 (LeafLogPort
    1102810436port (LogicalPort
    1102910437m 1
     
    1103810446uid 3534,0
    1103910447)
    11040 *377 (LeafLogPort
     10448*354 (LeafLogPort
    1104110449port (LogicalPort
    1104210450m 1
     
    1105010458uid 3646,0
    1105110459)
    11052 *378 (LeafLogPort
     10460*355 (LeafLogPort
    1105310461port (LogicalPort
    1105410462m 1
     
    1106210470uid 3648,0
    1106310471)
    11064 *379 (LeafLogPort
     10472*356 (LeafLogPort
    1106510473port (LogicalPort
    1106610474m 1
     
    1107410482uid 3650,0
    1107510483)
    11076 *380 (LeafLogPort
     10484*357 (LeafLogPort
    1107710485port (LogicalPort
    1107810486m 1
     
    1108610494uid 3652,0
    1108710495)
    11088 *381 (LeafLogPort
     10496*358 (LeafLogPort
    1108910497port (LogicalPort
    1109010498m 1
     
    1109810506uid 3654,0
    1109910507)
    11100 *382 (LeafLogPort
     10508*359 (LeafLogPort
    1110110509port (LogicalPort
    1110210510m 1
     
    1111110519uid 3656,0
    1111210520)
    11113 *383 (LeafLogPort
     10521*360 (LeafLogPort
    1111410522port (LogicalPort
    1111510523m 2
     
    1112410532uid 3658,0
    1112510533)
    11126 *384 (LeafLogPort
     10534*361 (LeafLogPort
    1112710535port (LogicalPort
    1112810536m 1
     
    1113710545uid 3660,0
    1113810546)
    11139 *385 (LeafLogPort
     10547*362 (LeafLogPort
    1114010548port (LogicalPort
    1114110549m 1
     
    1115010558uid 3662,0
    1115110559)
    11152 *386 (LeafLogPort
     10560*363 (LeafLogPort
    1115310561port (LogicalPort
    1115410562m 1
     
    1116310571uid 3664,0
    1116410572)
    11165 *387 (LeafLogPort
     10573*364 (LeafLogPort
    1116610574port (LogicalPort
    1116710575decl (Decl
     
    1117410582uid 3666,0
    1117510583)
    11176 *388 (LeafLogPort
     10584*365 (LeafLogPort
    1117710585port (LogicalPort
    1117810586m 1
     
    1118710595uid 3668,0
    1118810596)
    11189 *389 (LeafLogPort
     10597*366 (LeafLogPort
    1119010598port (LogicalPort
    1119110599m 1
     
    1120010608uid 3696,0
    1120110609)
    11202 *390 (LeafLogPort
     10610*367 (LeafLogPort
    1120310611port (LogicalPort
    1120410612m 2
     
    1121410622uid 3698,0
    1121510623)
    11216 *391 (LeafLogPort
     10624*368 (LeafLogPort
    1121710625port (LogicalPort
    1121810626m 1
     
    1122610634uid 3886,0
    1122710635)
    11228 *392 (LeafLogPort
     10636*369 (LeafLogPort
    1122910637port (LogicalPort
    1123010638m 1
     
    1123810646uid 3888,0
    1123910647)
    11240 *393 (LeafLogPort
     10648*370 (LeafLogPort
    1124110649port (LogicalPort
    1124210650m 1
     
    1125010658uid 3890,0
    1125110659)
    11252 *394 (LeafLogPort
     10660*371 (LeafLogPort
    1125310661port (LogicalPort
    1125410662m 1
     
    1126210670uid 3892,0
    1126310671)
    11264 *395 (LeafLogPort
     10672*372 (LeafLogPort
    1126510673port (LogicalPort
    1126610674m 1
     
    1127410682uid 3894,0
    1127510683)
    11276 *396 (LeafLogPort
     10684*373 (LeafLogPort
    1127710685port (LogicalPort
    1127810686m 1
     
    1128710695uid 3896,0
    1128810696)
    11289 *397 (LeafLogPort
     10697*374 (LeafLogPort
    1129010698port (LogicalPort
    1129110699m 1
     
    1129910707uid 3898,0
    1130010708)
    11301 *398 (LeafLogPort
     10709*375 (LeafLogPort
    1130210710port (LogicalPort
    1130310711m 1
     
    1131110719uid 3900,0
    1131210720)
    11313 *399 (LeafLogPort
     10721*376 (LeafLogPort
    1131410722port (LogicalPort
    1131510723m 1
     
    1132510733uid 3902,0
    1132610734)
    11327 *400 (LeafLogPort
     10735*377 (LeafLogPort
    1132810736port (LogicalPort
    1132910737m 1
     
    1133910747uid 5322,0
    1134010748)
    11341 *401 (LeafLogPort
     10749*378 (LeafLogPort
    1134210750port (LogicalPort
    1134310751decl (Decl
     
    1135110759scheme 0
    1135210760)
    11353 *402 (LeafLogPort
     10761*379 (LeafLogPort
    1135410762port (LogicalPort
    1135510763m 4
     
    1136410772scheme 0
    1136510773)
    11366 *403 (LeafLogPort
    11367 port (LogicalPort
    11368 m 4
    11369 decl (Decl
    11370 n "trigger_out"
    11371 t "STD_LOGIC"
    11372 preAdd 0
    11373 posAdd 0
    11374 o 60
    11375 suid 147,0
    11376 i "'0'"
    11377 )
    11378 )
    11379 uid 6286,0
    11380 )
    11381 *404 (LeafLogPort
    11382 port (LogicalPort
    11383 m 4
    11384 decl (Decl
    11385 n "not_TEST_TRG"
    11386 t "STD_LOGIC"
    11387 o 58
    11388 suid 148,0
    11389 )
    11390 )
    11391 uid 6314,0
    11392 scheme 0
    11393 )
    11394 *405 (LeafLogPort
     10774*380 (LeafLogPort
    1139510775port (LogicalPort
    1139610776decl (Decl
     
    1140510785scheme 0
    1140610786)
    11407 *406 (LeafLogPort
     10787*381 (LeafLogPort
    1140810788port (LogicalPort
    1140910789m 1
     
    1142010800scheme 0
    1142110801)
    11422 *407 (LeafLogPort
     10802*382 (LeafLogPort
    1142310803port (LogicalPort
    1142410804m 1
     
    1142610806n "A1_T"
    1142710807t "std_logic_vector"
    11428 b "(3 DOWNTO 0)"
     10808b "(7 DOWNTO 0)"
    1142910809o 15
    1143010810suid 155,0
     10811i "(OTHERS => '0')"
    1143110812)
    1143210813)
     
    1143410815scheme 0
    1143510816)
    11436 *408 (LeafLogPort
     10817*383 (LeafLogPort
    1143710818port (LogicalPort
    1143810819m 4
     
    1144010821n "dummy"
    1144110822t "std_logic"
    11442 o 60
     10823o 58
    1144310824suid 157,0
    1144410825)
     
    1144610827uid 7473,0
    1144710828scheme 0
     10829)
     10830*384 (LeafLogPort
     10831port (LogicalPort
     10832m 4
     10833decl (Decl
     10834n "drs_channel_id"
     10835t "std_logic_vector"
     10836b "(3 downto 0)"
     10837o 57
     10838suid 159,0
     10839i "(others => '0')"
     10840)
     10841)
     10842uid 8875,0
     10843)
     10844*385 (LeafLogPort
     10845port (LogicalPort
     10846m 4
     10847decl (Decl
     10848n "CLK_50"
     10849t "std_logic"
     10850o 51
     10851suid 163,0
     10852)
     10853)
     10854uid 9516,0
    1144810855)
    1144910856]
     
    1145410861uid 67,0
    1145510862optionalChildren [
    11456 *409 (Sheet
     10863*386 (Sheet
    1145710864sheetRow (SheetRow
    1145810865headerVa (MVa
     
    1147110878font "Tahoma,10,0"
    1147210879)
    11473 emptyMRCItem *410 (MRCItem
    11474 litem &336
    11475 pos 60
     10880emptyMRCItem *387 (MRCItem
     10881litem &314
     10882pos 59
    1147610883dimension 20
    1147710884)
    1147810885uid 69,0
    1147910886optionalChildren [
    11480 *411 (MRCItem
    11481 litem &337
     10887*388 (MRCItem
     10888litem &315
    1148210889pos 0
    1148310890dimension 20
    1148410891uid 70,0
    1148510892)
    11486 *412 (MRCItem
    11487 litem &338
     10893*389 (MRCItem
     10894litem &316
    1148810895pos 1
    1148910896dimension 23
    1149010897uid 71,0
    1149110898)
    11492 *413 (MRCItem
    11493 litem &339
     10899*390 (MRCItem
     10900litem &317
    1149410901pos 2
    1149510902hidden 1
     
    1149710904uid 72,0
    1149810905)
    11499 *414 (MRCItem
    11500 litem &349
    11501 pos 44
     10906*391 (MRCItem
     10907litem &327
     10908pos 49
    1150210909dimension 20
    1150310910uid 328,0
    1150410911)
    11505 *415 (MRCItem
    11506 litem &350
    11507 pos 45
     10912*392 (MRCItem
     10913litem &328
     10914pos 50
    1150810915dimension 20
    1150910916uid 330,0
    1151010917)
    11511 *416 (MRCItem
    11512 litem &351
    11513 pos 46
     10918*393 (MRCItem
     10919litem &329
     10920pos 51
    1151410921dimension 20
    1151510922uid 1492,0
    1151610923)
    11517 *417 (MRCItem
    11518 litem &352
    11519 pos 47
    11520 dimension 20
    11521 uid 2276,0
    11522 )
    11523 *418 (MRCItem
    11524 litem &353
     10924*394 (MRCItem
     10925litem &330
    1152510926pos 0
    1152610927dimension 20
    1152710928uid 2436,0
    1152810929)
    11529 *419 (MRCItem
    11530 litem &354
    11531 pos 48
     10930*395 (MRCItem
     10931litem &331
     10932pos 52
    1153210933dimension 20
    1153310934uid 2438,0
    1153410935)
    11535 *420 (MRCItem
    11536 litem &355
    11537 pos 49
     10936*396 (MRCItem
     10937litem &332
     10938pos 53
    1153810939dimension 20
    1153910940uid 3038,0
    1154010941)
    11541 *421 (MRCItem
    11542 litem &356
     10942*397 (MRCItem
     10943litem &333
    1154310944pos 1
    1154410945dimension 20
    1154510946uid 3040,0
    1154610947)
    11547 *422 (MRCItem
    11548 litem &357
     10948*398 (MRCItem
     10949litem &334
    1154910950pos 2
    1155010951dimension 20
    1155110952uid 3277,0
    1155210953)
    11553 *423 (MRCItem
    11554 litem &358
     10954*399 (MRCItem
     10955litem &335
    1155510956pos 3
    1155610957dimension 20
    1155710958uid 3279,0
    1155810959)
    11559 *424 (MRCItem
    11560 litem &359
     10960*400 (MRCItem
     10961litem &336
    1156110962pos 4
    1156210963dimension 20
    1156310964uid 3281,0
    1156410965)
    11565 *425 (MRCItem
    11566 litem &360
    11567 pos 50
     10966*401 (MRCItem
     10967litem &337
     10968pos 54
    1156810969dimension 20
    1156910970uid 3283,0
    1157010971)
    11571 *426 (MRCItem
    11572 litem &361
     10972*402 (MRCItem
     10973litem &338
    1157310974pos 5
    1157410975dimension 20
    1157510976uid 3383,0
    1157610977)
    11577 *427 (MRCItem
    11578 litem &362
     10978*403 (MRCItem
     10979litem &339
    1157910980pos 6
    1158010981dimension 20
    1158110982uid 3385,0
    1158210983)
    11583 *428 (MRCItem
    11584 litem &363
     10984*404 (MRCItem
     10985litem &340
    1158510986pos 7
    1158610987dimension 20
    1158710988uid 3387,0
    1158810989)
    11589 *429 (MRCItem
    11590 litem &364
     10990*405 (MRCItem
     10991litem &341
    1159110992pos 8
    1159210993dimension 20
    1159310994uid 3389,0
    1159410995)
    11595 *430 (MRCItem
    11596 litem &365
     10996*406 (MRCItem
     10997litem &342
    1159710998pos 9
    1159810999dimension 20
    1159911000uid 3391,0
    1160011001)
    11601 *431 (MRCItem
    11602 litem &366
     11002*407 (MRCItem
     11003litem &343
    1160311004pos 10
    1160411005dimension 20
    1160511006uid 3393,0
    1160611007)
    11607 *432 (MRCItem
    11608 litem &367
     11008*408 (MRCItem
     11009litem &344
    1160911010pos 11
    1161011011dimension 20
    1161111012uid 3469,0
    1161211013)
    11613 *433 (MRCItem
    11614 litem &368
     11014*409 (MRCItem
     11015litem &345
    1161511016pos 12
    1161611017dimension 20
    1161711018uid 3471,0
    1161811019)
    11619 *434 (MRCItem
    11620 litem &369
     11020*410 (MRCItem
     11021litem &346
    1162111022pos 13
    1162211023dimension 20
    1162311024uid 3473,0
    1162411025)
    11625 *435 (MRCItem
    11626 litem &370
     11026*411 (MRCItem
     11027litem &347
    1162711028pos 14
    1162811029dimension 20
    1162911030uid 3475,0
    1163011031)
    11631 *436 (MRCItem
    11632 litem &371
     11032*412 (MRCItem
     11033litem &348
    1163311034pos 15
    1163411035dimension 20
    1163511036uid 3525,0
    1163611037)
    11637 *437 (MRCItem
    11638 litem &372
     11038*413 (MRCItem
     11039litem &349
    1163911040pos 16
    1164011041dimension 20
    1164111042uid 3527,0
    1164211043)
    11643 *438 (MRCItem
    11644 litem &373
     11044*414 (MRCItem
     11045litem &350
    1164511046pos 17
    1164611047dimension 20
    1164711048uid 3529,0
    1164811049)
    11649 *439 (MRCItem
    11650 litem &374
     11050*415 (MRCItem
     11051litem &351
    1165111052pos 18
    1165211053dimension 20
    1165311054uid 3531,0
    1165411055)
    11655 *440 (MRCItem
    11656 litem &375
     11056*416 (MRCItem
     11057litem &352
    1165711058pos 19
    1165811059dimension 20
    1165911060uid 3533,0
    1166011061)
    11661 *441 (MRCItem
    11662 litem &376
     11062*417 (MRCItem
     11063litem &353
    1166311064pos 20
    1166411065dimension 20
    1166511066uid 3535,0
    1166611067)
    11667 *442 (MRCItem
    11668 litem &377
     11068*418 (MRCItem
     11069litem &354
    1166911070pos 21
    1167011071dimension 20
    1167111072uid 3647,0
    1167211073)
    11673 *443 (MRCItem
    11674 litem &378
     11074*419 (MRCItem
     11075litem &355
    1167511076pos 22
    1167611077dimension 20
    1167711078uid 3649,0
    1167811079)
    11679 *444 (MRCItem
    11680 litem &379
     11080*420 (MRCItem
     11081litem &356
    1168111082pos 23
    1168211083dimension 20
    1168311084uid 3651,0
    1168411085)
    11685 *445 (MRCItem
    11686 litem &380
     11086*421 (MRCItem
     11087litem &357
    1168711088pos 24
    1168811089dimension 20
    1168911090uid 3653,0
    1169011091)
    11691 *446 (MRCItem
    11692 litem &381
     11092*422 (MRCItem
     11093litem &358
    1169311094pos 25
    1169411095dimension 20
    1169511096uid 3655,0
    1169611097)
    11697 *447 (MRCItem
    11698 litem &382
     11098*423 (MRCItem
     11099litem &359
    1169911100pos 26
    1170011101dimension 20
    1170111102uid 3657,0
    1170211103)
    11703 *448 (MRCItem
    11704 litem &383
     11104*424 (MRCItem
     11105litem &360
    1170511106pos 27
    1170611107dimension 20
    1170711108uid 3659,0
    1170811109)
    11709 *449 (MRCItem
    11710 litem &384
     11110*425 (MRCItem
     11111litem &361
    1171111112pos 28
    1171211113dimension 20
    1171311114uid 3661,0
    1171411115)
    11715 *450 (MRCItem
    11716 litem &385
     11116*426 (MRCItem
     11117litem &362
    1171711118pos 29
    1171811119dimension 20
    1171911120uid 3663,0
    1172011121)
    11721 *451 (MRCItem
    11722 litem &386
     11122*427 (MRCItem
     11123litem &363
    1172311124pos 30
    1172411125dimension 20
    1172511126uid 3665,0
    1172611127)
    11727 *452 (MRCItem
    11728 litem &387
     11128*428 (MRCItem
     11129litem &364
    1172911130pos 31
    1173011131dimension 20
    1173111132uid 3667,0
    1173211133)
    11733 *453 (MRCItem
    11734 litem &388
     11134*429 (MRCItem
     11135litem &365
    1173511136pos 32
    1173611137dimension 20
    1173711138uid 3669,0
    1173811139)
    11739 *454 (MRCItem
    11740 litem &389
     11140*430 (MRCItem
     11141litem &366
    1174111142pos 33
    1174211143dimension 20
    1174311144uid 3697,0
    1174411145)
    11745 *455 (MRCItem
    11746 litem &390
     11146*431 (MRCItem
     11147litem &367
    1174711148pos 34
    1174811149dimension 20
    1174911150uid 3699,0
    1175011151)
    11751 *456 (MRCItem
    11752 litem &391
     11152*432 (MRCItem
     11153litem &368
    1175311154pos 35
    1175411155dimension 20
    1175511156uid 3887,0
    1175611157)
    11757 *457 (MRCItem
    11758 litem &392
     11158*433 (MRCItem
     11159litem &369
    1175911160pos 36
    1176011161dimension 20
    1176111162uid 3889,0
    1176211163)
    11763 *458 (MRCItem
    11764 litem &393
     11164*434 (MRCItem
     11165litem &370
    1176511166pos 37
    1176611167dimension 20
    1176711168uid 3891,0
    1176811169)
    11769 *459 (MRCItem
    11770 litem &394
     11170*435 (MRCItem
     11171litem &371
    1177111172pos 38
    1177211173dimension 20
    1177311174uid 3893,0
    1177411175)
    11775 *460 (MRCItem
    11776 litem &395
     11176*436 (MRCItem
     11177litem &372
    1177711178pos 39
    1177811179dimension 20
    1177911180uid 3895,0
    1178011181)
    11781 *461 (MRCItem
    11782 litem &396
     11182*437 (MRCItem
     11183litem &373
    1178311184pos 40
    1178411185dimension 20
    1178511186uid 3897,0
    1178611187)
    11787 *462 (MRCItem
    11788 litem &397
     11188*438 (MRCItem
     11189litem &374
    1178911190pos 41
    1179011191dimension 20
    1179111192uid 3899,0
    1179211193)
    11793 *463 (MRCItem
    11794 litem &398
     11194*439 (MRCItem
     11195litem &375
    1179511196pos 42
    1179611197dimension 20
    1179711198uid 3901,0
    1179811199)
    11799 *464 (MRCItem
    11800 litem &399
     11200*440 (MRCItem
     11201litem &376
    1180111202pos 43
    1180211203dimension 20
    1180311204uid 3903,0
    1180411205)
    11805 *465 (MRCItem
    11806 litem &400
    11807 pos 51
     11206*441 (MRCItem
     11207litem &377
     11208pos 44
    1180811209dimension 20
    1180911210uid 5323,0
    1181011211)
    11811 *466 (MRCItem
    11812 litem &401
    11813 pos 52
     11212*442 (MRCItem
     11213litem &378
     11214pos 45
    1181411215dimension 20
    1181511216uid 5649,0
    1181611217)
    11817 *467 (MRCItem
    11818 litem &402
    11819 pos 53
     11218*443 (MRCItem
     11219litem &379
     11220pos 55
    1182011221dimension 20
    1182111222uid 6129,0
    1182211223)
    11823 *468 (MRCItem
    11824 litem &403
    11825 pos 54
     11224*444 (MRCItem
     11225litem &380
     11226pos 46
    1182611227dimension 20
    11827 uid 6287,0
    11828 )
    11829 *469 (MRCItem
    11830 litem &404
    11831 pos 55
     11228uid 6778,0
     11229)
     11230*445 (MRCItem
     11231litem &381
     11232pos 47
    1183211233dimension 20
    11833 uid 6315,0
    11834 )
    11835 *470 (MRCItem
    11836 litem &405
     11234uid 6873,0
     11235)
     11236*446 (MRCItem
     11237litem &382
     11238pos 48
     11239dimension 20
     11240uid 7135,0
     11241)
     11242*447 (MRCItem
     11243litem &383
    1183711244pos 56
    1183811245dimension 20
    11839 uid 6778,0
    11840 )
    11841 *471 (MRCItem
    11842 litem &406
     11246uid 7474,0
     11247)
     11248*448 (MRCItem
     11249litem &384
    1184311250pos 57
    1184411251dimension 20
    11845 uid 6873,0
    11846 )
    11847 *472 (MRCItem
    11848 litem &407
     11252uid 8876,0
     11253)
     11254*449 (MRCItem
     11255litem &385
    1184911256pos 58
    1185011257dimension 20
    11851 uid 7135,0
    11852 )
    11853 *473 (MRCItem
    11854 litem &408
    11855 pos 59
    11856 dimension 20
    11857 uid 7474,0
     11258uid 9517,0
    1185811259)
    1185911260]
     
    1186811269uid 73,0
    1186911270optionalChildren [
    11870 *474 (MRCItem
    11871 litem &340
     11271*450 (MRCItem
     11272litem &318
    1187211273pos 0
    1187311274dimension 20
    1187411275uid 74,0
    1187511276)
    11876 *475 (MRCItem
    11877 litem &342
     11277*451 (MRCItem
     11278litem &320
    1187811279pos 1
    1187911280dimension 50
    1188011281uid 75,0
    1188111282)
    11882 *476 (MRCItem
    11883 litem &343
     11283*452 (MRCItem
     11284litem &321
    1188411285pos 2
    1188511286dimension 100
    1188611287uid 76,0
    1188711288)
    11888 *477 (MRCItem
    11889 litem &344
     11289*453 (MRCItem
     11290litem &322
    1189011291pos 3
    1189111292dimension 50
    1189211293uid 77,0
    1189311294)
    11894 *478 (MRCItem
    11895 litem &345
     11295*454 (MRCItem
     11296litem &323
    1189611297pos 4
    1189711298dimension 100
    1189811299uid 78,0
    1189911300)
    11900 *479 (MRCItem
    11901 litem &346
     11301*455 (MRCItem
     11302litem &324
    1190211303pos 5
    1190311304dimension 100
    1190411305uid 79,0
    1190511306)
    11906 *480 (MRCItem
    11907 litem &347
     11307*456 (MRCItem
     11308litem &325
    1190811309pos 6
    1190911310dimension 92
    1191011311uid 80,0
    1191111312)
    11912 *481 (MRCItem
    11913 litem &348
     11313*457 (MRCItem
     11314litem &326
    1191411315pos 7
    1191511316dimension 80
     
    1193111332genericsCommonDM (CommonDM
    1193211333ldm (LogicalDM
    11933 emptyRow *482 (LEmptyRow
     11334emptyRow *458 (LEmptyRow
    1193411335)
    1193511336uid 83,0
    1193611337optionalChildren [
    11937 *483 (RefLabelRowHdr
    11938 )
    11939 *484 (TitleRowHdr
    11940 )
    11941 *485 (FilterRowHdr
    11942 )
    11943 *486 (RefLabelColHdr
     11338*459 (RefLabelRowHdr
     11339)
     11340*460 (TitleRowHdr
     11341)
     11342*461 (FilterRowHdr
     11343)
     11344*462 (RefLabelColHdr
    1194411345tm "RefLabelColHdrMgr"
    1194511346)
    11946 *487 (RowExpandColHdr
     11347*463 (RowExpandColHdr
    1194711348tm "RowExpandColHdrMgr"
    1194811349)
    11949 *488 (GroupColHdr
     11350*464 (GroupColHdr
    1195011351tm "GroupColHdrMgr"
    1195111352)
    11952 *489 (NameColHdr
     11353*465 (NameColHdr
    1195311354tm "GenericNameColHdrMgr"
    1195411355)
    11955 *490 (TypeColHdr
     11356*466 (TypeColHdr
    1195611357tm "GenericTypeColHdrMgr"
    1195711358)
    11958 *491 (InitColHdr
     11359*467 (InitColHdr
    1195911360tm "GenericValueColHdrMgr"
    1196011361)
    11961 *492 (PragmaColHdr
     11362*468 (PragmaColHdr
    1196211363tm "GenericPragmaColHdrMgr"
    1196311364)
    11964 *493 (EolColHdr
     11365*469 (EolColHdr
    1196511366tm "GenericEolColHdrMgr"
    1196611367)
     
    1197211373uid 95,0
    1197311374optionalChildren [
    11974 *494 (Sheet
     11375*470 (Sheet
    1197511376sheetRow (SheetRow
    1197611377headerVa (MVa
     
    1198911390font "Tahoma,10,0"
    1199011391)
    11991 emptyMRCItem *495 (MRCItem
    11992 litem &482
     11392emptyMRCItem *471 (MRCItem
     11393litem &458
    1199311394pos 0
    1199411395dimension 20
     
    1199611397uid 97,0
    1199711398optionalChildren [
    11998 *496 (MRCItem
    11999 litem &483
     11399*472 (MRCItem
     11400litem &459
    1200011401pos 0
    1200111402dimension 20
    1200211403uid 98,0
    1200311404)
    12004 *497 (MRCItem
    12005 litem &484
     11405*473 (MRCItem
     11406litem &460
    1200611407pos 1
    1200711408dimension 23
    1200811409uid 99,0
    1200911410)
    12010 *498 (MRCItem
    12011 litem &485
     11411*474 (MRCItem
     11412litem &461
    1201211413pos 2
    1201311414hidden 1
     
    1202611427uid 101,0
    1202711428optionalChildren [
    12028 *499 (MRCItem
    12029 litem &486
     11429*475 (MRCItem
     11430litem &462
    1203011431pos 0
    1203111432dimension 20
    1203211433uid 102,0
    1203311434)
    12034 *500 (MRCItem
    12035 litem &488
     11435*476 (MRCItem
     11436litem &464
    1203611437pos 1
    1203711438dimension 50
    1203811439uid 103,0
    1203911440)
    12040 *501 (MRCItem
    12041 litem &489
     11441*477 (MRCItem
     11442litem &465
    1204211443pos 2
    1204311444dimension 100
    1204411445uid 104,0
    1204511446)
    12046 *502 (MRCItem
    12047 litem &490
     11447*478 (MRCItem
     11448litem &466
    1204811449pos 3
    1204911450dimension 100
    1205011451uid 105,0
    1205111452)
    12052 *503 (MRCItem
    12053 litem &491
     11453*479 (MRCItem
     11454litem &467
    1205411455pos 4
    1205511456dimension 50
    1205611457uid 106,0
    1205711458)
    12058 *504 (MRCItem
    12059 litem &492
     11459*480 (MRCItem
     11460litem &468
    1206011461pos 5
    1206111462dimension 50
    1206211463uid 107,0
    1206311464)
    12064 *505 (MRCItem
    12065 litem &493
     11465*481 (MRCItem
     11466litem &469
    1206611467pos 6
    1206711468dimension 80
     
    1208211483type 1
    1208311484)
    12084 activeModelName "BlockDiag"
    12085 )
     11485activeModelName "BlockDiag:CDM"
     11486)
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd.bak

    r246 r252  
    2626instances [
    2727(Instance
    28 name "I_testboard_main"
     28name "I_board_main"
    2929duLibraryName "FACT_FAD_lib"
    3030duName "FAD_main"
     
    3838mwi 0
    3939uid 169,0
    40 )
    41 (Instance
    42 name "I0"
    43 duLibraryName "FACT_FAD_LIB"
    44 duName "debouncer"
    45 elements [
    46 (GiElement
    47 name "WIDTH"
    48 type "INTEGER"
    49 value "17"
    50 )
    51 ]
    52 mwi 0
    53 uid 6250,0
    54 )
    55 (Instance
    56 name "I1"
    57 duLibraryName "moduleware"
    58 duName "inv"
    59 elements [
    60 ]
    61 mwi 1
    62 uid 6539,0
    6340)
    6441(Instance
     
    128105(vvPair
    129106variable "HDLDir"
    130 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hdl"
     107value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    131108)
    132109(vvPair
    133110variable "HDSDir"
    134 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds"
     111value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    135112)
    136113(vvPair
    137114variable "SideDataDesignDir"
    138 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
     115value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
    139116)
    140117(vvPair
    141118variable "SideDataUserDir"
    142 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
     119value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
    143120)
    144121(vvPair
    145122variable "SourceDir"
    146 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds"
     123value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    147124)
    148125(vvPair
     
    160137(vvPair
    161138variable "d"
    162 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     139value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    163140)
    164141(vvPair
    165142variable "d_logical"
    166 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\FAD_Board"
     143value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_Board"
    167144)
    168145(vvPair
    169146variable "date"
    170 value "22.06.2010"
     147value "14.07.2010"
    171148)
    172149(vvPair
    173150variable "day"
    174 value "Di"
     151value "Mi"
    175152)
    176153(vvPair
    177154variable "day_long"
    178 value "Dienstag"
     155value "Mittwoch"
    179156)
    180157(vvPair
    181158variable "dd"
    182 value "22"
     159value "14"
    183160)
    184161(vvPair
     
    208185(vvPair
    209186variable "host"
    210 value "TU-CC4900F8C7D2"
     187value "E5B-LABOR6"
    211188)
    212189(vvPair
     
    219196)
    220197(vvPair
     198variable "library_downstream_HdsLintPlugin"
     199value "$HDS_PROJECT_DIR\\FACT_FAD_lib\\designcheck"
     200)
     201(vvPair
    221202variable "library_downstream_ISEPARInvoke"
    222203value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
     
    236217(vvPair
    237218variable "mm"
    238 value "06"
     219value "07"
    239220)
    240221(vvPair
     
    244225(vvPair
    245226variable "month"
    246 value "Jun"
     227value "Jul"
    247228)
    248229(vvPair
    249230variable "month_long"
    250 value "Juni"
     231value "Juli"
    251232)
    252233(vvPair
    253234variable "p"
    254 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
     235value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
    255236)
    256237(vvPair
    257238variable "p_logical"
    258 value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
     239value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
    259240)
    260241(vvPair
     
    312293(vvPair
    313294variable "time"
    314 value "11:16:21"
     295value "15:24:46"
    315296)
    316297(vvPair
     
    364345bg "0,0,32768"
    365346)
    366 xt "99200,4000,108700,5000"
     347xt "99200,4000,108500,5000"
    367348st "
    368349by %user on %dd %month %year
     
    18311812font "Arial,8,1"
    18321813)
    1833 xt "52200,125000,59400,126000"
    1834 st "I_testboard_main"
     1814xt "52200,125000,58000,126000"
     1815st "I_board_main"
    18351816blo "52200,125800"
    18361817tm "InstanceNameMgr"
     
    20652046preAdd 0
    20662047posAdd 0
    2067 o 55
     2048o 56
    20682049suid 5,0
    20692050)
     
    20732054font "Courier New,8,0"
    20742055)
    2075 xt "39000,48000,67000,48800"
     2056xt "39000,48800,67000,49600"
    20762057st "SIGNAL board_id       : std_logic_vector(3 downto 0)"
    20772058)
     
    20832064t "std_logic_vector"
    20842065b "(1 downto 0)"
    2085 o 56
     2066o 57
    20862067suid 6,0
    20872068)
     
    20912072font "Courier New,8,0"
    20922073)
    2093 xt "39000,48800,67000,49600"
     2074xt "39000,49600,67000,50400"
    20942075st "SIGNAL crate_id       : std_logic_vector(1 downto 0)"
    20952076)
     
    24062387n "adc_data_array"
    24072388t "adc_data_array_type"
    2408 o 54
     2389o 55
    24092390suid 29,0
    24102391)
     
    24142395font "Courier New,8,0"
    24152396)
    2416 xt "39000,47200,62500,48000"
     2397xt "39000,48000,62500,48800"
    24172398st "SIGNAL adc_data_array : adc_data_array_type"
    24182399)
    24192400)
    24202401*63 (Net
    2421 uid 2267,0
    2422 decl (Decl
    2423 n "CLK_50"
    2424 t "std_logic"
    2425 preAdd 0
    2426 posAdd 0
    2427 o 51
    2428 suid 54,0
    2429 )
    2430 declText (MLText
    2431 uid 2268,0
    2432 va (VaSet
    2433 font "Courier New,8,0"
    2434 )
    2435 xt "39000,44800,57000,45600"
    2436 st "SIGNAL CLK_50         : std_logic"
    2437 )
    2438 )
    2439 *64 (Net
    24402402uid 2407,0
    24412403decl (Decl
    24422404n "RSRLOAD"
    24432405t "std_logic"
    2444 o 35
     2406o 36
    24452407suid 57,0
    24462408i "'0'"
     
    24512413font "Courier New,8,0"
    24522414)
    2453 xt "39000,31000,68000,31800"
     2415xt "39000,31800,68000,32600"
    24542416st "RSRLOAD        : std_logic                      := '0'"
    24552417)
    24562418)
    2457 *65 (PortIoOut
     2419*64 (PortIoOut
    24582420uid 2415,0
    24592421shape (CompositeShape
     
    25002462)
    25012463)
    2502 *66 (Net
     2464*65 (Net
    25032465uid 2421,0
    25042466decl (Decl
    25052467n "SRCLK"
    25062468t "std_logic"
    2507 o 52
     2469o 53
    25082470suid 58,0
    25092471i "'0'"
     
    25142476font "Courier New,8,0"
    25152477)
    2516 xt "39000,45600,71500,46400"
     2478xt "39000,46400,71500,47200"
    25172479st "SIGNAL SRCLK          : std_logic                      := '0'"
    25182480)
    25192481)
    2520 *67 (Net
     2482*66 (Net
    25212483uid 3019,0
    25222484decl (Decl
     
    25242486t "std_logic_vector"
    25252487b "(3 DOWNTO 0)"
    2526 o 59
     2488o 60
    25272489suid 65,0
    25282490)
     
    25322494font "Courier New,8,0"
    25332495)
    2534 xt "39000,51200,67000,52000"
     2496xt "39000,52000,67000,52800"
    25352497st "SIGNAL sensor_cs      : std_logic_vector(3 DOWNTO 0)"
    25362498)
    25372499)
    2538 *68 (Net
     2500*67 (Net
    25392501uid 3025,0
    25402502decl (Decl
    25412503n "DAC_CS"
    25422504t "std_logic"
    2543 o 21
     2505o 22
    25442506suid 66,0
    25452507)
     
    25492511font "Courier New,8,0"
    25502512)
    2551 xt "39000,19800,53500,20600"
     2513xt "39000,20600,53500,21400"
    25522514st "DAC_CS         : std_logic"
    25532515)
    25542516)
    2555 *69 (PortIoOut
     2517*68 (PortIoOut
    25562518uid 3153,0
    25572519shape (CompositeShape
     
    25982560)
    25992561)
    2600 *70 (Net
     2562*69 (Net
    26012563uid 3216,0
    26022564decl (Decl
     
    26172579)
    26182580)
    2619 *71 (Net
     2581*70 (Net
    26202582uid 3226,0
    26212583decl (Decl
     
    26342596)
    26352597)
    2636 *72 (HdlText
     2598*71 (HdlText
    26372599uid 3248,0
    26382600optionalChildren [
    2639 *73 (EmbeddedText
     2601*72 (EmbeddedText
    26402602uid 3254,0
    26412603commentText (CommentText
     
    26892651stg "VerticalLayoutStrategy"
    26902652textVec [
    2691 *74 (Text
     2653*73 (Text
    26922654uid 3251,0
    26932655va (VaSet
     
    26992661tm "HdlTextNameMgr"
    27002662)
    2701 *75 (Text
     2663*74 (Text
    27022664uid 3252,0
    27032665va (VaSet
     
    27252687viewiconposition 0
    27262688)
    2727 *76 (Net
     2689*75 (Net
    27282690uid 3266,0
    27292691decl (Decl
     
    27312693t "std_logic_vector"
    27322694b "(3 downto 0)"
    2733 o 16
     2695o 17
    27342696suid 71,0
    27352697)
     
    27392701font "Courier New,8,0"
    27402702)
    2741 xt "39000,15800,63500,16600"
     2703xt "39000,16600,63500,17400"
    27422704st "A_CLK          : std_logic_vector(3 downto 0)"
    27432705)
    27442706)
    2745 *77 (Net
     2707*76 (Net
    27462708uid 3268,0
    27472709decl (Decl
    27482710n "CLK_25_PS"
    27492711t "std_logic"
    2750 o 50
     2712o 51
    27512713suid 72,0
    27522714)
     
    27562718font "Courier New,8,0"
    27572719)
    2758 xt "39000,44000,57000,44800"
     2720xt "39000,44800,57000,45600"
    27592721st "SIGNAL CLK_25_PS      : std_logic"
    27602722)
    27612723)
    2762 *78 (PortIoOut
     2724*77 (PortIoOut
    27632725uid 3284,0
    27642726shape (CompositeShape
     
    28052767)
    28062768)
    2807 *79 (Net
     2769*78 (Net
    28082770uid 3290,0
    28092771decl (Decl
     
    28122774preAdd 0
    28132775posAdd 0
    2814 o 30
     2776o 31
    28152777suid 73,0
    28162778)
     
    28202782font "Courier New,8,0"
    28212783)
    2822 xt "39000,27000,53500,27800"
     2784xt "39000,27800,53500,28600"
    28232785st "OE_ADC         : STD_LOGIC"
    28242786)
    28252787)
    2826 *80 (PortIoIn
     2788*79 (PortIoIn
    28272789uid 3292,0
    28282790shape (CompositeShape
     
    28692831)
    28702832)
    2871 *81 (Net
     2833*80 (Net
    28722834uid 3298,0
    28732835decl (Decl
     
    28872849)
    28882850)
    2889 *82 (HdlText
     2851*81 (HdlText
    28902852uid 3300,0
    28912853optionalChildren [
    2892 *83 (EmbeddedText
     2854*82 (EmbeddedText
    28932855uid 3306,0
    28942856commentText (CommentText
     
    29422904stg "VerticalLayoutStrategy"
    29432905textVec [
    2944 *84 (Text
     2906*83 (Text
    29452907uid 3303,0
    29462908va (VaSet
     
    29522914tm "HdlTextNameMgr"
    29532915)
    2954 *85 (Text
     2916*84 (Text
    29552917uid 3304,0
    29562918va (VaSet
     
    29782940viewiconposition 0
    29792941)
    2980 *86 (PortIoIn
     2942*85 (PortIoIn
    29812943uid 3310,0
    29822944shape (CompositeShape
     
    30232985)
    30242986)
    3025 *87 (PortIoIn
     2987*86 (PortIoIn
    30262988uid 3332,0
    30272989shape (CompositeShape
     
    30683030)
    30693031)
    3070 *88 (PortIoIn
     3032*87 (PortIoIn
    30713033uid 3338,0
    30723034shape (CompositeShape
     
    31133075)
    31143076)
    3115 *89 (PortIoIn
     3077*88 (PortIoIn
    31163078uid 3344,0
    31173079shape (CompositeShape
     
    31583120)
    31593121)
    3160 *90 (Net
     3122*89 (Net
    31613123uid 3374,0
    31623124decl (Decl
     
    31763138)
    31773139)
    3178 *91 (Net
     3140*90 (Net
    31793141uid 3376,0
    31803142decl (Decl
     
    31943156)
    31953157)
    3196 *92 (Net
     3158*91 (Net
    31973159uid 3378,0
    31983160decl (Decl
     
    32123174)
    32133175)
    3214 *93 (Net
     3176*92 (Net
    32153177uid 3380,0
    32163178decl (Decl
     
    32303192)
    32313193)
    3232 *94 (HdlText
     3194*93 (HdlText
    32333195uid 3394,0
    32343196optionalChildren [
    3235 *95 (EmbeddedText
     3197*94 (EmbeddedText
    32363198uid 3400,0
    32373199commentText (CommentText
     
    32853247stg "VerticalLayoutStrategy"
    32863248textVec [
    3287 *96 (Text
     3249*95 (Text
    32883250uid 3397,0
    32893251va (VaSet
     
    32953257tm "HdlTextNameMgr"
    32963258)
    3297 *97 (Text
     3259*96 (Text
    32983260uid 3398,0
    32993261va (VaSet
     
    33213283viewiconposition 0
    33223284)
    3323 *98 (Net
     3285*97 (Net
    33243286uid 3460,0
    33253287decl (Decl
    33263288n "D0_SRCLK"
    33273289t "STD_LOGIC"
    3328 o 17
     3290o 18
    33293291suid 87,0
    33303292)
     
    33343296font "Courier New,8,0"
    33353297)
    3336 xt "39000,16600,53500,17400"
     3298xt "39000,17400,53500,18200"
    33373299st "D0_SRCLK       : STD_LOGIC"
    33383300)
    33393301)
    3340 *99 (Net
     3302*98 (Net
    33413303uid 3462,0
    33423304decl (Decl
    33433305n "D1_SRCLK"
    33443306t "STD_LOGIC"
    3345 o 18
     3307o 19
    33463308suid 88,0
    33473309)
     
    33513313font "Courier New,8,0"
    33523314)
    3353 xt "39000,17400,53500,18200"
     3315xt "39000,18200,53500,19000"
    33543316st "D1_SRCLK       : STD_LOGIC"
    33553317)
    33563318)
    3357 *100 (Net
     3319*99 (Net
    33583320uid 3464,0
    33593321decl (Decl
    33603322n "D2_SRCLK"
    33613323t "STD_LOGIC"
    3362 o 19
     3324o 20
    33633325suid 89,0
    33643326)
     
    33683330font "Courier New,8,0"
    33693331)
    3370 xt "39000,18200,53500,19000"
     3332xt "39000,19000,53500,19800"
    33713333st "D2_SRCLK       : STD_LOGIC"
    33723334)
    33733335)
    3374 *101 (Net
     3336*100 (Net
    33753337uid 3466,0
    33763338decl (Decl
    33773339n "D3_SRCLK"
    33783340t "STD_LOGIC"
    3379 o 20
     3341o 21
    33803342suid 90,0
    33813343)
     
    33853347font "Courier New,8,0"
    33863348)
    3387 xt "39000,19000,53500,19800"
     3349xt "39000,19800,53500,20600"
    33883350st "D3_SRCLK       : STD_LOGIC"
    33893351)
    33903352)
    3391 *102 (PortIoIn
     3353*101 (PortIoIn
    33923354uid 3476,0
    33933355shape (CompositeShape
     
    34343396)
    34353397)
    3436 *103 (PortIoIn
     3398*102 (PortIoIn
    34373399uid 3482,0
    34383400shape (CompositeShape
     
    34793441)
    34803442)
    3481 *104 (PortIoIn
     3443*103 (PortIoIn
    34823444uid 3488,0
    34833445shape (CompositeShape
     
    35243486)
    35253487)
    3526 *105 (PortIoIn
     3488*104 (PortIoIn
    35273489uid 3494,0
    35283490shape (CompositeShape
     
    35693531)
    35703532)
    3571 *106 (Net
     3533*105 (Net
    35723534uid 3500,0
    35733535decl (Decl
     
    35863548)
    35873549)
    3588 *107 (Net
     3550*106 (Net
    35893551uid 3502,0
    35903552decl (Decl
     
    36033565)
    36043566)
    3605 *108 (Net
     3567*107 (Net
    36063568uid 3504,0
    36073569decl (Decl
     
    36203582)
    36213583)
    3622 *109 (Net
     3584*108 (Net
    36233585uid 3506,0
    36243586decl (Decl
     
    36373599)
    36383600)
    3639 *110 (PortIoOut
     3601*109 (PortIoOut
    36403602uid 3508,0
    36413603shape (CompositeShape
     
    36503612sl 0
    36513613ro 90
    3652 xt "19000,108625,20500,109375"
     3614xt "4000,133625,5500,134375"
    36533615)
    36543616(Line
     
    36563618sl 0
    36573619ro 90
    3658 xt "20500,109000,21000,109000"
    3659 pts [
    3660 "21000,109000"
    3661 "20500,109000"
     3620xt "5500,134000,6000,134000"
     3621pts [
     3622"6000,134000"
     3623"5500,134000"
    36623624]
    36633625)
     
    36743636va (VaSet
    36753637)
    3676 xt "16100,108500,18000,109500"
     3638xt "1100,133500,3000,134500"
    36773639st "D_A"
    36783640ju 2
    3679 blo "18000,109300"
    3680 tm "WireNameMgr"
    3681 )
    3682 )
    3683 )
    3684 *111 (Net
     3641blo "3000,134300"
     3642tm "WireNameMgr"
     3643)
     3644)
     3645)
     3646*110 (Net
    36853647uid 3514,0
    36863648decl (Decl
     
    36883650t "std_logic_vector"
    36893651b "(3 DOWNTO 0)"
    3690 o 24
     3652o 25
    36913653suid 95,0
    36923654i "(others => '0')"
     
    36973659font "Courier New,8,0"
    36983660)
    3699 xt "39000,22200,74000,23000"
     3661xt "39000,23000,74000,23800"
    37003662st "D_A            : std_logic_vector(3 DOWNTO 0)   := (others => '0')"
    37013663)
    37023664)
    3703 *112 (PortIoOut
     3665*111 (PortIoOut
    37043666uid 3516,0
    37053667shape (CompositeShape
     
    37463708)
    37473709)
    3748 *113 (Net
     3710*112 (Net
    37493711uid 3522,0
    37503712decl (Decl
    37513713n "DWRITE"
    37523714t "std_logic"
    3753 o 23
     3715o 24
    37543716suid 96,0
    37553717i "'0'"
     
    37603722font "Courier New,8,0"
    37613723)
    3762 xt "39000,21400,68000,22200"
     3724xt "39000,22200,68000,23000"
    37633725st "DWRITE         : std_logic                      := '0'"
    37643726)
    37653727)
    3766 *114 (PortIoOut
     3728*113 (PortIoOut
    37673729uid 3536,0
    37683730shape (CompositeShape
     
    38083770)
    38093771)
    3810 *115 (HdlText
     3772*114 (HdlText
    38113773uid 3542,0
    38123774optionalChildren [
    3813 *116 (EmbeddedText
     3775*115 (EmbeddedText
    38143776uid 3612,0
    38153777commentText (CommentText
     
    38633825stg "VerticalLayoutStrategy"
    38643826textVec [
    3865 *117 (Text
     3827*116 (Text
    38663828uid 3545,0
    38673829va (VaSet
     
    38733835tm "HdlTextNameMgr"
    38743836)
    3875 *118 (Text
     3837*117 (Text
    38763838uid 3546,0
    38773839va (VaSet
     
    38993861viewiconposition 0
    39003862)
    3901 *119 (PortIoOut
     3863*118 (PortIoOut
    39023864uid 3548,0
    39033865shape (CompositeShape
     
    39433905)
    39443906)
    3945 *120 (PortIoOut
     3907*119 (PortIoOut
    39463908uid 3554,0
    39473909shape (CompositeShape
     
    39873949)
    39883950)
    3989 *121 (PortIoOut
     3951*120 (PortIoOut
    39903952uid 3560,0
    39913953shape (CompositeShape
     
    40313993)
    40323994)
    4033 *122 (PortIoOut
     3995*121 (PortIoOut
    40343996uid 3566,0
    40353997shape (CompositeShape
     
    40754037)
    40764038)
    4077 *123 (Net
     4039*122 (Net
    40784040uid 3604,0
    40794041decl (Decl
    40804042n "T0_CS"
    40814043t "std_logic"
    4082 o 38
     4044o 39
    40834045suid 101,0
    40844046)
     
    40884050font "Courier New,8,0"
    40894051)
    4090 xt "39000,33400,53500,34200"
     4052xt "39000,34200,53500,35000"
    40914053st "T0_CS          : std_logic"
    40924054)
    40934055)
    4094 *124 (Net
     4056*123 (Net
    40954057uid 3606,0
    40964058decl (Decl
    40974059n "T1_CS"
    40984060t "std_logic"
    4099 o 39
     4061o 40
    41004062suid 102,0
    41014063)
     
    41054067font "Courier New,8,0"
    41064068)
    4107 xt "39000,34200,53500,35000"
     4069xt "39000,35000,53500,35800"
    41084070st "T1_CS          : std_logic"
    41094071)
    41104072)
    4111 *125 (Net
     4073*124 (Net
    41124074uid 3608,0
    41134075decl (Decl
    41144076n "T2_CS"
    41154077t "std_logic"
    4116 o 40
     4078o 41
    41174079suid 103,0
    41184080)
     
    41224084font "Courier New,8,0"
    41234085)
    4124 xt "39000,35000,53500,35800"
     4086xt "39000,35800,53500,36600"
    41254087st "T2_CS          : std_logic"
    41264088)
    41274089)
    4128 *126 (Net
     4090*125 (Net
    41294091uid 3610,0
    41304092decl (Decl
    41314093n "T3_CS"
    41324094t "std_logic"
    4133 o 41
     4095o 42
    41344096suid 104,0
    41354097)
     
    41394101font "Courier New,8,0"
    41404102)
    4141 xt "39000,35800,53500,36600"
     4103xt "39000,36600,53500,37400"
    41424104st "T3_CS          : std_logic"
    41434105)
    41444106)
    4145 *127 (PortIoOut
     4107*126 (PortIoOut
    41464108uid 3624,0
    41474109shape (CompositeShape
     
    41874149)
    41884150)
    4189 *128 (Net
     4151*127 (Net
    41904152uid 3630,0
    41914153decl (Decl
    41924154n "S_CLK"
    41934155t "std_logic"
    4194 o 37
     4156o 38
    41954157suid 105,0
    41964158)
     
    42004162font "Courier New,8,0"
    42014163)
    4202 xt "39000,32600,53500,33400"
     4164xt "39000,33400,53500,34200"
    42034165st "S_CLK          : std_logic"
    42044166)
    42054167)
    4206 *129 (Net
     4168*128 (Net
    42074169uid 3632,0
    42084170decl (Decl
     
    42104172t "std_logic_vector"
    42114173b "(9 DOWNTO 0)"
    4212 o 43
     4174o 44
    42134175suid 106,0
    42144176)
     
    42184180font "Courier New,8,0"
    42194181)
    4220 xt "39000,37400,63500,38200"
     4182xt "39000,38200,63500,39000"
    42214183st "W_A            : std_logic_vector(9 DOWNTO 0)"
    42224184)
    42234185)
    4224 *130 (Net
     4186*129 (Net
    42254187uid 3634,0
    42264188decl (Decl
     
    42284190t "std_logic_vector"
    42294191b "(15 DOWNTO 0)"
    4230 o 49
     4192o 50
    42314193suid 107,0
    42324194)
     
    42364198font "Courier New,8,0"
    42374199)
    4238 xt "39000,42200,64000,43000"
     4200xt "39000,43000,64000,43800"
    42394201st "W_D            : std_logic_vector(15 DOWNTO 0)"
    42404202)
    42414203)
    4242 *131 (Net
     4204*130 (Net
    42434205uid 3636,0
    42444206decl (Decl
    42454207n "W_RES"
    42464208t "std_logic"
    4247 o 46
     4209o 47
    42484210suid 108,0
    42494211i "'1'"
     
    42544216font "Courier New,8,0"
    42554217)
    4256 xt "39000,39800,68000,40600"
     4218xt "39000,40600,68000,41400"
    42574219st "W_RES          : std_logic                      := '1'"
    42584220)
    42594221)
    4260 *132 (Net
     4222*131 (Net
    42614223uid 3638,0
    42624224decl (Decl
    42634225n "W_RD"
    42644226t "std_logic"
    4265 o 45
     4227o 46
    42664228suid 109,0
    42674229i "'1'"
     
    42724234font "Courier New,8,0"
    42734235)
    4274 xt "39000,39000,68000,39800"
     4236xt "39000,39800,68000,40600"
    42754237st "W_RD           : std_logic                      := '1'"
    42764238)
    42774239)
    4278 *133 (Net
     4240*132 (Net
    42794241uid 3640,0
    42804242decl (Decl
    42814243n "W_WR"
    42824244t "std_logic"
    4283 o 47
     4245o 48
    42844246suid 110,0
    42854247i "'1'"
     
    42904252font "Courier New,8,0"
    42914253)
    4292 xt "39000,40600,68000,41400"
     4254xt "39000,41400,68000,42200"
    42934255st "W_WR           : std_logic                      := '1'"
    42944256)
    42954257)
    4296 *134 (Net
     4258*133 (Net
    42974259uid 3642,0
    42984260decl (Decl
     
    43114273)
    43124274)
    4313 *135 (Net
     4275*134 (Net
    43144276uid 3644,0
    43154277decl (Decl
    43164278n "W_CS"
    43174279t "std_logic"
    4318 o 44
     4280o 45
    43194281suid 112,0
    43204282i "'1'"
     
    43254287font "Courier New,8,0"
    43264288)
    4327 xt "39000,38200,68000,39000"
     4289xt "39000,39000,68000,39800"
    43284290st "W_CS           : std_logic                      := '1'"
    43294291)
    43304292)
    4331 *136 (PortIoInOut
     4293*135 (PortIoInOut
    43324294uid 3674,0
    43334295shape (CompositeShape
     
    43714333)
    43724334)
    4373 *137 (Net
     4335*136 (Net
    43744336uid 3680,0
    43754337decl (Decl
    43764338n "MOSI"
    43774339t "std_logic"
    4378 o 29
     4340o 30
    43794341suid 113,0
    43804342i "'0'"
     
    43854347font "Courier New,8,0"
    43864348)
    4387 xt "39000,26200,68000,27000"
     4349xt "39000,27000,68000,27800"
    43884350st "MOSI           : std_logic                      := '0'"
    43894351)
    43904352)
    4391 *138 (PortIoOut
     4353*137 (PortIoOut
    43924354uid 3688,0
    43934355shape (CompositeShape
     
    44334395)
    44344396)
    4435 *139 (Net
     4397*138 (Net
    44364398uid 3694,0
    44374399decl (Decl
     
    44404402preAdd 0
    44414403posAdd 0
    4442 o 48
     4404o 49
    44434405suid 114,0
    44444406)
     
    44484410font "Courier New,8,0"
    44494411)
    4450 xt "39000,41400,53500,42200"
     4412xt "39000,42200,53500,43000"
    44514413st "MISO           : std_logic"
    44524414)
    44534415)
    4454 *140 (HdlText
     4416*139 (HdlText
    44554417uid 3700,0
    44564418optionalChildren [
    4457 *141 (EmbeddedText
     4419*140 (EmbeddedText
    44584420uid 3706,0
    44594421commentText (CommentText
     
    45224484stg "VerticalLayoutStrategy"
    45234485textVec [
    4524 *142 (Text
     4486*141 (Text
    45254487uid 3703,0
    45264488va (VaSet
     
    45324494tm "HdlTextNameMgr"
    45334495)
    4534 *143 (Text
     4496*142 (Text
    45354497uid 3704,0
    45364498va (VaSet
     
    45584520viewiconposition 0
    45594521)
    4560 *144 (PortIoOut
     4522*143 (PortIoOut
    45614523uid 3710,0
    45624524shape (CompositeShape
     
    46024564)
    46034565)
    4604 *145 (PortIoOut
     4566*144 (PortIoOut
    46054567uid 3716,0
    46064568shape (CompositeShape
     
    46464608)
    46474609)
    4648 *146 (PortIoOut
     4610*145 (PortIoOut
    46494611uid 3722,0
    46504612shape (CompositeShape
     
    46904652)
    46914653)
    4692 *147 (PortIoOut
     4654*146 (PortIoOut
    46934655uid 3728,0
    46944656shape (CompositeShape
     
    47344696)
    47354697)
    4736 *148 (PortIoOut
     4698*147 (PortIoOut
    47374699uid 3734,0
    47384700shape (CompositeShape
     
    47784740)
    47794741)
    4780 *149 (PortIoOut
     4742*148 (PortIoOut
    47814743uid 3740,0
    47824744shape (CompositeShape
     
    48224784)
    48234785)
    4824 *150 (PortIoOut
     4786*149 (PortIoOut
    48254787uid 3746,0
    48264788shape (CompositeShape
     
    48664828)
    48674829)
    4868 *151 (PortIoOut
     4830*150 (PortIoOut
    48694831uid 3752,0
    48704832shape (CompositeShape
     
    49104872)
    49114873)
    4912 *152 (PortIoOut
     4874*151 (PortIoOut
    49134875uid 3758,0
    49144876shape (CompositeShape
     
    49544916)
    49554917)
    4956 *153 (Net
     4918*152 (Net
    49574919uid 3864,0
    49584920decl (Decl
    49594921n "TRG_V"
    49604922t "std_logic"
    4961 o 42
     4923o 43
    49624924suid 126,0
    49634925)
     
    49674929font "Courier New,8,0"
    49684930)
    4969 xt "39000,36600,53500,37400"
     4931xt "39000,37400,53500,38200"
    49704932st "TRG_V          : std_logic"
    49714933)
    49724934)
    4973 *154 (Net
     4935*153 (Net
    49744936uid 3866,0
    49754937decl (Decl
    49764938n "RS485_C_RE"
    49774939t "std_logic"
    4978 o 32
     4940o 33
    49794941suid 127,0
    49804942)
     
    49844946font "Courier New,8,0"
    49854947)
    4986 xt "39000,28600,53500,29400"
     4948xt "39000,29400,53500,30200"
    49874949st "RS485_C_RE     : std_logic"
    49884950)
    49894951)
    4990 *155 (Net
     4952*154 (Net
    49914953uid 3868,0
    49924954decl (Decl
    49934955n "RS485_C_DE"
    49944956t "std_logic"
    4995 o 31
     4957o 32
    49964958suid 128,0
    49974959)
     
    50014963font "Courier New,8,0"
    50024964)
    5003 xt "39000,27800,53500,28600"
     4965xt "39000,28600,53500,29400"
    50044966st "RS485_C_DE     : std_logic"
    50054967)
    50064968)
    5007 *156 (Net
     4969*155 (Net
    50084970uid 3870,0
    50094971decl (Decl
    50104972n "RS485_E_RE"
    50114973t "std_logic"
    5012 o 34
     4974o 35
    50134975suid 129,0
    50144976)
     
    50184980font "Courier New,8,0"
    50194981)
    5020 xt "39000,30200,53500,31000"
     4982xt "39000,31000,53500,31800"
    50214983st "RS485_E_RE     : std_logic"
    50224984)
    50234985)
    5024 *157 (Net
     4986*156 (Net
    50254987uid 3872,0
    50264988decl (Decl
    50274989n "RS485_E_DE"
    50284990t "std_logic"
    5029 o 33
     4991o 34
    50304992suid 130,0
    50314993)
     
    50354997font "Courier New,8,0"
    50364998)
    5037 xt "39000,29400,53500,30200"
     4999xt "39000,30200,53500,31000"
    50385000st "RS485_E_DE     : std_logic"
    50395001)
    50405002)
    5041 *158 (Net
     5003*157 (Net
    50425004uid 3874,0
    50435005decl (Decl
    50445006n "DENABLE"
    50455007t "std_logic"
    5046 o 22
     5008o 23
    50475009suid 131,0
    50485010i "'0'"
     
    50535015font "Courier New,8,0"
    50545016)
    5055 xt "39000,20600,68000,21400"
     5017xt "39000,21400,68000,22200"
    50565018st "DENABLE        : std_logic                      := '0'"
    50575019)
    50585020)
    5059 *159 (Net
     5021*158 (Net
    50605022uid 3876,0
    50615023decl (Decl
    50625024n "SRIN"
    50635025t "std_logic"
    5064 o 36
     5026o 37
    50655027suid 132,0
    50665028)
     
    50705032font "Courier New,8,0"
    50715033)
    5072 xt "39000,31800,53500,32600"
     5034xt "39000,32600,53500,33400"
    50735035st "SRIN           : std_logic"
    50745036)
    50755037)
    5076 *160 (Net
     5038*159 (Net
    50775039uid 3878,0
    50785040decl (Decl
    50795041n "EE_CS"
    50805042t "std_logic"
    5081 o 27
     5043o 28
    50825044suid 133,0
    50835045)
     
    50875049font "Courier New,8,0"
    50885050)
    5089 xt "39000,24600,53500,25400"
     5051xt "39000,25400,53500,26200"
    50905052st "EE_CS          : std_logic"
    50915053)
    50925054)
    5093 *161 (Net
     5055*160 (Net
    50945056uid 3880,0
    50955057decl (Decl
     
    50975059t "std_logic_vector"
    50985060b "( 2 DOWNTO 0 )"
    5099 o 28
     5061o 29
    51005062suid 134,0
    51015063i "(others => '1')"
     
    51065068font "Courier New,8,0"
    51075069)
    5108 xt "39000,25400,74000,26200"
     5070xt "39000,26200,74000,27000"
    51095071st "LED            : std_logic_vector( 2 DOWNTO 0 ) := (others => '1')"
    51105072)
    51115073)
    5112 *162 (PortIoOut
     5074*161 (PortIoOut
    51135075uid 3995,0
    51145076shape (CompositeShape
     
    51555117)
    51565118)
    5157 *163 (PortIoOut
     5119*162 (PortIoOut
    51585120uid 4001,0
    51595121shape (CompositeShape
     
    52005162)
    52015163)
    5202 *164 (PortIoOut
     5164*163 (PortIoOut
    52035165uid 4007,0
    52045166shape (CompositeShape
     
    52455207)
    52465208)
    5247 *165 (PortIoOut
     5209*164 (PortIoOut
    52485210uid 4013,0
    52495211shape (CompositeShape
     
    52905252)
    52915253)
    5292 *166 (PortIoOut
     5254*165 (PortIoOut
    52935255uid 4916,0
    52945256shape (CompositeShape
     
    53345296)
    53355297)
    5336 *167 (Net
     5298*166 (Net
    53375299uid 5320,0
    53385300decl (Decl
     
    53405302t "std_logic_vector"
    53415303b "(7 DOWNTO 0)"
    5342 o 25
     5304o 26
    53435305suid 141,0
    53445306i "(OTHERS => '0')"
     
    53495311font "Courier New,8,0"
    53505312)
    5351 xt "39000,23000,74000,23800"
     5313xt "39000,23800,74000,24600"
    53525314st "D_T            : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
    53535315)
    53545316)
    5355 *168 (PortIoIn
     5317*167 (PortIoIn
    53565318uid 5650,0
    53575319shape (CompositeShape
     
    53665328sl 0
    53675329ro 270
    5368 xt "-30000,88625,-28500,89375"
     5330xt "9000,78625,10500,79375"
    53695331)
    53705332(Line
     
    53725334sl 0
    53735335ro 270
    5374 xt "-28500,89000,-28000,89000"
    5375 pts [
    5376 "-28500,89000"
    5377 "-28000,89000"
     5336xt "10500,79000,11000,79000"
     5337pts [
     5338"10500,79000"
     5339"11000,79000"
    53785340]
    53795341)
     
    53905352va (VaSet
    53915353)
    5392 xt "-35500,88500,-31000,89500"
     5354xt "3500,78500,8000,79500"
    53935355st "TEST_TRG"
    53945356ju 2
    5395 blo "-31000,89300"
    5396 tm "WireNameMgr"
    5397 )
    5398 )
    5399 )
    5400 *169 (Net
     5357blo "8000,79300"
     5358tm "WireNameMgr"
     5359)
     5360)
     5361)
     5362*168 (Net
    54015363uid 5662,0
    54025364decl (Decl
     
    54155377)
    54165378)
    5417 *170 (Net
     5379*169 (Net
    54185380uid 6138,0
    54195381decl (Decl
    54205382n "TRG_OR"
    54215383t "std_logic"
    5422 o 53
     5384o 54
    54235385suid 146,0
    54245386)
     
    54285390font "Courier New,8,0"
    54295391)
    5430 xt "39000,46400,57000,47200"
     5392xt "39000,47200,57000,48000"
    54315393st "SIGNAL TRG_OR         : std_logic"
    54325394)
    54335395)
    5434 *171 (SaComponent
    5435 uid 6250,0
    5436 optionalChildren [
    5437 *172 (CptPort
    5438 uid 6235,0
    5439 ps "OnEdgeStrategy"
    5440 shape (Triangle
    5441 uid 6236,0
    5442 ro 90
    5443 va (VaSet
    5444 vasetType 1
    5445 fg "0,65535,0"
    5446 )
    5447 xt "-11750,87625,-11000,88375"
    5448 )
    5449 tg (CPTG
    5450 uid 6237,0
    5451 ps "CptPortTextPlaceStrategy"
    5452 stg "VerticalLayoutStrategy"
    5453 f (Text
    5454 uid 6238,0
    5455 va (VaSet
    5456 )
    5457 xt "-10000,87500,-8700,88500"
    5458 st "clk"
    5459 blo "-10000,88300"
    5460 )
    5461 )
    5462 thePort (LogicalPort
    5463 decl (Decl
    5464 n "clk"
    5465 t "STD_LOGIC"
    5466 preAdd 0
    5467 posAdd 0
    5468 o 1
    5469 suid 1,0
    5470 )
    5471 )
    5472 )
    5473 *173 (CptPort
    5474 uid 6239,0
    5475 ps "OnEdgeStrategy"
    5476 shape (Triangle
    5477 uid 6240,0
    5478 ro 90
    5479 va (VaSet
    5480 vasetType 1
    5481 fg "0,65535,0"
    5482 )
    5483 xt "-11750,88625,-11000,89375"
    5484 )
    5485 tg (CPTG
    5486 uid 6241,0
    5487 ps "CptPortTextPlaceStrategy"
    5488 stg "VerticalLayoutStrategy"
    5489 f (Text
    5490 uid 6242,0
    5491 va (VaSet
    5492 )
    5493 xt "-10000,88500,-5800,89500"
    5494 st "trigger_in"
    5495 blo "-10000,89300"
    5496 )
    5497 )
    5498 thePort (LogicalPort
    5499 decl (Decl
    5500 n "trigger_in"
    5501 t "STD_LOGIC"
    5502 prec "--           rst : in  STD_LOGIC;"
    5503 preAdd 0
    5504 posAdd 0
    5505 o 2
    5506 suid 2,0
    5507 )
    5508 )
    5509 )
    5510 *174 (CptPort
    5511 uid 6243,0
    5512 ps "OnEdgeStrategy"
    5513 shape (Triangle
    5514 uid 6244,0
    5515 ro 90
    5516 va (VaSet
    5517 vasetType 1
    5518 fg "0,65535,0"
    5519 )
    5520 xt "1000,88625,1750,89375"
    5521 )
    5522 tg (CPTG
    5523 uid 6245,0
    5524 ps "CptPortTextPlaceStrategy"
    5525 stg "RightVerticalLayoutStrategy"
    5526 f (Text
    5527 uid 6246,0
    5528 va (VaSet
    5529 )
    5530 xt "-4600,88500,0,89500"
    5531 st "trigger_out"
    5532 ju 2
    5533 blo "0,89300"
    5534 )
    5535 )
    5536 thePort (LogicalPort
    5537 m 1
    5538 decl (Decl
    5539 n "trigger_out"
    5540 t "STD_LOGIC"
    5541 preAdd 0
    5542 posAdd 0
    5543 o 3
    5544 suid 3,0
    5545 i "'0'"
    5546 )
    5547 )
    5548 )
    5549 ]
    5550 shape (Rectangle
    5551 uid 6251,0
    5552 va (VaSet
    5553 vasetType 1
    5554 fg "0,65535,0"
    5555 lineColor "0,32896,0"
    5556 lineWidth 2
    5557 )
    5558 xt "-11000,87000,1000,92000"
    5559 )
    5560 oxt "25000,13000,37000,18000"
    5561 ttg (MlTextGroup
    5562 uid 6252,0
    5563 ps "CenterOffsetStrategy"
    5564 stg "VerticalLayoutStrategy"
    5565 textVec [
    5566 *175 (Text
    5567 uid 6253,0
    5568 va (VaSet
    5569 font "Arial,8,1"
    5570 )
    5571 xt "-10800,92000,-4200,93000"
    5572 st "FACT_FAD_LIB"
    5573 blo "-10800,92800"
    5574 tm "BdLibraryNameMgr"
    5575 )
    5576 *176 (Text
    5577 uid 6254,0
    5578 va (VaSet
    5579 font "Arial,8,1"
    5580 )
    5581 xt "-10800,93000,-6400,94000"
    5582 st "debouncer"
    5583 blo "-10800,93800"
    5584 tm "CptNameMgr"
    5585 )
    5586 *177 (Text
    5587 uid 6255,0
    5588 va (VaSet
    5589 font "Arial,8,1"
    5590 )
    5591 xt "-10800,94000,-9800,95000"
    5592 st "I0"
    5593 blo "-10800,94800"
    5594 tm "InstanceNameMgr"
    5595 )
    5596 ]
    5597 )
    5598 ga (GenericAssociation
    5599 uid 6256,0
    5600 ps "EdgeToEdgeStrategy"
    5601 matrix (Matrix
    5602 uid 6257,0
    5603 text (MLText
    5604 uid 6258,0
    5605 va (VaSet
    5606 font "Courier New,8,0"
    5607 )
    5608 xt "-11000,86200,4000,87000"
    5609 st "WIDTH = 17    ( INTEGER )  "
    5610 )
    5611 header ""
    5612 )
    5613 elements [
    5614 (GiElement
    5615 name "WIDTH"
    5616 type "INTEGER"
    5617 value "17"
    5618 )
    5619 ]
    5620 )
    5621 viewicon (ZoomableIcon
    5622 uid 6259,0
    5623 sl 0
    5624 va (VaSet
    5625 vasetType 1
    5626 fg "49152,49152,49152"
    5627 )
    5628 xt "-10750,90250,-9250,91750"
    5629 iconName "VhdlFileViewIcon.png"
    5630 iconMaskName "VhdlFileViewIcon.msk"
    5631 ftype 10
    5632 )
    5633 ordering 1
    5634 viewiconposition 0
    5635 portVis (PortSigDisplay
    5636 )
    5637 archFileType "UNKNOWN"
    5638 )
    5639 *178 (Net
    5640 uid 6278,0
    5641 decl (Decl
    5642 n "trigger_out"
    5643 t "STD_LOGIC"
    5644 preAdd 0
    5645 posAdd 0
    5646 o 60
    5647 suid 147,0
    5648 i "'0'"
    5649 )
    5650 declText (MLText
    5651 uid 6279,0
    5652 va (VaSet
    5653 font "Courier New,8,0"
    5654 )
    5655 xt "39000,52000,71500,52800"
    5656 st "SIGNAL trigger_out    : STD_LOGIC                      := '0'"
    5657 )
    5658 )
    5659 *179 (Net
    5660 uid 6326,0
    5661 decl (Decl
    5662 n "not_TEST_TRG"
    5663 t "STD_LOGIC"
    5664 o 58
    5665 suid 148,0
    5666 )
    5667 declText (MLText
    5668 uid 6327,0
    5669 va (VaSet
    5670 font "Courier New,8,0"
    5671 )
    5672 xt "39000,50400,57000,51200"
    5673 st "SIGNAL not_TEST_TRG   : STD_LOGIC"
    5674 )
    5675 )
    5676 *180 (MWC
    5677 uid 6539,0
    5678 optionalChildren [
    5679 *181 (CptPort
    5680 uid 6526,0
    5681 optionalChildren [
    5682 *182 (Line
    5683 uid 6530,0
    5684 layer 5
    5685 sl 0
    5686 va (VaSet
    5687 vasetType 3
    5688 )
    5689 xt "-22000,89000,-20999,89000"
    5690 pts [
    5691 "-22000,89000"
    5692 "-20999,89000"
    5693 ]
    5694 )
    5695 ]
    5696 ps "OnEdgeStrategy"
    5697 shape (Triangle
    5698 uid 6527,0
    5699 ro 90
    5700 va (VaSet
    5701 vasetType 1
    5702 isHidden 1
    5703 fg "0,65535,65535"
    5704 )
    5705 xt "-22750,88625,-22000,89375"
    5706 )
    5707 tg (CPTG
    5708 uid 6528,0
    5709 ps "CptPortTextPlaceStrategy"
    5710 stg "VerticalLayoutStrategy"
    5711 f (Text
    5712 uid 6529,0
    5713 sl 0
    5714 va (VaSet
    5715 isHidden 1
    5716 font "arial,8,0"
    5717 )
    5718 xt "-25000,88500,-23600,89500"
    5719 st "din"
    5720 blo "-25000,89300"
    5721 )
    5722 s (Text
    5723 uid 6548,0
    5724 sl 0
    5725 va (VaSet
    5726 font "arial,8,0"
    5727 )
    5728 xt "-25000,89500,-25000,89500"
    5729 blo "-25000,89500"
    5730 )
    5731 )
    5732 thePort (LogicalPort
    5733 decl (Decl
    5734 n "din"
    5735 t "std_logic"
    5736 o 11
    5737 suid 1,0
    5738 )
    5739 )
    5740 )
    5741 *183 (CptPort
    5742 uid 6531,0
    5743 optionalChildren [
    5744 *184 (Line
    5745 uid 6535,0
    5746 layer 5
    5747 sl 0
    5748 va (VaSet
    5749 vasetType 3
    5750 )
    5751 xt "-17249,89000,-17000,89000"
    5752 pts [
    5753 "-17000,89000"
    5754 "-17249,89000"
    5755 ]
    5756 )
    5757 *185 (Circle
    5758 uid 6536,0
    5759 va (VaSet
    5760 vasetType 1
    5761 fg "65535,65535,65535"
    5762 lineColor "26368,26368,26368"
    5763 )
    5764 xt "-17999,88625,-17249,89375"
    5765 radius 375
    5766 )
    5767 ]
    5768 ps "OnEdgeStrategy"
    5769 shape (Triangle
    5770 uid 6532,0
    5771 ro 90
    5772 va (VaSet
    5773 vasetType 1
    5774 isHidden 1
    5775 fg "0,65535,65535"
    5776 )
    5777 xt "-17000,88625,-16250,89375"
    5778 )
    5779 tg (CPTG
    5780 uid 6533,0
    5781 ps "CptPortTextPlaceStrategy"
    5782 stg "RightVerticalLayoutStrategy"
    5783 f (Text
    5784 uid 6534,0
    5785 sl 0
    5786 va (VaSet
    5787 isHidden 1
    5788 font "arial,8,0"
    5789 )
    5790 xt "-15050,88500,-13250,89500"
    5791 st "dout"
    5792 ju 2
    5793 blo "-13250,89300"
    5794 )
    5795 s (Text
    5796 uid 6549,0
    5797 sl 0
    5798 va (VaSet
    5799 font "arial,8,0"
    5800 )
    5801 xt "-13250,89500,-13250,89500"
    5802 ju 2
    5803 blo "-13250,89500"
    5804 )
    5805 )
    5806 thePort (LogicalPort
    5807 m 1
    5808 decl (Decl
    5809 n "dout"
    5810 t "STD_LOGIC"
    5811 o 58
    5812 suid 2,0
    5813 )
    5814 )
    5815 )
    5816 *186 (CommentGraphic
    5817 uid 6537,0
    5818 shape (CustomPolygon
    5819 pts [
    5820 "-21000,87000"
    5821 "-18000,89000"
    5822 "-21000,91000"
    5823 "-21000,87000"
    5824 ]
    5825 uid 6538,0
    5826 layer 0
    5827 sl 0
    5828 va (VaSet
    5829 vasetType 1
    5830 fg "0,65535,65535"
    5831 bg "0,65535,65535"
    5832 lineColor "26368,26368,26368"
    5833 )
    5834 xt "-21000,87000,-18000,91000"
    5835 )
    5836 oxt "7000,6000,10000,10000"
    5837 )
    5838 ]
    5839 shape (Rectangle
    5840 uid 6540,0
    5841 va (VaSet
    5842 vasetType 1
    5843 transparent 1
    5844 fg "0,65535,0"
    5845 lineColor "65535,65535,65535"
    5846 lineWidth -1
    5847 )
    5848 xt "-22000,87000,-17000,91000"
    5849 fos 1
    5850 )
    5851 showPorts 0
    5852 oxt "6000,6000,11000,10000"
    5853 ttg (MlTextGroup
    5854 uid 6541,0
    5855 ps "CenterOffsetStrategy"
    5856 stg "VerticalLayoutStrategy"
    5857 textVec [
    5858 *187 (Text
    5859 uid 6542,0
    5860 va (VaSet
    5861 isHidden 1
    5862 font "arial,8,0"
    5863 )
    5864 xt "-19650,89100,-14850,90100"
    5865 st "moduleware"
    5866 blo "-19650,89900"
    5867 )
    5868 *188 (Text
    5869 uid 6543,0
    5870 va (VaSet
    5871 font "arial,8,0"
    5872 )
    5873 xt "-19650,90100,-18350,91100"
    5874 st "inv"
    5875 blo "-19650,90900"
    5876 )
    5877 *189 (Text
    5878 uid 6544,0
    5879 va (VaSet
    5880 font "arial,8,0"
    5881 )
    5882 xt "-19650,91100,-18650,92100"
    5883 st "I1"
    5884 blo "-19650,91900"
    5885 tm "InstanceNameMgr"
    5886 )
    5887 ]
    5888 )
    5889 ga (GenericAssociation
    5890 uid 6545,0
    5891 ps "EdgeToEdgeStrategy"
    5892 matrix (Matrix
    5893 uid 6546,0
    5894 text (MLText
    5895 uid 6547,0
    5896 va (VaSet
    5897 font "arial,8,0"
    5898 )
    5899 xt "-25000,68400,-25000,68400"
    5900 )
    5901 header ""
    5902 )
    5903 elements [
    5904 ]
    5905 )
    5906 sed 1
    5907 awe 1
    5908 portVis (PortSigDisplay
    5909 disp 1
    5910 sN 0
    5911 sTC 0
    5912 selT 0
    5913 )
    5914 prms (Property
    5915 pclass "params"
    5916 pname "params"
    5917 ptn "String"
    5918 )
    5919 visOptions (mwParamsVisibilityOptions
    5920 )
    5921 )
    5922 *190 (MWC
     5396*170 (MWC
    59235397uid 6586,0
    59245398optionalChildren [
    5925 *191 (CptPort
     5399*171 (CptPort
    59265400uid 6550,0
    59275401optionalChildren [
    5928 *192 (Line
     5402*172 (Line
    59295403uid 6554,0
    59305404layer 5
     
    59705444decl (Decl
    59715445n "din1"
    5972 t "STD_LOGIC"
    5973 preAdd 0
    5974 posAdd 0
    5975 o 60
     5446t "std_logic"
     5447o 11
    59765448suid 1,0
    5977 i "'0'"
    5978 )
    5979 )
    5980 )
    5981 *193 (CptPort
     5449)
     5450)
     5451)
     5452*173 (CptPort
    59825453uid 6555,0
    59835454optionalChildren [
    5984 *194 (Property
     5455*174 (Property
    59855456uid 6559,0
    59865457pclass "_MW_GEOM_"
     
    59885459ptn "String"
    59895460)
    5990 *195 (Line
     5461*175 (Line
    59915462uid 6560,0
    59925463layer 5
     
    60355506n "dout"
    60365507t "std_logic"
    6037 o 53
     5508o 54
    60385509suid 2,0
    60395510)
    60405511)
    60415512)
    6042 *196 (CptPort
     5513*176 (CptPort
    60435514uid 6561,0
    60445515optionalChildren [
    6045 *197 (Line
     5516*177 (Line
    60465517uid 6565,0
    60475518layer 5
     
    60935564)
    60945565)
    6095 *198 (CommentGraphic
     5566*178 (CommentGraphic
    60965567uid 6566,0
    60975568shape (Arc2D
     
    61145585oxt "7000,6003,11000,8000"
    61155586)
    6116 *199 (CommentGraphic
     5587*179 (CommentGraphic
    61175588uid 6568,0
    61185589shape (Arc2D
     
    61355606oxt "6996,8005,11000,10000"
    61365607)
    6137 *200 (Grouping
     5608*180 (Grouping
    61385609uid 6570,0
    61395610optionalChildren [
    6140 *201 (CommentGraphic
     5611*181 (CommentGraphic
    61415612uid 6572,0
    61425613optionalChildren [
    6143 *202 (Property
     5614*182 (Property
    61445615uid 6574,0
    61455616pclass "_MW_GEOM_"
     
    61725643oxt "7000,6000,11000,9998"
    61735644)
    6174 *203 (CommentGraphic
     5645*183 (CommentGraphic
    61755646uid 6575,0
    61765647optionalChildren [
    6177 *204 (Property
     5648*184 (Property
    61785649uid 6577,0
    61795650pclass "_MW_GEOM_"
     
    62175688oxt "7000,6000,11000,10000"
    62185689)
    6219 *205 (CommentGraphic
     5690*185 (CommentGraphic
    62205691uid 6578,0
    62215692shape (PolyLine2D
     
    62365707oxt "11000,8000,11000,8000"
    62375708)
    6238 *206 (CommentGraphic
     5709*186 (CommentGraphic
    62395710uid 6580,0
    62405711optionalChildren [
    6241 *207 (Property
     5712*187 (Property
    62425713uid 6582,0
    62435714pclass "_MW_GEOM_"
     
    62635734oxt "7000,6000,7000,6000"
    62645735)
    6265 *208 (CommentGraphic
     5736*188 (CommentGraphic
    62665737uid 6583,0
    62675738optionalChildren [
    6268 *209 (Property
     5739*189 (Property
    62695740uid 6585,0
    62705741pclass "_MW_GEOM_"
     
    63095780stg "VerticalLayoutStrategy"
    63105781textVec [
    6311 *210 (Text
     5782*190 (Text
    63125783uid 6589,0
    63135784va (VaSet
     
    63195790blo "15500,77300"
    63205791)
    6321 *211 (Text
     5792*191 (Text
    63225793uid 6590,0
    63235794va (VaSet
     
    63285799blo "15500,78300"
    63295800)
    6330 *212 (Text
     5801*192 (Text
    63315802uid 6591,0
    63325803va (VaSet
     
    63735844)
    63745845)
    6375 *213 (PortIoIn
     5846*193 (PortIoIn
    63765847uid 6781,0
    63775848shape (CompositeShape
     
    64185889)
    64195890)
    6420 *214 (Net
     5891*194 (Net
    64215892uid 6793,0
    64225893decl (Decl
     
    64365907)
    64375908)
    6438 *215 (PortIoOut
     5909*195 (PortIoOut
    64395910uid 6874,0
    64405911shape (CompositeShape
     
    64805951)
    64815952)
    6482 *216 (Net
     5953*196 (Net
    64835954uid 6886,0
    64845955decl (Decl
     
    64865957t "std_logic_vector"
    64875958b "(3 DOWNTO 0)"
    6488 o 26
     5959o 27
    64895960suid 154,0
    64905961i "(others => '0')"
     
    64955966font "Courier New,8,0"
    64965967)
    6497 xt "39000,23800,74000,24600"
     5968xt "39000,24600,74000,25400"
    64985969st "D_T2           : std_logic_vector(3 DOWNTO 0)   := (others => '0')"
    64995970)
    65005971)
    6501 *217 (HdlText
     5972*197 (HdlText
    65025973uid 6888,0
    65035974optionalChildren [
    6504 *218 (EmbeddedText
     5975*198 (EmbeddedText
    65055976uid 6894,0
    65065977commentText (CommentText
     
    65506021stg "VerticalLayoutStrategy"
    65516022textVec [
    6552 *219 (Text
     6023*199 (Text
    65536024uid 6891,0
    65546025va (VaSet
     
    65606031tm "HdlTextNameMgr"
    65616032)
    6562 *220 (Text
     6033*200 (Text
    65636034uid 6892,0
    65646035va (VaSet
     
    65866057viewiconposition 0
    65876058)
    6588 *221 (HdlText
     6059*201 (HdlText
    65896060uid 7092,0
    65906061optionalChildren [
    6591 *222 (EmbeddedText
     6062*202 (EmbeddedText
    65926063uid 7098,0
    65936064commentText (CommentText
     
    66026073lineWidth 2
    66036074)
    6604 xt "27000,137000,45000,145000"
     6075xt "26000,137000,46000,143000"
    66056076)
    66066077oxt "0,0,18000,5000"
     
    66096080va (VaSet
    66106081)
    6611 xt "27200,137200,39400,142200"
     6082xt "26200,137200,40000,141200"
    66126083st "
    66136084-- eb2 8                                       
    6614 A1_T(0) <= dummy;
    6615 A1_T(1) <= RSRLOAD;
    6616 A1_T(2) <= D0_SROUT;
    6617 A1_T(3) <= D1_SROUT;
     6085A1_T(3 downto 0) <= drs_channel_id;
     6086D_A <= drs_channel_id;
     6087A1_T(4)  <= TRG_OR;
    66186088"
    66196089tm "HdlTextMgr"
    66206090wrapOption 3
    6621 visibleHeight 8000
    6622 visibleWidth 18000
     6091visibleHeight 6000
     6092visibleWidth 20000
    66236093)
    66246094)
     
    66416111stg "VerticalLayoutStrategy"
    66426112textVec [
    6643 *223 (Text
     6113*203 (Text
    66446114uid 7095,0
    66456115va (VaSet
     
    66516121tm "HdlTextNameMgr"
    66526122)
    6653 *224 (Text
     6123*204 (Text
    66546124uid 7096,0
    66556125va (VaSet
     
    66776147viewiconposition 0
    66786148)
    6679 *225 (PortIoOut
     6149*205 (PortIoOut
    66806150uid 7138,0
    66816151shape (CompositeShape
     
    67216191)
    67226192)
    6723 *226 (Net
     6193*206 (Net
    67246194uid 7150,0
    67256195decl (Decl
    67266196n "A1_T"
    67276197t "std_logic_vector"
    6728 b "(3 DOWNTO 0)"
    6729 o 15
     6198b "(7 DOWNTO 0)"
     6199o 16
    67306200suid 155,0
     6201i "(OTHERS => '0')"
    67316202)
    67326203declText (MLText
     
    67356206font "Courier New,8,0"
    67366207)
    6737 xt "39000,15000,63500,15800"
    6738 st "A1_T           : std_logic_vector(3 DOWNTO 0)"
    6739 )
    6740 )
    6741 *227 (Net
     6208xt "39000,15800,74000,16600"
     6209st "A1_T           : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
     6210)
     6211)
     6212*207 (Net
    67426213uid 7485,0
    67436214decl (Decl
    67446215n "dummy"
    67456216t "std_logic"
    6746 o 60
     6217o 59
    67476218suid 157,0
    67486219)
     
    67526223font "Courier New,8,0"
    67536224)
    6754 xt "39000,49600,57000,50400"
     6225xt "39000,51200,57000,52000"
    67556226st "SIGNAL dummy          : std_logic"
    67566227)
    67576228)
    6758 *228 (MWC
     6229*208 (MWC
    67596230uid 7652,0
    67606231optionalChildren [
    6761 *229 (CptPort
     6232*209 (CptPort
    67626233uid 7632,0
    67636234optionalChildren [
    6764 *230 (Line
     6235*210 (Line
    67656236uid 7636,0
    67666237layer 5
     
    68166287n "s"
    68176288t "std_logic"
    6818 o 60
     6289o 59
    68196290suid 1,0
    68206291)
    68216292)
    68226293)
    6823 *231 (CptPort
     6294*211 (CptPort
    68246295uid 7637,0
    68256296optionalChildren [
    6826 *232 (Line
     6297*212 (Line
    68276298uid 7641,0
    68286299layer 5
     
    68816352n "t"
    68826353t "std_logic"
    6883 o 21
     6354o 22
    68846355suid 2,0
    68856356)
    68866357)
    68876358)
    6888 *233 (CommentGraphic
     6359*213 (CommentGraphic
    68896360uid 7642,0
    68906361shape (PolyLine2D
     
    69076378oxt "6000,6000,7000,7000"
    69086379)
    6909 *234 (CommentGraphic
     6380*214 (CommentGraphic
    69106381uid 7644,0
    69116382shape (PolyLine2D
     
    69286399oxt "6000,7000,7000,8000"
    69296400)
    6930 *235 (CommentGraphic
     6401*215 (CommentGraphic
    69316402uid 7646,0
    69326403shape (PolyLine2D
     
    69496420oxt "6988,7329,7988,7329"
    69506421)
    6951 *236 (CommentGraphic
     6422*216 (CommentGraphic
    69526423uid 7648,0
    69536424shape (PolyLine2D
     
    69686439oxt "8000,7000,9000,7000"
    69696440)
    6970 *237 (CommentGraphic
     6441*217 (CommentGraphic
    69716442uid 7650,0
    69726443shape (PolyLine2D
     
    70096480stg "VerticalLayoutStrategy"
    70106481textVec [
    7011 *238 (Text
     6482*218 (Text
    70126483uid 7655,0
    70136484va (VaSet
     
    70196490blo "90350,83900"
    70206491)
    7021 *239 (Text
     6492*219 (Text
    70226493uid 7656,0
    70236494va (VaSet
     
    70286499blo "90350,84900"
    70296500)
    7030 *240 (Text
     6501*220 (Text
    70316502uid 7657,0
    70326503va (VaSet
     
    70736544)
    70746545)
    7075 *241 (Wire
     6546*221 (Net
     6547uid 8851,0
     6548decl (Decl
     6549n "drs_channel_id"
     6550t "std_logic_vector"
     6551b "(3 downto 0)"
     6552o 58
     6553suid 159,0
     6554i "(others => '0')"
     6555)
     6556declText (MLText
     6557uid 8852,0
     6558va (VaSet
     6559font "Courier New,8,0"
     6560)
     6561xt "39000,50400,77500,51200"
     6562st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0)   := (others => '0')"
     6563)
     6564)
     6565*222 (Net
     6566uid 9201,0
     6567decl (Decl
     6568n "A0_T"
     6569t "std_logic_vector"
     6570b "(7 DOWNTO 0)"
     6571o 15
     6572suid 162,0
     6573i "(OTHERS => '0')"
     6574)
     6575declText (MLText
     6576uid 9202,0
     6577va (VaSet
     6578font "Courier New,8,0"
     6579)
     6580xt "39000,15000,74000,15800"
     6581st "A0_T           : std_logic_vector(7 DOWNTO 0)   := (OTHERS => '0')"
     6582)
     6583)
     6584*223 (PortIoOut
     6585uid 9294,0
     6586shape (CompositeShape
     6587uid 9295,0
     6588va (VaSet
     6589vasetType 1
     6590fg "0,0,32768"
     6591)
     6592optionalChildren [
     6593(Pentagon
     6594uid 9296,0
     6595sl 0
     6596ro 270
     6597xt "64500,139625,66000,140375"
     6598)
     6599(Line
     6600uid 9297,0
     6601sl 0
     6602ro 270
     6603xt "64000,140000,64500,140000"
     6604pts [
     6605"64000,140000"
     6606"64500,140000"
     6607]
     6608)
     6609]
     6610)
     6611stc 0
     6612sf 1
     6613tg (WTG
     6614uid 9298,0
     6615ps "PortIoTextPlaceStrategy"
     6616stg "STSignalDisplayStrategy"
     6617f (Text
     6618uid 9299,0
     6619va (VaSet
     6620)
     6621xt "67000,139500,69200,140500"
     6622st "A0_T"
     6623blo "67000,140300"
     6624tm "WireNameMgr"
     6625)
     6626)
     6627)
     6628*224 (Net
     6629uid 9500,0
     6630decl (Decl
     6631n "CLK_50"
     6632t "std_logic"
     6633o 52
     6634suid 163,0
     6635)
     6636declText (MLText
     6637uid 9501,0
     6638va (VaSet
     6639font "Courier New,8,0"
     6640)
     6641xt "39000,45600,57000,46400"
     6642st "SIGNAL CLK_50         : std_logic"
     6643)
     6644)
     6645*225 (Wire
    70766646uid 245,0
    70776647shape (OrthoPolyLine
     
    71106680)
    71116681)
    7112 on &70
    7113 )
    7114 *242 (Wire
     6682on &69
     6683)
     6684*226 (Wire
    71156685uid 277,0
    71166686shape (OrthoPolyLine
     
    71506720on &53
    71516721)
    7152 *243 (Wire
     6722*227 (Wire
    71536723uid 285,0
    71546724shape (OrthoPolyLine
     
    71886758on &54
    71896759)
    7190 *244 (Wire
     6760*228 (Wire
    71916761uid 362,0
    71926762shape (OrthoPolyLine
     
    72016771]
    72026772)
    7203 start &78
     6773start &77
    72046774end &16
    72056775sat 32
     
    72246794)
    72256795)
    7226 on &79
    7227 )
    7228 *245 (Wire
     6796on &78
     6797)
     6798*229 (Wire
    72296799uid 418,0
    72306800shape (OrthoPolyLine
     
    72626832)
    72636833)
    7264 on &131
    7265 )
    7266 *246 (Wire
     6834on &130
     6835)
     6836*230 (Wire
    72676837uid 426,0
    72686838shape (OrthoPolyLine
     
    73026872)
    73036873)
    7304 on &129
    7305 )
    7306 *247 (Wire
     6874on &128
     6875)
     6876*231 (Wire
    73076877uid 434,0
    73086878shape (OrthoPolyLine
     
    73406910)
    73416911)
    7342 on &135
    7343 )
    7344 *248 (Wire
     6912on &134
     6913)
     6914*232 (Wire
    73456915uid 442,0
    73466916shape (OrthoPolyLine
     
    73806950)
    73816951)
    7382 on &130
    7383 )
    7384 *249 (Wire
     6952on &129
     6953)
     6954*233 (Wire
    73856955uid 450,0
    73866956shape (OrthoPolyLine
     
    74186988)
    74196989)
    7420 on &134
    7421 )
    7422 *250 (Wire
     6990on &133
     6991)
     6992*234 (Wire
    74236993uid 458,0
    74246994shape (OrthoPolyLine
     
    74567026)
    74577027)
    7458 on &132
    7459 )
    7460 *251 (Wire
     7028on &131
     7029)
     7030*235 (Wire
    74617031uid 466,0
    74627032shape (OrthoPolyLine
     
    74947064)
    74957065)
    7496 on &133
    7497 )
    7498 *252 (Wire
     7066on &132
     7067)
     7068*236 (Wire
    74997069uid 1467,0
    75007070shape (OrthoPolyLine
     
    75097079]
    75107080)
    7511 start &82
     7081start &81
    75127082end &28
    75137083sat 2
     
    75327102on &62
    75337103)
    7534 *253 (Wire
     7104*237 (Wire
    75357105uid 1730,0
    75367106shape (OrthoPolyLine
     
    75467116]
    75477117)
    7548 start &80
     7118start &79
    75497119end &29
    75507120sat 32
     
    75707140)
    75717141)
    7572 on &81
    7573 )
    7574 *254 (Wire
     7142on &80
     7143)
     7144*238 (Wire
    75757145uid 1833,0
    75767146shape (OrthoPolyLine
     
    75807150lineWidth 2
    75817151)
    7582 xt "21000,109000,51250,109000"
    7583 pts [
    7584 "51250,109000"
    7585 "21000,109000"
    7586 ]
    7587 )
    7588 start &30
    7589 end &110
     7152xt "6000,134000,31000,134000"
     7153pts [
     7154"31000,134000"
     7155"6000,134000"
     7156]
     7157)
     7158start &201
     7159end &109
     7160sat 2
     7161eat 32
     7162sty 1
     7163stc 0
     7164st 0
     7165sf 1
     7166si 0
     7167tg (WTG
     7168uid 1837,0
     7169ps "ConnStartEndStrategy"
     7170stg "STSignalDisplayStrategy"
     7171f (Text
     7172uid 1838,0
     7173va (VaSet
     7174isHidden 1
     7175)
     7176xt "7000,133000,8900,134000"
     7177st "D_A"
     7178blo "7000,133800"
     7179tm "WireNameMgr"
     7180)
     7181)
     7182on &110
     7183)
     7184*239 (Wire
     7185uid 1841,0
     7186shape (OrthoPolyLine
     7187uid 1842,0
     7188va (VaSet
     7189vasetType 3
     7190)
     7191xt "21000,110000,51250,110000"
     7192pts [
     7193"51250,110000"
     7194"21000,110000"
     7195]
     7196)
     7197start &31
     7198end &111
     7199sat 32
     7200eat 32
     7201stc 0
     7202st 0
     7203sf 1
     7204si 0
     7205tg (WTG
     7206uid 1845,0
     7207ps "ConnStartEndStrategy"
     7208stg "STSignalDisplayStrategy"
     7209f (Text
     7210uid 1846,0
     7211va (VaSet
     7212isHidden 1
     7213)
     7214xt "22000,109000,25500,110000"
     7215st "DWRITE"
     7216blo "22000,109800"
     7217tm "WireNameMgr"
     7218)
     7219)
     7220on &112
     7221)
     7222*240 (Wire
     7223uid 1865,0
     7224shape (OrthoPolyLine
     7225uid 1866,0
     7226va (VaSet
     7227vasetType 3
     7228)
     7229xt "21000,105000,51250,105000"
     7230pts [
     7231"21000,105000"
     7232"51250,105000"
     7233]
     7234)
     7235start &101
     7236end &32
     7237sat 32
     7238eat 32
     7239stc 0
     7240st 0
     7241sf 1
     7242si 0
     7243tg (WTG
     7244uid 1869,0
     7245ps "ConnStartEndStrategy"
     7246stg "STSignalDisplayStrategy"
     7247f (Text
     7248uid 1870,0
     7249va (VaSet
     7250isHidden 1
     7251)
     7252xt "22000,104000,26600,105000"
     7253st "D0_SROUT"
     7254blo "22000,104800"
     7255tm "WireNameMgr"
     7256)
     7257)
     7258on &105
     7259)
     7260*241 (Wire
     7261uid 1873,0
     7262shape (OrthoPolyLine
     7263uid 1874,0
     7264va (VaSet
     7265vasetType 3
     7266)
     7267xt "21000,106000,51250,106000"
     7268pts [
     7269"21000,106000"
     7270"51250,106000"
     7271]
     7272)
     7273start &102
     7274end &33
     7275sat 32
     7276eat 32
     7277stc 0
     7278st 0
     7279sf 1
     7280si 0
     7281tg (WTG
     7282uid 1877,0
     7283ps "ConnStartEndStrategy"
     7284stg "STSignalDisplayStrategy"
     7285f (Text
     7286uid 1878,0
     7287va (VaSet
     7288isHidden 1
     7289)
     7290xt "22000,105000,26600,106000"
     7291st "D1_SROUT"
     7292blo "22000,105800"
     7293tm "WireNameMgr"
     7294)
     7295)
     7296on &106
     7297)
     7298*242 (Wire
     7299uid 1881,0
     7300shape (OrthoPolyLine
     7301uid 1882,0
     7302va (VaSet
     7303vasetType 3
     7304)
     7305xt "21000,107000,51250,107000"
     7306pts [
     7307"21000,107000"
     7308"51250,107000"
     7309]
     7310)
     7311start &103
     7312end &34
     7313sat 32
     7314eat 32
     7315stc 0
     7316st 0
     7317sf 1
     7318si 0
     7319tg (WTG
     7320uid 1885,0
     7321ps "ConnStartEndStrategy"
     7322stg "STSignalDisplayStrategy"
     7323f (Text
     7324uid 1886,0
     7325va (VaSet
     7326isHidden 1
     7327)
     7328xt "22000,106000,26600,107000"
     7329st "D2_SROUT"
     7330blo "22000,106800"
     7331tm "WireNameMgr"
     7332)
     7333)
     7334on &107
     7335)
     7336*243 (Wire
     7337uid 1889,0
     7338shape (OrthoPolyLine
     7339uid 1890,0
     7340va (VaSet
     7341vasetType 3
     7342)
     7343xt "21000,108000,51250,108000"
     7344pts [
     7345"21000,108000"
     7346"51250,108000"
     7347]
     7348)
     7349start &104
     7350end &35
     7351sat 32
     7352eat 32
     7353stc 0
     7354st 0
     7355sf 1
     7356si 0
     7357tg (WTG
     7358uid 1893,0
     7359ps "ConnStartEndStrategy"
     7360stg "STSignalDisplayStrategy"
     7361f (Text
     7362uid 1894,0
     7363va (VaSet
     7364isHidden 1
     7365)
     7366xt "22000,107000,26600,108000"
     7367st "D3_SROUT"
     7368blo "22000,107800"
     7369tm "WireNameMgr"
     7370)
     7371)
     7372on &108
     7373)
     7374*244 (Wire
     7375uid 2409,0
     7376shape (OrthoPolyLine
     7377uid 2410,0
     7378va (VaSet
     7379vasetType 3
     7380)
     7381xt "21000,111000,51250,111000"
     7382pts [
     7383"51250,111000"
     7384"21000,111000"
     7385]
     7386)
     7387start &36
     7388end &64
     7389sat 32
     7390eat 32
     7391stc 0
     7392st 0
     7393sf 1
     7394si 0
     7395tg (WTG
     7396uid 2413,0
     7397ps "ConnStartEndStrategy"
     7398stg "STSignalDisplayStrategy"
     7399f (Text
     7400uid 2414,0
     7401va (VaSet
     7402isHidden 1
     7403)
     7404xt "22000,110000,26200,111000"
     7405st "RSRLOAD"
     7406blo "22000,110800"
     7407tm "WireNameMgr"
     7408)
     7409)
     7410on &63
     7411)
     7412*245 (Wire
     7413uid 2423,0
     7414shape (OrthoPolyLine
     7415uid 2424,0
     7416va (VaSet
     7417vasetType 3
     7418)
     7419xt "32000,113000,51250,113000"
     7420pts [
     7421"51250,113000"
     7422"32000,113000"
     7423]
     7424)
     7425start &37
     7426end &93
     7427sat 32
     7428eat 1
     7429stc 0
     7430st 0
     7431sf 1
     7432si 0
     7433tg (WTG
     7434uid 2427,0
     7435ps "ConnStartEndStrategy"
     7436stg "STSignalDisplayStrategy"
     7437f (Text
     7438uid 2428,0
     7439va (VaSet
     7440isHidden 1
     7441)
     7442xt "66250,109000,69250,110000"
     7443st "SRCLK"
     7444blo "66250,109800"
     7445tm "WireNameMgr"
     7446)
     7447)
     7448on &65
     7449)
     7450*246 (Wire
     7451uid 3009,0
     7452shape (OrthoPolyLine
     7453uid 3010,0
     7454va (VaSet
     7455vasetType 3
     7456)
     7457xt "80750,98000,111000,98000"
     7458pts [
     7459"80750,98000"
     7460"111000,98000"
     7461]
     7462)
     7463start &39
     7464end &126
     7465sat 32
     7466eat 32
     7467stc 0
     7468st 0
     7469sf 1
     7470si 0
     7471tg (WTG
     7472uid 3011,0
     7473ps "ConnStartEndStrategy"
     7474stg "STSignalDisplayStrategy"
     7475f (Text
     7476uid 3012,0
     7477va (VaSet
     7478isHidden 1
     7479)
     7480xt "82000,97000,84800,98000"
     7481st "S_CLK"
     7482blo "82000,97800"
     7483tm "WireNameMgr"
     7484)
     7485)
     7486on &127
     7487)
     7488*247 (Wire
     7489uid 3015,0
     7490shape (OrthoPolyLine
     7491uid 3016,0
     7492va (VaSet
     7493vasetType 3
     7494)
     7495xt "80750,99000,111000,99000"
     7496pts [
     7497"80750,99000"
     7498"111000,99000"
     7499]
     7500)
     7501start &41
     7502end &135
     7503sat 32
     7504eat 32
     7505stc 0
     7506st 0
     7507sf 1
     7508si 0
     7509tg (WTG
     7510uid 3017,0
     7511ps "ConnStartEndStrategy"
     7512stg "STSignalDisplayStrategy"
     7513f (Text
     7514uid 3018,0
     7515va (VaSet
     7516isHidden 1
     7517)
     7518xt "82750,98000,85150,99000"
     7519st "MISO"
     7520blo "82750,98800"
     7521tm "WireNameMgr"
     7522)
     7523)
     7524on &138
     7525)
     7526*248 (Wire
     7527uid 3021,0
     7528shape (OrthoPolyLine
     7529uid 3022,0
     7530va (VaSet
     7531vasetType 3
     7532lineWidth 2
     7533)
     7534xt "80750,89000,100000,89000"
     7535pts [
     7536"80750,89000"
     7537"100000,89000"
     7538]
     7539)
     7540start &40
     7541end &114
     7542sat 32
     7543eat 1
     7544sty 1
     7545st 0
     7546sf 1
     7547si 0
     7548tg (WTG
     7549uid 3023,0
     7550ps "ConnStartEndStrategy"
     7551stg "STSignalDisplayStrategy"
     7552f (Text
     7553uid 3024,0
     7554va (VaSet
     7555)
     7556xt "92000,88000,98500,89000"
     7557st "sensor_cs : (3:0)"
     7558blo "92000,88800"
     7559tm "WireNameMgr"
     7560)
     7561)
     7562on &66
     7563)
     7564*249 (Wire
     7565uid 3027,0
     7566shape (OrthoPolyLine
     7567uid 3028,0
     7568va (VaSet
     7569vasetType 3
     7570)
     7571xt "94000,87000,111000,87000"
     7572pts [
     7573"94000,87000"
     7574"111000,87000"
     7575]
     7576)
     7577start &211
     7578end &113
     7579ss 0
     7580sat 32
     7581eat 32
     7582stc 0
     7583st 0
     7584sf 1
     7585si 0
     7586tg (WTG
     7587uid 3031,0
     7588ps "ConnStartEndStrategy"
     7589stg "STSignalDisplayStrategy"
     7590f (Text
     7591uid 3032,0
     7592va (VaSet
     7593isHidden 1
     7594)
     7595xt "95000,86000,98600,87000"
     7596st "DAC_CS"
     7597blo "95000,86800"
     7598tm "WireNameMgr"
     7599)
     7600)
     7601on &67
     7602)
     7603*250 (Wire
     7604uid 3218,0
     7605shape (OrthoPolyLine
     7606uid 3219,0
     7607va (VaSet
     7608vasetType 3
     7609)
     7610xt "11000,77000,13000,77000"
     7611pts [
     7612"11000,77000"
     7613"13000,77000"
     7614]
     7615)
     7616start &47
     7617end &176
     7618sat 32
     7619eat 32
     7620stc 0
     7621st 0
     7622sf 1
     7623si 0
     7624tg (WTG
     7625uid 3220,0
     7626ps "ConnStartEndStrategy"
     7627stg "STSignalDisplayStrategy"
     7628f (Text
     7629uid 3221,0
     7630va (VaSet
     7631isHidden 1
     7632)
     7633xt "22000,76000,24100,77000"
     7634st "TRG"
     7635blo "22000,76800"
     7636tm "WireNameMgr"
     7637)
     7638)
     7639on &70
     7640)
     7641*251 (Wire
     7642uid 3260,0
     7643shape (OrthoPolyLine
     7644uid 3261,0
     7645va (VaSet
     7646vasetType 3
     7647lineWidth 2
     7648)
     7649xt "21000,70000,24000,70000"
     7650pts [
     7651"21000,70000"
     7652"24000,70000"
     7653]
     7654)
     7655start &68
     7656end &71
     7657sat 32
     7658eat 2
     7659sty 1
     7660stc 0
     7661st 0
     7662sf 1
     7663si 0
     7664tg (WTG
     7665uid 3264,0
     7666ps "ConnStartEndStrategy"
     7667stg "STSignalDisplayStrategy"
     7668f (Text
     7669uid 3265,0
     7670va (VaSet
     7671isHidden 1
     7672)
     7673xt "23000,69000,25800,70000"
     7674st "A_CLK"
     7675blo "23000,69800"
     7676tm "WireNameMgr"
     7677)
     7678)
     7679on &75
     7680)
     7681*252 (Wire
     7682uid 3270,0
     7683shape (OrthoPolyLine
     7684uid 3271,0
     7685va (VaSet
     7686vasetType 3
     7687)
     7688xt "32000,70000,51250,70000"
     7689pts [
     7690"51250,70000"
     7691"32000,70000"
     7692]
     7693)
     7694start &25
     7695end &71
     7696sat 32
     7697eat 1
     7698st 0
     7699sf 1
     7700si 0
     7701tg (WTG
     7702uid 3274,0
     7703ps "ConnStartEndStrategy"
     7704stg "STSignalDisplayStrategy"
     7705f (Text
     7706uid 3275,0
     7707va (VaSet
     7708)
     7709xt "46000,69000,50500,70000"
     7710st "CLK_25_PS"
     7711blo "46000,69800"
     7712tm "WireNameMgr"
     7713)
     7714)
     7715on &76
     7716)
     7717*253 (Wire
     7718uid 3318,0
     7719shape (OrthoPolyLine
     7720uid 3319,0
     7721va (VaSet
     7722vasetType 3
     7723lineWidth 2
     7724)
     7725xt "21000,95000,24000,95000"
     7726pts [
     7727"21000,95000"
     7728"24000,95000"
     7729]
     7730)
     7731start &85
     7732end &81
     7733sat 32
     7734eat 1
     7735sty 1
     7736stc 0
     7737st 0
     7738sf 1
     7739si 0
     7740tg (WTG
     7741uid 3322,0
     7742ps "ConnStartEndStrategy"
     7743stg "STSignalDisplayStrategy"
     7744f (Text
     7745uid 3323,0
     7746va (VaSet
     7747isHidden 1
     7748)
     7749xt "23000,94000,25300,95000"
     7750st "A0_D"
     7751blo "23000,94800"
     7752tm "WireNameMgr"
     7753)
     7754)
     7755on &89
     7756)
     7757*254 (Wire
     7758uid 3352,0
     7759shape (OrthoPolyLine
     7760uid 3353,0
     7761va (VaSet
     7762vasetType 3
     7763lineWidth 2
     7764)
     7765xt "21000,96000,24000,96000"
     7766pts [
     7767"21000,96000"
     7768"24000,96000"
     7769]
     7770)
     7771start &86
     7772end &81
     7773sat 32
     7774eat 1
     7775sty 1
     7776stc 0
     7777st 0
     7778sf 1
     7779si 0
     7780tg (WTG
     7781uid 3356,0
     7782ps "ConnStartEndStrategy"
     7783stg "STSignalDisplayStrategy"
     7784f (Text
     7785uid 3357,0
     7786va (VaSet
     7787isHidden 1
     7788)
     7789xt "23000,95000,25300,96000"
     7790st "A1_D"
     7791blo "23000,95800"
     7792tm "WireNameMgr"
     7793)
     7794)
     7795on &90
     7796)
     7797*255 (Wire
     7798uid 3360,0
     7799shape (OrthoPolyLine
     7800uid 3361,0
     7801va (VaSet
     7802vasetType 3
     7803lineWidth 2
     7804)
     7805xt "21000,97000,24000,97000"
     7806pts [
     7807"21000,97000"
     7808"24000,97000"
     7809]
     7810)
     7811start &87
     7812end &81
     7813sat 32
     7814eat 1
     7815sty 1
     7816stc 0
     7817st 0
     7818sf 1
     7819si 0
     7820tg (WTG
     7821uid 3364,0
     7822ps "ConnStartEndStrategy"
     7823stg "STSignalDisplayStrategy"
     7824f (Text
     7825uid 3365,0
     7826va (VaSet
     7827isHidden 1
     7828)
     7829xt "23000,96000,25300,97000"
     7830st "A2_D"
     7831blo "23000,96800"
     7832tm "WireNameMgr"
     7833)
     7834)
     7835on &91
     7836)
     7837*256 (Wire
     7838uid 3368,0
     7839shape (OrthoPolyLine
     7840uid 3369,0
     7841va (VaSet
     7842vasetType 3
     7843lineWidth 2
     7844)
     7845xt "21000,98000,24000,98000"
     7846pts [
     7847"21000,98000"
     7848"24000,98000"
     7849]
     7850)
     7851start &88
     7852end &81
     7853sat 32
     7854eat 1
     7855sty 1
     7856stc 0
     7857st 0
     7858sf 1
     7859si 0
     7860tg (WTG
     7861uid 3372,0
     7862ps "ConnStartEndStrategy"
     7863stg "STSignalDisplayStrategy"
     7864f (Text
     7865uid 3373,0
     7866va (VaSet
     7867isHidden 1
     7868)
     7869xt "23000,97000,25300,98000"
     7870st "A3_D"
     7871blo "23000,97800"
     7872tm "WireNameMgr"
     7873)
     7874)
     7875on &92
     7876)
     7877*257 (Wire
     7878uid 3430,0
     7879shape (OrthoPolyLine
     7880uid 3431,0
     7881va (VaSet
     7882vasetType 3
     7883)
     7884xt "21000,113000,24000,113000"
     7885pts [
     7886"21000,113000"
     7887"24000,113000"
     7888]
     7889)
     7890start &161
     7891end &93
     7892sat 32
     7893eat 2
     7894stc 0
     7895st 0
     7896sf 1
     7897si 0
     7898tg (WTG
     7899uid 3434,0
     7900ps "ConnStartEndStrategy"
     7901stg "STSignalDisplayStrategy"
     7902f (Text
     7903uid 3435,0
     7904va (VaSet
     7905isHidden 1
     7906)
     7907xt "23000,112000,27400,113000"
     7908st "D0_SRCLK"
     7909blo "23000,112800"
     7910tm "WireNameMgr"
     7911)
     7912)
     7913on &97
     7914)
     7915*258 (Wire
     7916uid 3438,0
     7917shape (OrthoPolyLine
     7918uid 3439,0
     7919va (VaSet
     7920vasetType 3
     7921)
     7922xt "21000,114000,24000,114000"
     7923pts [
     7924"21000,114000"
     7925"24000,114000"
     7926]
     7927)
     7928start &162
     7929end &93
     7930sat 32
     7931eat 2
     7932stc 0
     7933st 0
     7934sf 1
     7935si 0
     7936tg (WTG
     7937uid 3442,0
     7938ps "ConnStartEndStrategy"
     7939stg "STSignalDisplayStrategy"
     7940f (Text
     7941uid 3443,0
     7942va (VaSet
     7943isHidden 1
     7944)
     7945xt "23000,113000,27400,114000"
     7946st "D1_SRCLK"
     7947blo "23000,113800"
     7948tm "WireNameMgr"
     7949)
     7950)
     7951on &98
     7952)
     7953*259 (Wire
     7954uid 3446,0
     7955shape (OrthoPolyLine
     7956uid 3447,0
     7957va (VaSet
     7958vasetType 3
     7959)
     7960xt "21000,115000,24000,115000"
     7961pts [
     7962"21000,115000"
     7963"24000,115000"
     7964]
     7965)
     7966start &163
     7967end &93
     7968sat 32
     7969eat 2
     7970stc 0
     7971st 0
     7972sf 1
     7973si 0
     7974tg (WTG
     7975uid 3450,0
     7976ps "ConnStartEndStrategy"
     7977stg "STSignalDisplayStrategy"
     7978f (Text
     7979uid 3451,0
     7980va (VaSet
     7981isHidden 1
     7982)
     7983xt "23000,114000,27400,115000"
     7984st "D2_SRCLK"
     7985blo "23000,114800"
     7986tm "WireNameMgr"
     7987)
     7988)
     7989on &99
     7990)
     7991*260 (Wire
     7992uid 3454,0
     7993shape (OrthoPolyLine
     7994uid 3455,0
     7995va (VaSet
     7996vasetType 3
     7997)
     7998xt "21000,116000,24000,116000"
     7999pts [
     8000"21000,116000"
     8001"24000,116000"
     8002]
     8003)
     8004start &164
     8005end &93
     8006sat 32
     8007eat 2
     8008stc 0
     8009st 0
     8010sf 1
     8011si 0
     8012tg (WTG
     8013uid 3458,0
     8014ps "ConnStartEndStrategy"
     8015stg "STSignalDisplayStrategy"
     8016f (Text
     8017uid 3459,0
     8018va (VaSet
     8019isHidden 1
     8020)
     8021xt "23000,115000,27400,116000"
     8022st "D3_SRCLK"
     8023blo "23000,115800"
     8024tm "WireNameMgr"
     8025)
     8026)
     8027on &100
     8028)
     8029*261 (Wire
     8030uid 3574,0
     8031shape (OrthoPolyLine
     8032uid 3575,0
     8033va (VaSet
     8034vasetType 3
     8035)
     8036xt "108000,89000,111000,89000"
     8037pts [
     8038"111000,89000"
     8039"108000,89000"
     8040]
     8041)
     8042start &118
     8043end &114
     8044sat 32
     8045eat 2
     8046stc 0
     8047st 0
     8048sf 1
     8049si 0
     8050tg (WTG
     8051uid 3578,0
     8052ps "ConnStartEndStrategy"
     8053stg "STSignalDisplayStrategy"
     8054f (Text
     8055uid 3579,0
     8056va (VaSet
     8057isHidden 1
     8058)
     8059xt "108000,88000,110800,89000"
     8060st "T0_CS"
     8061blo "108000,88800"
     8062tm "WireNameMgr"
     8063)
     8064)
     8065on &122
     8066)
     8067*262 (Wire
     8068uid 3582,0
     8069shape (OrthoPolyLine
     8070uid 3583,0
     8071va (VaSet
     8072vasetType 3
     8073)
     8074xt "108000,90000,111000,90000"
     8075pts [
     8076"111000,90000"
     8077"108000,90000"
     8078]
     8079)
     8080start &119
     8081end &114
     8082sat 32
     8083eat 2
     8084stc 0
     8085st 0
     8086sf 1
     8087si 0
     8088tg (WTG
     8089uid 3586,0
     8090ps "ConnStartEndStrategy"
     8091stg "STSignalDisplayStrategy"
     8092f (Text
     8093uid 3587,0
     8094va (VaSet
     8095isHidden 1
     8096)
     8097xt "108000,89000,110800,90000"
     8098st "T1_CS"
     8099blo "108000,89800"
     8100tm "WireNameMgr"
     8101)
     8102)
     8103on &123
     8104)
     8105*263 (Wire
     8106uid 3590,0
     8107shape (OrthoPolyLine
     8108uid 3591,0
     8109va (VaSet
     8110vasetType 3
     8111)
     8112xt "108000,91000,111000,91000"
     8113pts [
     8114"111000,91000"
     8115"108000,91000"
     8116]
     8117)
     8118start &120
     8119end &114
     8120sat 32
     8121eat 2
     8122stc 0
     8123st 0
     8124sf 1
     8125si 0
     8126tg (WTG
     8127uid 3594,0
     8128ps "ConnStartEndStrategy"
     8129stg "STSignalDisplayStrategy"
     8130f (Text
     8131uid 3595,0
     8132va (VaSet
     8133isHidden 1
     8134)
     8135xt "108000,90000,110800,91000"
     8136st "T2_CS"
     8137blo "108000,90800"
     8138tm "WireNameMgr"
     8139)
     8140)
     8141on &124
     8142)
     8143*264 (Wire
     8144uid 3598,0
     8145shape (OrthoPolyLine
     8146uid 3599,0
     8147va (VaSet
     8148vasetType 3
     8149)
     8150xt "108000,92000,111000,92000"
     8151pts [
     8152"111000,92000"
     8153"108000,92000"
     8154]
     8155)
     8156start &121
     8157end &114
     8158sat 32
     8159eat 2
     8160stc 0
     8161st 0
     8162sf 1
     8163si 0
     8164tg (WTG
     8165uid 3602,0
     8166ps "ConnStartEndStrategy"
     8167stg "STSignalDisplayStrategy"
     8168f (Text
     8169uid 3603,0
     8170va (VaSet
     8171isHidden 1
     8172)
     8173xt "108000,91000,110800,92000"
     8174st "T3_CS"
     8175blo "108000,91800"
     8176tm "WireNameMgr"
     8177)
     8178)
     8179on &125
     8180)
     8181*265 (Wire
     8182uid 3682,0
     8183shape (OrthoPolyLine
     8184uid 3683,0
     8185va (VaSet
     8186vasetType 3
     8187)
     8188xt "80750,100000,111000,100000"
     8189pts [
     8190"80750,100000"
     8191"111000,100000"
     8192]
     8193)
     8194start &42
     8195end &137
     8196sat 32
     8197eat 32
     8198stc 0
     8199st 0
     8200sf 1
     8201si 0
     8202tg (WTG
     8203uid 3686,0
     8204ps "ConnStartEndStrategy"
     8205stg "STSignalDisplayStrategy"
     8206f (Text
     8207uid 3687,0
     8208va (VaSet
     8209isHidden 1
     8210)
     8211xt "82000,99000,84400,100000"
     8212st "MOSI"
     8213blo "82000,99800"
     8214tm "WireNameMgr"
     8215)
     8216)
     8217on &136
     8218)
     8219*266 (Wire
     8220uid 3778,0
     8221shape (OrthoPolyLine
     8222uid 3779,0
     8223va (VaSet
     8224vasetType 3
     8225)
     8226xt "108000,103000,111000,103000"
     8227pts [
     8228"111000,103000"
     8229"108000,103000"
     8230]
     8231)
     8232start &143
     8233end &139
     8234sat 32
     8235eat 2
     8236stc 0
     8237st 0
     8238sf 1
     8239si 0
     8240tg (WTG
     8241uid 3782,0
     8242ps "ConnStartEndStrategy"
     8243stg "STSignalDisplayStrategy"
     8244f (Text
     8245uid 3783,0
     8246va (VaSet
     8247isHidden 1
     8248)
     8249xt "108000,102000,111000,103000"
     8250st "TRG_V"
     8251blo "108000,102800"
     8252tm "WireNameMgr"
     8253)
     8254)
     8255on &152
     8256)
     8257*267 (Wire
     8258uid 3786,0
     8259shape (OrthoPolyLine
     8260uid 3787,0
     8261va (VaSet
     8262vasetType 3
     8263)
     8264xt "108000,104000,111000,104000"
     8265pts [
     8266"111000,104000"
     8267"108000,104000"
     8268]
     8269)
     8270start &144
     8271end &139
     8272sat 32
     8273eat 2
     8274stc 0
     8275st 0
     8276sf 1
     8277si 0
     8278tg (WTG
     8279uid 3790,0
     8280ps "ConnStartEndStrategy"
     8281stg "STSignalDisplayStrategy"
     8282f (Text
     8283uid 3791,0
     8284va (VaSet
     8285isHidden 1
     8286)
     8287xt "108000,103000,113600,104000"
     8288st "RS485_C_RE"
     8289blo "108000,103800"
     8290tm "WireNameMgr"
     8291)
     8292)
     8293on &153
     8294)
     8295*268 (Wire
     8296uid 3794,0
     8297shape (OrthoPolyLine
     8298uid 3795,0
     8299va (VaSet
     8300vasetType 3
     8301)
     8302xt "108000,105000,111000,105000"
     8303pts [
     8304"111000,105000"
     8305"108000,105000"
     8306]
     8307)
     8308start &145
     8309end &139
     8310sat 32
     8311eat 2
     8312stc 0
     8313st 0
     8314sf 1
     8315si 0
     8316tg (WTG
     8317uid 3798,0
     8318ps "ConnStartEndStrategy"
     8319stg "STSignalDisplayStrategy"
     8320f (Text
     8321uid 3799,0
     8322va (VaSet
     8323isHidden 1
     8324)
     8325xt "108000,104000,113600,105000"
     8326st "RS485_C_DE"
     8327blo "108000,104800"
     8328tm "WireNameMgr"
     8329)
     8330)
     8331on &154
     8332)
     8333*269 (Wire
     8334uid 3802,0
     8335shape (OrthoPolyLine
     8336uid 3803,0
     8337va (VaSet
     8338vasetType 3
     8339)
     8340xt "108000,106000,111000,106000"
     8341pts [
     8342"111000,106000"
     8343"108000,106000"
     8344]
     8345)
     8346start &146
     8347end &139
     8348sat 32
     8349eat 2
     8350stc 0
     8351st 0
     8352sf 1
     8353si 0
     8354tg (WTG
     8355uid 3806,0
     8356ps "ConnStartEndStrategy"
     8357stg "STSignalDisplayStrategy"
     8358f (Text
     8359uid 3807,0
     8360va (VaSet
     8361isHidden 1
     8362)
     8363xt "108000,105000,113500,106000"
     8364st "RS485_E_RE"
     8365blo "108000,105800"
     8366tm "WireNameMgr"
     8367)
     8368)
     8369on &155
     8370)
     8371*270 (Wire
     8372uid 3810,0
     8373shape (OrthoPolyLine
     8374uid 3811,0
     8375va (VaSet
     8376vasetType 3
     8377)
     8378xt "108000,107000,111000,107000"
     8379pts [
     8380"111000,107000"
     8381"108000,107000"
     8382]
     8383)
     8384start &147
     8385end &139
     8386sat 32
     8387eat 2
     8388stc 0
     8389st 0
     8390sf 1
     8391si 0
     8392tg (WTG
     8393uid 3814,0
     8394ps "ConnStartEndStrategy"
     8395stg "STSignalDisplayStrategy"
     8396f (Text
     8397uid 3815,0
     8398va (VaSet
     8399isHidden 1
     8400)
     8401xt "108000,106000,113500,107000"
     8402st "RS485_E_DE"
     8403blo "108000,106800"
     8404tm "WireNameMgr"
     8405)
     8406)
     8407on &156
     8408)
     8409*271 (Wire
     8410uid 3826,0
     8411shape (OrthoPolyLine
     8412uid 3827,0
     8413va (VaSet
     8414vasetType 3
     8415)
     8416xt "108000,109000,111000,109000"
     8417pts [
     8418"111000,109000"
     8419"108000,109000"
     8420]
     8421)
     8422start &149
     8423end &139
     8424sat 32
     8425eat 2
     8426stc 0
     8427st 0
     8428sf 1
     8429si 0
     8430tg (WTG
     8431uid 3830,0
     8432ps "ConnStartEndStrategy"
     8433stg "STSignalDisplayStrategy"
     8434f (Text
     8435uid 3831,0
     8436va (VaSet
     8437isHidden 1
     8438)
     8439xt "108000,108000,110300,109000"
     8440st "SRIN"
     8441blo "108000,108800"
     8442tm "WireNameMgr"
     8443)
     8444)
     8445on &158
     8446)
     8447*272 (Wire
     8448uid 3834,0
     8449shape (OrthoPolyLine
     8450uid 3835,0
     8451va (VaSet
     8452vasetType 3
     8453)
     8454xt "108000,110000,111000,110000"
     8455pts [
     8456"111000,110000"
     8457"108000,110000"
     8458]
     8459)
     8460start &150
     8461end &139
     8462sat 32
     8463eat 2
     8464stc 0
     8465st 0
     8466sf 1
     8467si 0
     8468tg (WTG
     8469uid 3838,0
     8470ps "ConnStartEndStrategy"
     8471stg "STSignalDisplayStrategy"
     8472f (Text
     8473uid 3839,0
     8474va (VaSet
     8475isHidden 1
     8476)
     8477xt "108000,109000,110900,110000"
     8478st "EE_CS"
     8479blo "108000,109800"
     8480tm "WireNameMgr"
     8481)
     8482)
     8483on &159
     8484)
     8485*273 (Wire
     8486uid 3842,0
     8487shape (OrthoPolyLine
     8488uid 3843,0
     8489va (VaSet
     8490vasetType 3
     8491lineWidth 2
     8492)
     8493xt "108000,111000,111000,111000"
     8494pts [
     8495"111000,111000"
     8496"108000,111000"
     8497]
     8498)
     8499start &151
     8500end &139
     8501sat 32
     8502eat 2
     8503sty 1
     8504stc 0
     8505st 0
     8506sf 1
     8507si 0
     8508tg (WTG
     8509uid 3846,0
     8510ps "ConnStartEndStrategy"
     8511stg "STSignalDisplayStrategy"
     8512f (Text
     8513uid 3847,0
     8514va (VaSet
     8515isHidden 1
     8516)
     8517xt "108000,110000,109900,111000"
     8518st "LED"
     8519blo "108000,110800"
     8520tm "WireNameMgr"
     8521)
     8522)
     8523on &160
     8524)
     8525*274 (Wire
     8526uid 4942,0
     8527shape (OrthoPolyLine
     8528uid 4943,0
     8529va (VaSet
     8530vasetType 3
     8531lineWidth 2
     8532)
     8533xt "80750,120000,111000,120000"
     8534pts [
     8535"80750,120000"
     8536"111000,120000"
     8537]
     8538)
     8539start &14
     8540end &165
    75908541sat 32
    75918542eat 32
     
    75968547si 0
    75978548tg (WTG
    7598 uid 1837,0
     8549uid 4948,0
    75998550ps "ConnStartEndStrategy"
    76008551stg "STSignalDisplayStrategy"
    76018552f (Text
    7602 uid 1838,0
     8553uid 4949,0
    76038554va (VaSet
    76048555isHidden 1
    76058556)
    7606 xt "22000,108000,23900,109000"
    7607 st "D_A"
    7608 blo "22000,108800"
    7609 tm "WireNameMgr"
    7610 )
    7611 )
    7612 on &111
    7613 )
    7614 *255 (Wire
    7615 uid 1841,0
     8557xt "82750,117000,84650,118000"
     8558st "D_T"
     8559blo "82750,117800"
     8560tm "WireNameMgr"
     8561)
     8562)
     8563on &166
     8564)
     8565*275 (Wire
     8566uid 6130,0
    76168567shape (OrthoPolyLine
    7617 uid 1842,0
     8568uid 6131,0
    76188569va (VaSet
    76198570vasetType 3
    76208571)
    7621 xt "21000,110000,51250,110000"
    7622 pts [
    7623 "51250,110000"
    7624 "21000,110000"
    7625 ]
    7626 )
    7627 start &31
    7628 end &112
     8572xt "19000,78000,51250,78000"
     8573pts [
     8574"19000,78000"
     8575"51250,78000"
     8576]
     8577)
     8578start &173
     8579end &15
     8580sat 32
     8581eat 32
     8582st 0
     8583sf 1
     8584si 0
     8585tg (WTG
     8586uid 6136,0
     8587ps "ConnStartEndStrategy"
     8588stg "STSignalDisplayStrategy"
     8589f (Text
     8590uid 6137,0
     8591va (VaSet
     8592)
     8593xt "21000,77000,24700,78000"
     8594st "TRG_OR"
     8595blo "21000,77800"
     8596tm "WireNameMgr"
     8597)
     8598)
     8599on &169
     8600)
     8601*276 (Wire
     8602uid 6306,0
     8603shape (OrthoPolyLine
     8604uid 6307,0
     8605va (VaSet
     8606vasetType 3
     8607)
     8608xt "11000,79000,13000,79000"
     8609pts [
     8610"11000,79000"
     8611"13000,79000"
     8612]
     8613)
     8614start &167
     8615end &171
    76298616sat 32
    76308617eat 32
     
    76348621si 0
    76358622tg (WTG
    7636 uid 1845,0
     8623uid 6312,0
    76378624ps "ConnStartEndStrategy"
    76388625stg "STSignalDisplayStrategy"
    76398626f (Text
    7640 uid 1846,0
     8627uid 6313,0
    76418628va (VaSet
    76428629isHidden 1
    76438630)
    7644 xt "22000,109000,25500,110000"
    7645 st "DWRITE"
    7646 blo "22000,109800"
    7647 tm "WireNameMgr"
    7648 )
    7649 )
    7650 on &113
    7651 )
    7652 *256 (Wire
    7653 uid 1865,0
     8631xt "13000,78000,17500,79000"
     8632st "TEST_TRG"
     8633blo "13000,78800"
     8634tm "WireNameMgr"
     8635)
     8636)
     8637on &168
     8638)
     8639*277 (Wire
     8640uid 6431,0
    76548641shape (OrthoPolyLine
    7655 uid 1866,0
     8642uid 6432,0
    76568643va (VaSet
    76578644vasetType 3
    76588645)
    7659 xt "21000,105000,51250,105000"
    7660 pts [
    7661 "21000,105000"
    7662 "51250,105000"
    7663 ]
    7664 )
    7665 start &102
    7666 end &32
     8646xt "80750,121000,111000,121000"
     8647pts [
     8648"80750,121000"
     8649"111000,121000"
     8650]
     8651)
     8652start &43
     8653end &148
    76678654sat 32
    76688655eat 32
     
    76728659si 0
    76738660tg (WTG
    7674 uid 1869,0
     8661uid 6435,0
    76758662ps "ConnStartEndStrategy"
    76768663stg "STSignalDisplayStrategy"
    76778664f (Text
    7678 uid 1870,0
     8665uid 6436,0
    76798666va (VaSet
    76808667isHidden 1
    76818668)
    7682 xt "22000,104000,26600,105000"
    7683 st "D0_SROUT"
    7684 blo "22000,104800"
    7685 tm "WireNameMgr"
    7686 )
    7687 )
    7688 on &106
    7689 )
    7690 *257 (Wire
    7691 uid 1873,0
     8669xt "92000,120000,96000,121000"
     8670st "DENABLE"
     8671blo "92000,120800"
     8672tm "WireNameMgr"
     8673)
     8674)
     8675on &157
     8676)
     8677*278 (Wire
     8678uid 6787,0
    76928679shape (OrthoPolyLine
    7693 uid 1874,0
    7694 va (VaSet
    7695 vasetType 3
    7696 )
    7697 xt "21000,106000,51250,106000"
    7698 pts [
    7699 "21000,106000"
    7700 "51250,106000"
    7701 ]
    7702 )
    7703 start &103
    7704 end &33
    7705 sat 32
    7706 eat 32
    7707 stc 0
    7708 st 0
    7709 sf 1
    7710 si 0
    7711 tg (WTG
    7712 uid 1877,0
    7713 ps "ConnStartEndStrategy"
    7714 stg "STSignalDisplayStrategy"
    7715 f (Text
    7716 uid 1878,0
    7717 va (VaSet
    7718 isHidden 1
    7719 )
    7720 xt "22000,105000,26600,106000"
    7721 st "D1_SROUT"
    7722 blo "22000,105800"
    7723 tm "WireNameMgr"
    7724 )
    7725 )
    7726 on &107
    7727 )
    7728 *258 (Wire
    7729 uid 1881,0
    7730 shape (OrthoPolyLine
    7731 uid 1882,0
    7732 va (VaSet
    7733 vasetType 3
    7734 )
    7735 xt "21000,107000,51250,107000"
    7736 pts [
    7737 "21000,107000"
    7738 "51250,107000"
    7739 ]
    7740 )
    7741 start &104
    7742 end &34
    7743 sat 32
    7744 eat 32
    7745 stc 0
    7746 st 0
    7747 sf 1
    7748 si 0
    7749 tg (WTG
    7750 uid 1885,0
    7751 ps "ConnStartEndStrategy"
    7752 stg "STSignalDisplayStrategy"
    7753 f (Text
    7754 uid 1886,0
    7755 va (VaSet
    7756 isHidden 1
    7757 )
    7758 xt "22000,106000,26600,107000"
    7759 st "D2_SROUT"
    7760 blo "22000,106800"
    7761 tm "WireNameMgr"
    7762 )
    7763 )
    7764 on &108
    7765 )
    7766 *259 (Wire
    7767 uid 1889,0
    7768 shape (OrthoPolyLine
    7769 uid 1890,0
    7770 va (VaSet
    7771 vasetType 3
    7772 )
    7773 xt "21000,108000,51250,108000"
    7774 pts [
    7775 "21000,108000"
    7776 "51250,108000"
    7777 ]
    7778 )
    7779 start &105
    7780 end &35
    7781 sat 32
    7782 eat 32
    7783 stc 0
    7784 st 0
    7785 sf 1
    7786 si 0
    7787 tg (WTG
    7788 uid 1893,0
    7789 ps "ConnStartEndStrategy"
    7790 stg "STSignalDisplayStrategy"
    7791 f (Text
    7792 uid 1894,0
    7793 va (VaSet
    7794 isHidden 1
    7795 )
    7796 xt "22000,107000,26600,108000"
    7797 st "D3_SROUT"
    7798 blo "22000,107800"
    7799 tm "WireNameMgr"
    7800 )
    7801 )
    7802 on &109
    7803 )
    7804 *260 (Wire
    7805 uid 2269,0
    7806 shape (OrthoPolyLine
    7807 uid 2270,0
    7808 va (VaSet
    7809 vasetType 3
    7810 )
    7811 xt "-15000,69000,51250,88000"
    7812 pts [
    7813 "51250,69000"
    7814 "-15000,69000"
    7815 "-15000,88000"
    7816 "-11750,88000"
    7817 ]
    7818 )
    7819 start &26
    7820 end &172
    7821 sat 32
    7822 eat 32
    7823 stc 0
    7824 st 0
    7825 sf 1
    7826 si 0
    7827 tg (WTG
    7828 uid 2273,0
    7829 ps "ConnStartEndStrategy"
    7830 stg "STSignalDisplayStrategy"
    7831 f (Text
    7832 uid 2274,0
    7833 va (VaSet
    7834 isHidden 1
    7835 )
    7836 xt "50250,68000,53350,69000"
    7837 st "CLK_50"
    7838 blo "50250,68800"
    7839 tm "WireNameMgr"
    7840 )
    7841 )
    7842 on &63
    7843 )
    7844 *261 (Wire
    7845 uid 2409,0
    7846 shape (OrthoPolyLine
    7847 uid 2410,0
    7848 va (VaSet
    7849 vasetType 3
    7850 )
    7851 xt "21000,111000,51250,111000"
    7852 pts [
    7853 "51250,111000"
    7854 "21000,111000"
    7855 ]
    7856 )
    7857 start &36
    7858 end &65
    7859 sat 32
    7860 eat 32
    7861 stc 0
    7862 st 0
    7863 sf 1
    7864 si 0
    7865 tg (WTG
    7866 uid 2413,0
    7867 ps "ConnStartEndStrategy"
    7868 stg "STSignalDisplayStrategy"
    7869 f (Text
    7870 uid 2414,0
    7871 va (VaSet
    7872 isHidden 1
    7873 )
    7874 xt "22000,110000,26200,111000"
    7875 st "RSRLOAD"
    7876 blo "22000,110800"
    7877 tm "WireNameMgr"
    7878 )
    7879 )
    7880 on &64
    7881 )
    7882 *262 (Wire
    7883 uid 2423,0
    7884 shape (OrthoPolyLine
    7885 uid 2424,0
    7886 va (VaSet
    7887 vasetType 3
    7888 )
    7889 xt "32000,113000,51250,113000"
    7890 pts [
    7891 "51250,113000"
    7892 "32000,113000"
    7893 ]
    7894 )
    7895 start &37
    7896 end &94
    7897 sat 32
    7898 eat 1
    7899 stc 0
    7900 st 0
    7901 sf 1
    7902 si 0
    7903 tg (WTG
    7904 uid 2427,0
    7905 ps "ConnStartEndStrategy"
    7906 stg "STSignalDisplayStrategy"
    7907 f (Text
    7908 uid 2428,0
    7909 va (VaSet
    7910 isHidden 1
    7911 )
    7912 xt "66250,109000,69250,110000"
    7913 st "SRCLK"
    7914 blo "66250,109800"
    7915 tm "WireNameMgr"
    7916 )
    7917 )
    7918 on &66
    7919 )
    7920 *263 (Wire
    7921 uid 3009,0
    7922 shape (OrthoPolyLine
    7923 uid 3010,0
    7924 va (VaSet
    7925 vasetType 3
    7926 )
    7927 xt "80750,98000,111000,98000"
    7928 pts [
    7929 "80750,98000"
    7930 "111000,98000"
    7931 ]
    7932 )
    7933 start &39
    7934 end &127
    7935 sat 32
    7936 eat 32
    7937 stc 0
    7938 st 0
    7939 sf 1
    7940 si 0
    7941 tg (WTG
    7942 uid 3011,0
    7943 ps "ConnStartEndStrategy"
    7944 stg "STSignalDisplayStrategy"
    7945 f (Text
    7946 uid 3012,0
    7947 va (VaSet
    7948 isHidden 1
    7949 )
    7950 xt "82000,97000,84800,98000"
    7951 st "S_CLK"
    7952 blo "82000,97800"
    7953 tm "WireNameMgr"
    7954 )
    7955 )
    7956 on &128
    7957 )
    7958 *264 (Wire
    7959 uid 3015,0
    7960 shape (OrthoPolyLine
    7961 uid 3016,0
    7962 va (VaSet
    7963 vasetType 3
    7964 )
    7965 xt "80750,99000,111000,99000"
    7966 pts [
    7967 "80750,99000"
    7968 "111000,99000"
    7969 ]
    7970 )
    7971 start &41
    7972 end &136
    7973 sat 32
    7974 eat 32
    7975 stc 0
    7976 st 0
    7977 sf 1
    7978 si 0
    7979 tg (WTG
    7980 uid 3017,0
    7981 ps "ConnStartEndStrategy"
    7982 stg "STSignalDisplayStrategy"
    7983 f (Text
    7984 uid 3018,0
    7985 va (VaSet
    7986 isHidden 1
    7987 )
    7988 xt "82750,98000,85150,99000"
    7989 st "MISO"
    7990 blo "82750,98800"
    7991 tm "WireNameMgr"
    7992 )
    7993 )
    7994 on &139
    7995 )
    7996 *265 (Wire
    7997 uid 3021,0
    7998 shape (OrthoPolyLine
    7999 uid 3022,0
     8680uid 6788,0
    80008681va (VaSet
    80018682vasetType 3
    80028683lineWidth 2
    80038684)
    8004 xt "80750,89000,100000,89000"
    8005 pts [
    8006 "80750,89000"
    8007 "100000,89000"
    8008 ]
    8009 )
    8010 start &40
    8011 end &115
     8685xt "93000,132000,99000,132000"
     8686pts [
     8687"93000,132000"
     8688"99000,132000"
     8689]
     8690)
     8691start &193
     8692end &197
    80128693sat 32
    80138694eat 1
     
    80178698si 0
    80188699tg (WTG
    8019 uid 3023,0
     8700uid 6791,0
    80208701ps "ConnStartEndStrategy"
    80218702stg "STSignalDisplayStrategy"
    80228703f (Text
    8023 uid 3024,0
    8024 va (VaSet
    8025 )
    8026 xt "92000,88000,98500,89000"
    8027 st "sensor_cs : (3:0)"
    8028 blo "92000,88800"
    8029 tm "WireNameMgr"
    8030 )
    8031 )
    8032 on &67
    8033 )
    8034 *266 (Wire
    8035 uid 3027,0
     8704uid 6792,0
     8705va (VaSet
     8706isHidden 1
     8707)
     8708xt "95000,131000,101800,132000"
     8709st "D_PLLLCK : (3:0)"
     8710blo "95000,131800"
     8711tm "WireNameMgr"
     8712)
     8713)
     8714on &194
     8715)
     8716*279 (Wire
     8717uid 6880,0
    80368718shape (OrthoPolyLine
    8037 uid 3028,0
    8038 va (VaSet
    8039 vasetType 3
    8040 )
    8041 xt "94000,87000,111000,87000"
    8042 pts [
    8043 "94000,87000"
    8044 "111000,87000"
    8045 ]
    8046 )
    8047 start &231
    8048 end &114
    8049 ss 0
    8050 sat 32
    8051 eat 32
    8052 stc 0
    8053 st 0
    8054 sf 1
    8055 si 0
    8056 tg (WTG
    8057 uid 3031,0
    8058 ps "ConnStartEndStrategy"
    8059 stg "STSignalDisplayStrategy"
    8060 f (Text
    8061 uid 3032,0
    8062 va (VaSet
    8063 isHidden 1
    8064 )
    8065 xt "95000,86000,98600,87000"
    8066 st "DAC_CS"
    8067 blo "95000,86800"
    8068 tm "WireNameMgr"
    8069 )
    8070 )
    8071 on &68
    8072 )
    8073 *267 (Wire
    8074 uid 3218,0
    8075 shape (OrthoPolyLine
    8076 uid 3219,0
    8077 va (VaSet
    8078 vasetType 3
    8079 )
    8080 xt "11000,77000,13000,77000"
    8081 pts [
    8082 "11000,77000"
    8083 "13000,77000"
    8084 ]
    8085 )
    8086 start &47
    8087 end &196
    8088 sat 32
    8089 eat 32
    8090 stc 0
    8091 st 0
    8092 sf 1
    8093 si 0
    8094 tg (WTG
    8095 uid 3220,0
    8096 ps "ConnStartEndStrategy"
    8097 stg "STSignalDisplayStrategy"
    8098 f (Text
    8099 uid 3221,0
    8100 va (VaSet
    8101 isHidden 1
    8102 )
    8103 xt "22000,76000,24100,77000"
    8104 st "TRG"
    8105 blo "22000,76800"
    8106 tm "WireNameMgr"
    8107 )
    8108 )
    8109 on &71
    8110 )
    8111 *268 (Wire
    8112 uid 3260,0
    8113 shape (OrthoPolyLine
    8114 uid 3261,0
     8719uid 6881,0
    81158720va (VaSet
    81168721vasetType 3
    81178722lineWidth 2
    81188723)
    8119 xt "21000,70000,24000,70000"
    8120 pts [
    8121 "21000,70000"
    8122 "24000,70000"
    8123 ]
    8124 )
    8125 start &69
    8126 end &72
    8127 sat 32
    8128 eat 2
     8724xt "102000,132000,109000,132000"
     8725pts [
     8726"102000,132000"
     8727"109000,132000"
     8728]
     8729)
     8730start &197
     8731end &195
     8732sat 2
     8733eat 32
    81298734sty 1
    8130 stc 0
    81318735st 0
    81328736sf 1
    81338737si 0
    81348738tg (WTG
    8135 uid 3264,0
     8739uid 6884,0
    81368740ps "ConnStartEndStrategy"
    81378741stg "STSignalDisplayStrategy"
    81388742f (Text
    8139 uid 3265,0
     8743uid 6885,0
    81408744va (VaSet
    81418745isHidden 1
    81428746)
    8143 xt "23000,69000,25800,70000"
    8144 st "A_CLK"
    8145 blo "23000,69800"
    8146 tm "WireNameMgr"
    8147 )
    8148 )
    8149 on &76
    8150 )
    8151 *269 (Wire
    8152 uid 3270,0
     8747xt "104000,131000,108900,132000"
     8748st "D_T2 : (3:0)"
     8749blo "104000,131800"
     8750tm "WireNameMgr"
     8751)
     8752)
     8753on &196
     8754)
     8755*280 (Wire
     8756uid 7144,0
    81538757shape (OrthoPolyLine
    8154 uid 3271,0
    8155 va (VaSet
    8156 vasetType 3
    8157 )
    8158 xt "32000,70000,51250,70000"
    8159 pts [
    8160 "51250,70000"
    8161 "32000,70000"
    8162 ]
    8163 )
    8164 start &25
    8165 end &72
    8166 sat 32
    8167 eat 1
    8168 st 0
    8169 sf 1
    8170 si 0
    8171 tg (WTG
    8172 uid 3274,0
    8173 ps "ConnStartEndStrategy"
    8174 stg "STSignalDisplayStrategy"
    8175 f (Text
    8176 uid 3275,0
    8177 va (VaSet
    8178 )
    8179 xt "46000,69000,50500,70000"
    8180 st "CLK_25_PS"
    8181 blo "46000,69800"
    8182 tm "WireNameMgr"
    8183 )
    8184 )
    8185 on &77
    8186 )
    8187 *270 (Wire
    8188 uid 3318,0
    8189 shape (OrthoPolyLine
    8190 uid 3319,0
     8758uid 7145,0
    81918759va (VaSet
    81928760vasetType 3
    81938761lineWidth 2
    81948762)
    8195 xt "21000,95000,24000,95000"
    8196 pts [
    8197 "21000,95000"
    8198 "24000,95000"
    8199 ]
    8200 )
    8201 start &86
    8202 end &82
    8203 sat 32
    8204 eat 1
    8205 sty 1
    8206 stc 0
    8207 st 0
    8208 sf 1
    8209 si 0
    8210 tg (WTG
    8211 uid 3322,0
    8212 ps "ConnStartEndStrategy"
    8213 stg "STSignalDisplayStrategy"
    8214 f (Text
    8215 uid 3323,0
    8216 va (VaSet
    8217 isHidden 1
    8218 )
    8219 xt "23000,94000,25300,95000"
    8220 st "A0_D"
    8221 blo "23000,94800"
    8222 tm "WireNameMgr"
    8223 )
    8224 )
    8225 on &90
    8226 )
    8227 *271 (Wire
    8228 uid 3352,0
    8229 shape (OrthoPolyLine
    8230 uid 3353,0
    8231 va (VaSet
    8232 vasetType 3
    8233 lineWidth 2
    8234 )
    8235 xt "21000,96000,24000,96000"
    8236 pts [
    8237 "21000,96000"
    8238 "24000,96000"
    8239 ]
    8240 )
    8241 start &87
    8242 end &82
    8243 sat 32
    8244 eat 1
    8245 sty 1
    8246 stc 0
    8247 st 0
    8248 sf 1
    8249 si 0
    8250 tg (WTG
    8251 uid 3356,0
    8252 ps "ConnStartEndStrategy"
    8253 stg "STSignalDisplayStrategy"
    8254 f (Text
    8255 uid 3357,0
    8256 va (VaSet
    8257 isHidden 1
    8258 )
    8259 xt "23000,95000,25300,96000"
    8260 st "A1_D"
    8261 blo "23000,95800"
    8262 tm "WireNameMgr"
    8263 )
    8264 )
    8265 on &91
    8266 )
    8267 *272 (Wire
    8268 uid 3360,0
    8269 shape (OrthoPolyLine
    8270 uid 3361,0
    8271 va (VaSet
    8272 vasetType 3
    8273 lineWidth 2
    8274 )
    8275 xt "21000,97000,24000,97000"
    8276 pts [
    8277 "21000,97000"
    8278 "24000,97000"
    8279 ]
    8280 )
    8281 start &88
    8282 end &82
    8283 sat 32
    8284 eat 1
    8285 sty 1
    8286 stc 0
    8287 st 0
    8288 sf 1
    8289 si 0
    8290 tg (WTG
    8291 uid 3364,0
    8292 ps "ConnStartEndStrategy"
    8293 stg "STSignalDisplayStrategy"
    8294 f (Text
    8295 uid 3365,0
    8296 va (VaSet
    8297 isHidden 1
    8298 )
    8299 xt "23000,96000,25300,97000"
    8300 st "A2_D"
    8301 blo "23000,96800"
    8302 tm "WireNameMgr"
    8303 )
    8304 )
    8305 on &92
    8306 )
    8307 *273 (Wire
    8308 uid 3368,0
    8309 shape (OrthoPolyLine
    8310 uid 3369,0
    8311 va (VaSet
    8312 vasetType 3
    8313 lineWidth 2
    8314 )
    8315 xt "21000,98000,24000,98000"
    8316 pts [
    8317 "21000,98000"
    8318 "24000,98000"
    8319 ]
    8320 )
    8321 start &89
    8322 end &82
    8323 sat 32
    8324 eat 1
    8325 sty 1
    8326 stc 0
    8327 st 0
    8328 sf 1
    8329 si 0
    8330 tg (WTG
    8331 uid 3372,0
    8332 ps "ConnStartEndStrategy"
    8333 stg "STSignalDisplayStrategy"
    8334 f (Text
    8335 uid 3373,0
    8336 va (VaSet
    8337 isHidden 1
    8338 )
    8339 xt "23000,97000,25300,98000"
    8340 st "A3_D"
    8341 blo "23000,97800"
    8342 tm "WireNameMgr"
    8343 )
    8344 )
    8345 on &93
    8346 )
    8347 *274 (Wire
    8348 uid 3430,0
    8349 shape (OrthoPolyLine
    8350 uid 3431,0
    8351 va (VaSet
    8352 vasetType 3
    8353 )
    8354 xt "21000,113000,24000,113000"
    8355 pts [
    8356 "21000,113000"
    8357 "24000,113000"
    8358 ]
    8359 )
    8360 start &162
    8361 end &94
    8362 sat 32
    8363 eat 2
    8364 stc 0
    8365 st 0
    8366 sf 1
    8367 si 0
    8368 tg (WTG
    8369 uid 3434,0
    8370 ps "ConnStartEndStrategy"
    8371 stg "STSignalDisplayStrategy"
    8372 f (Text
    8373 uid 3435,0
    8374 va (VaSet
    8375 isHidden 1
    8376 )
    8377 xt "23000,112000,27400,113000"
    8378 st "D0_SRCLK"
    8379 blo "23000,112800"
    8380 tm "WireNameMgr"
    8381 )
    8382 )
    8383 on &98
    8384 )
    8385 *275 (Wire
    8386 uid 3438,0
    8387 shape (OrthoPolyLine
    8388 uid 3439,0
    8389 va (VaSet
    8390 vasetType 3
    8391 )
    8392 xt "21000,114000,24000,114000"
    8393 pts [
    8394 "21000,114000"
    8395 "24000,114000"
    8396 ]
    8397 )
    8398 start &163
    8399 end &94
    8400 sat 32
    8401 eat 2
    8402 stc 0
    8403 st 0
    8404 sf 1
    8405 si 0
    8406 tg (WTG
    8407 uid 3442,0
    8408 ps "ConnStartEndStrategy"
    8409 stg "STSignalDisplayStrategy"
    8410 f (Text
    8411 uid 3443,0
    8412 va (VaSet
    8413 isHidden 1
    8414 )
    8415 xt "23000,113000,27400,114000"
    8416 st "D1_SRCLK"
    8417 blo "23000,113800"
    8418 tm "WireNameMgr"
    8419 )
    8420 )
    8421 on &99
    8422 )
    8423 *276 (Wire
    8424 uid 3446,0
    8425 shape (OrthoPolyLine
    8426 uid 3447,0
    8427 va (VaSet
    8428 vasetType 3
    8429 )
    8430 xt "21000,115000,24000,115000"
    8431 pts [
    8432 "21000,115000"
    8433 "24000,115000"
    8434 ]
    8435 )
    8436 start &164
    8437 end &94
    8438 sat 32
    8439 eat 2
    8440 stc 0
    8441 st 0
    8442 sf 1
    8443 si 0
    8444 tg (WTG
    8445 uid 3450,0
    8446 ps "ConnStartEndStrategy"
    8447 stg "STSignalDisplayStrategy"
    8448 f (Text
    8449 uid 3451,0
    8450 va (VaSet
    8451 isHidden 1
    8452 )
    8453 xt "23000,114000,27400,115000"
    8454 st "D2_SRCLK"
    8455 blo "23000,114800"
    8456 tm "WireNameMgr"
    8457 )
    8458 )
    8459 on &100
    8460 )
    8461 *277 (Wire
    8462 uid 3454,0
    8463 shape (OrthoPolyLine
    8464 uid 3455,0
    8465 va (VaSet
    8466 vasetType 3
    8467 )
    8468 xt "21000,116000,24000,116000"
    8469 pts [
    8470 "21000,116000"
    8471 "24000,116000"
    8472 ]
    8473 )
    8474 start &165
    8475 end &94
    8476 sat 32
    8477 eat 2
    8478 stc 0
    8479 st 0
    8480 sf 1
    8481 si 0
    8482 tg (WTG
    8483 uid 3458,0
    8484 ps "ConnStartEndStrategy"
    8485 stg "STSignalDisplayStrategy"
    8486 f (Text
    8487 uid 3459,0
    8488 va (VaSet
    8489 isHidden 1
    8490 )
    8491 xt "23000,115000,27400,116000"
    8492 st "D3_SRCLK"
    8493 blo "23000,115800"
    8494 tm "WireNameMgr"
    8495 )
    8496 )
    8497 on &101
    8498 )
    8499 *278 (Wire
    8500 uid 3574,0
    8501 shape (OrthoPolyLine
    8502 uid 3575,0
    8503 va (VaSet
    8504 vasetType 3
    8505 )
    8506 xt "108000,89000,111000,89000"
    8507 pts [
    8508 "111000,89000"
    8509 "108000,89000"
    8510 ]
    8511 )
    8512 start &119
    8513 end &115
    8514 sat 32
    8515 eat 2
    8516 stc 0
    8517 st 0
    8518 sf 1
    8519 si 0
    8520 tg (WTG
    8521 uid 3578,0
    8522 ps "ConnStartEndStrategy"
    8523 stg "STSignalDisplayStrategy"
    8524 f (Text
    8525 uid 3579,0
    8526 va (VaSet
    8527 isHidden 1
    8528 )
    8529 xt "108000,88000,110800,89000"
    8530 st "T0_CS"
    8531 blo "108000,88800"
    8532 tm "WireNameMgr"
    8533 )
    8534 )
    8535 on &123
    8536 )
    8537 *279 (Wire
    8538 uid 3582,0
    8539 shape (OrthoPolyLine
    8540 uid 3583,0
    8541 va (VaSet
    8542 vasetType 3
    8543 )
    8544 xt "108000,90000,111000,90000"
    8545 pts [
    8546 "111000,90000"
    8547 "108000,90000"
    8548 ]
    8549 )
    8550 start &120
    8551 end &115
    8552 sat 32
    8553 eat 2
    8554 stc 0
    8555 st 0
    8556 sf 1
    8557 si 0
    8558 tg (WTG
    8559 uid 3586,0
    8560 ps "ConnStartEndStrategy"
    8561 stg "STSignalDisplayStrategy"
    8562 f (Text
    8563 uid 3587,0
    8564 va (VaSet
    8565 isHidden 1
    8566 )
    8567 xt "108000,89000,110800,90000"
    8568 st "T1_CS"
    8569 blo "108000,89800"
    8570 tm "WireNameMgr"
    8571 )
    8572 )
    8573 on &124
    8574 )
    8575 *280 (Wire
    8576 uid 3590,0
    8577 shape (OrthoPolyLine
    8578 uid 3591,0
    8579 va (VaSet
    8580 vasetType 3
    8581 )
    8582 xt "108000,91000,111000,91000"
    8583 pts [
    8584 "111000,91000"
    8585 "108000,91000"
    8586 ]
    8587 )
    8588 start &121
    8589 end &115
    8590 sat 32
    8591 eat 2
    8592 stc 0
    8593 st 0
    8594 sf 1
    8595 si 0
    8596 tg (WTG
    8597 uid 3594,0
    8598 ps "ConnStartEndStrategy"
    8599 stg "STSignalDisplayStrategy"
    8600 f (Text
    8601 uid 3595,0
    8602 va (VaSet
    8603 isHidden 1
    8604 )
    8605 xt "108000,90000,110800,91000"
    8606 st "T2_CS"
    8607 blo "108000,90800"
    8608 tm "WireNameMgr"
    8609 )
    8610 )
    8611 on &125
    8612 )
    8613 *281 (Wire
    8614 uid 3598,0
    8615 shape (OrthoPolyLine
    8616 uid 3599,0
    8617 va (VaSet
    8618 vasetType 3
    8619 )
    8620 xt "108000,92000,111000,92000"
    8621 pts [
    8622 "111000,92000"
    8623 "108000,92000"
    8624 ]
    8625 )
    8626 start &122
    8627 end &115
    8628 sat 32
    8629 eat 2
    8630 stc 0
    8631 st 0
    8632 sf 1
    8633 si 0
    8634 tg (WTG
    8635 uid 3602,0
    8636 ps "ConnStartEndStrategy"
    8637 stg "STSignalDisplayStrategy"
    8638 f (Text
    8639 uid 3603,0
    8640 va (VaSet
    8641 isHidden 1
    8642 )
    8643 xt "108000,91000,110800,92000"
    8644 st "T3_CS"
    8645 blo "108000,91800"
    8646 tm "WireNameMgr"
    8647 )
    8648 )
    8649 on &126
    8650 )
    8651 *282 (Wire
    8652 uid 3682,0
    8653 shape (OrthoPolyLine
    8654 uid 3683,0
    8655 va (VaSet
    8656 vasetType 3
    8657 )
    8658 xt "80750,100000,111000,100000"
    8659 pts [
    8660 "80750,100000"
    8661 "111000,100000"
    8662 ]
    8663 )
    8664 start &42
    8665 end &138
    8666 sat 32
    8667 eat 32
    8668 stc 0
    8669 st 0
    8670 sf 1
    8671 si 0
    8672 tg (WTG
    8673 uid 3686,0
    8674 ps "ConnStartEndStrategy"
    8675 stg "STSignalDisplayStrategy"
    8676 f (Text
    8677 uid 3687,0
    8678 va (VaSet
    8679 isHidden 1
    8680 )
    8681 xt "82000,99000,84400,100000"
    8682 st "MOSI"
    8683 blo "82000,99800"
    8684 tm "WireNameMgr"
    8685 )
    8686 )
    8687 on &137
    8688 )
    8689 *283 (Wire
    8690 uid 3778,0
    8691 shape (OrthoPolyLine
    8692 uid 3779,0
    8693 va (VaSet
    8694 vasetType 3
    8695 )
    8696 xt "108000,103000,111000,103000"
    8697 pts [
    8698 "111000,103000"
    8699 "108000,103000"
    8700 ]
    8701 )
    8702 start &144
    8703 end &140
    8704 sat 32
    8705 eat 2
    8706 stc 0
    8707 st 0
    8708 sf 1
    8709 si 0
    8710 tg (WTG
    8711 uid 3782,0
    8712 ps "ConnStartEndStrategy"
    8713 stg "STSignalDisplayStrategy"
    8714 f (Text
    8715 uid 3783,0
    8716 va (VaSet
    8717 isHidden 1
    8718 )
    8719 xt "108000,102000,111000,103000"
    8720 st "TRG_V"
    8721 blo "108000,102800"
    8722 tm "WireNameMgr"
    8723 )
    8724 )
    8725 on &153
    8726 )
    8727 *284 (Wire
    8728 uid 3786,0
    8729 shape (OrthoPolyLine
    8730 uid 3787,0
    8731 va (VaSet
    8732 vasetType 3
    8733 )
    8734 xt "108000,104000,111000,104000"
    8735 pts [
    8736 "111000,104000"
    8737 "108000,104000"
    8738 ]
    8739 )
    8740 start &145
    8741 end &140
    8742 sat 32
    8743 eat 2
    8744 stc 0
    8745 st 0
    8746 sf 1
    8747 si 0
    8748 tg (WTG
    8749 uid 3790,0
    8750 ps "ConnStartEndStrategy"
    8751 stg "STSignalDisplayStrategy"
    8752 f (Text
    8753 uid 3791,0
    8754 va (VaSet
    8755 isHidden 1
    8756 )
    8757 xt "108000,103000,113600,104000"
    8758 st "RS485_C_RE"
    8759 blo "108000,103800"
    8760 tm "WireNameMgr"
    8761 )
    8762 )
    8763 on &154
    8764 )
    8765 *285 (Wire
    8766 uid 3794,0
    8767 shape (OrthoPolyLine
    8768 uid 3795,0
    8769 va (VaSet
    8770 vasetType 3
    8771 )
    8772 xt "108000,105000,111000,105000"
    8773 pts [
    8774 "111000,105000"
    8775 "108000,105000"
    8776 ]
    8777 )
    8778 start &146
    8779 end &140
    8780 sat 32
    8781 eat 2
    8782 stc 0
    8783 st 0
    8784 sf 1
    8785 si 0
    8786 tg (WTG
    8787 uid 3798,0
    8788 ps "ConnStartEndStrategy"
    8789 stg "STSignalDisplayStrategy"
    8790 f (Text
    8791 uid 3799,0
    8792 va (VaSet
    8793 isHidden 1
    8794 )
    8795 xt "108000,104000,113600,105000"
    8796 st "RS485_C_DE"
    8797 blo "108000,104800"
    8798 tm "WireNameMgr"
    8799 )
    8800 )
    8801 on &155
    8802 )
    8803 *286 (Wire
    8804 uid 3802,0
    8805 shape (OrthoPolyLine
    8806 uid 3803,0
    8807 va (VaSet
    8808 vasetType 3
    8809 )
    8810 xt "108000,106000,111000,106000"
    8811 pts [
    8812 "111000,106000"
    8813 "108000,106000"
    8814 ]
    8815 )
    8816 start &147
    8817 end &140
    8818 sat 32
    8819 eat 2
    8820 stc 0
    8821 st 0
    8822 sf 1
    8823 si 0
    8824 tg (WTG
    8825 uid 3806,0
    8826 ps "ConnStartEndStrategy"
    8827 stg "STSignalDisplayStrategy"
    8828 f (Text
    8829 uid 3807,0
    8830 va (VaSet
    8831 isHidden 1
    8832 )
    8833 xt "108000,105000,113500,106000"
    8834 st "RS485_E_RE"
    8835 blo "108000,105800"
    8836 tm "WireNameMgr"
    8837 )
    8838 )
    8839 on &156
    8840 )
    8841 *287 (Wire
    8842 uid 3810,0
    8843 shape (OrthoPolyLine
    8844 uid 3811,0
    8845 va (VaSet
    8846 vasetType 3
    8847 )
    8848 xt "108000,107000,111000,107000"
    8849 pts [
    8850 "111000,107000"
    8851 "108000,107000"
    8852 ]
    8853 )
    8854 start &148
    8855 end &140
    8856 sat 32
    8857 eat 2
    8858 stc 0
    8859 st 0
    8860 sf 1
    8861 si 0
    8862 tg (WTG
    8863 uid 3814,0
    8864 ps "ConnStartEndStrategy"
    8865 stg "STSignalDisplayStrategy"
    8866 f (Text
    8867 uid 3815,0
    8868 va (VaSet
    8869 isHidden 1
    8870 )
    8871 xt "108000,106000,113500,107000"
    8872 st "RS485_E_DE"
    8873 blo "108000,106800"
    8874 tm "WireNameMgr"
    8875 )
    8876 )
    8877 on &157
    8878 )
    8879 *288 (Wire
    8880 uid 3826,0
    8881 shape (OrthoPolyLine
    8882 uid 3827,0
    8883 va (VaSet
    8884 vasetType 3
    8885 )
    8886 xt "108000,109000,111000,109000"
    8887 pts [
    8888 "111000,109000"
    8889 "108000,109000"
    8890 ]
    8891 )
    8892 start &150
    8893 end &140
    8894 sat 32
    8895 eat 2
    8896 stc 0
    8897 st 0
    8898 sf 1
    8899 si 0
    8900 tg (WTG
    8901 uid 3830,0
    8902 ps "ConnStartEndStrategy"
    8903 stg "STSignalDisplayStrategy"
    8904 f (Text
    8905 uid 3831,0
    8906 va (VaSet
    8907 isHidden 1
    8908 )
    8909 xt "108000,108000,110300,109000"
    8910 st "SRIN"
    8911 blo "108000,108800"
    8912 tm "WireNameMgr"
    8913 )
    8914 )
    8915 on &159
    8916 )
    8917 *289 (Wire
    8918 uid 3834,0
    8919 shape (OrthoPolyLine
    8920 uid 3835,0
    8921 va (VaSet
    8922 vasetType 3
    8923 )
    8924 xt "108000,110000,111000,110000"
    8925 pts [
    8926 "111000,110000"
    8927 "108000,110000"
    8928 ]
    8929 )
    8930 start &151
    8931 end &140
    8932 sat 32
    8933 eat 2
    8934 stc 0
    8935 st 0
    8936 sf 1
    8937 si 0
    8938 tg (WTG
    8939 uid 3838,0
    8940 ps "ConnStartEndStrategy"
    8941 stg "STSignalDisplayStrategy"
    8942 f (Text
    8943 uid 3839,0
    8944 va (VaSet
    8945 isHidden 1
    8946 )
    8947 xt "108000,109000,110900,110000"
    8948 st "EE_CS"
    8949 blo "108000,109800"
    8950 tm "WireNameMgr"
    8951 )
    8952 )
    8953 on &160
    8954 )
    8955 *290 (Wire
    8956 uid 3842,0
    8957 shape (OrthoPolyLine
    8958 uid 3843,0
    8959 va (VaSet
    8960 vasetType 3
    8961 lineWidth 2
    8962 )
    8963 xt "108000,111000,111000,111000"
    8964 pts [
    8965 "111000,111000"
    8966 "108000,111000"
    8967 ]
    8968 )
    8969 start &152
    8970 end &140
    8971 sat 32
    8972 eat 2
    8973 sty 1
    8974 stc 0
    8975 st 0
    8976 sf 1
    8977 si 0
    8978 tg (WTG
    8979 uid 3846,0
    8980 ps "ConnStartEndStrategy"
    8981 stg "STSignalDisplayStrategy"
    8982 f (Text
    8983 uid 3847,0
    8984 va (VaSet
    8985 isHidden 1
    8986 )
    8987 xt "108000,110000,109900,111000"
    8988 st "LED"
    8989 blo "108000,110800"
    8990 tm "WireNameMgr"
    8991 )
    8992 )
    8993 on &161
    8994 )
    8995 *291 (Wire
    8996 uid 4942,0
    8997 shape (OrthoPolyLine
    8998 uid 4943,0
    8999 va (VaSet
    9000 vasetType 3
    9001 lineWidth 2
    9002 )
    9003 xt "80750,120000,111000,120000"
    9004 pts [
    9005 "80750,120000"
    9006 "111000,120000"
    9007 ]
    9008 )
    9009 start &14
    9010 end &166
    9011 sat 32
     8763xt "39000,132000,44000,132000"
     8764pts [
     8765"39000,132000"
     8766"44000,132000"
     8767]
     8768)
     8769start &201
     8770end &205
     8771sat 2
    90128772eat 32
    90138773sty 1
    9014 stc 0
    90158774st 0
    90168775sf 1
    90178776si 0
    90188777tg (WTG
    9019 uid 4948,0
     8778uid 7148,0
    90208779ps "ConnStartEndStrategy"
    90218780stg "STSignalDisplayStrategy"
    90228781f (Text
    9023 uid 4949,0
     8782uid 7149,0
    90248783va (VaSet
    90258784isHidden 1
    90268785)
    9027 xt "82750,117000,84650,118000"
    9028 st "D_T"
    9029 blo "82750,117800"
    9030 tm "WireNameMgr"
    9031 )
    9032 )
    9033 on &167
    9034 )
    9035 *292 (Wire
    9036 uid 6130,0
     8786xt "41000,131000,45800,132000"
     8787st "A1_T : (7:0)"
     8788blo "41000,131800"
     8789tm "WireNameMgr"
     8790)
     8791)
     8792on &206
     8793)
     8794*281 (Wire
     8795uid 7477,0
    90378796shape (OrthoPolyLine
    9038 uid 6131,0
     8797uid 7478,0
    90398798va (VaSet
    90408799vasetType 3
    90418800)
    9042 xt "19000,78000,51250,78000"
    9043 pts [
    9044 "19000,78000"
    9045 "51250,78000"
    9046 ]
    9047 )
    9048 start &193
    9049 end &15
    9050 sat 32
    9051 eat 32
    9052 st 0
    9053 sf 1
    9054 si 0
    9055 tg (WTG
    9056 uid 6136,0
    9057 ps "ConnStartEndStrategy"
    9058 stg "STSignalDisplayStrategy"
    9059 f (Text
    9060 uid 6137,0
    9061 va (VaSet
    9062 )
    9063 xt "21000,77000,24700,78000"
    9064 st "TRG_OR"
    9065 blo "21000,77800"
    9066 tm "WireNameMgr"
    9067 )
    9068 )
    9069 on &170
    9070 )
    9071 *293 (Wire
    9072 uid 6288,0
    9073 shape (OrthoPolyLine
    9074 uid 6289,0
    9075 va (VaSet
    9076 vasetType 3
    9077 )
    9078 xt "1750,79000,13000,89000"
    9079 pts [
    9080 "1750,89000"
    9081 "9000,89000"
    9082 "9000,86000"
    9083 "9000,79000"
    9084 "13000,79000"
    9085 ]
    9086 )
    9087 start &174
    9088 end &191
    9089 sat 32
    9090 eat 32
    9091 st 0
    9092 sf 1
    9093 si 0
    9094 tg (WTG
    9095 uid 6294,0
    9096 ps "ConnStartEndStrategy"
    9097 stg "STSignalDisplayStrategy"
    9098 f (Text
    9099 uid 6295,0
    9100 va (VaSet
    9101 )
    9102 xt "4000,88000,8600,89000"
    9103 st "trigger_out"
    9104 blo "4000,88800"
    9105 tm "WireNameMgr"
    9106 )
    9107 )
    9108 on &178
    9109 )
    9110 *294 (Wire
    9111 uid 6306,0
    9112 shape (OrthoPolyLine
    9113 uid 6307,0
    9114 va (VaSet
    9115 vasetType 3
    9116 )
    9117 xt "-28000,89000,-22000,89000"
    9118 pts [
    9119 "-28000,89000"
    9120 "-22000,89000"
    9121 ]
    9122 )
    9123 start &168
    9124 end &181
     8801xt "80750,87000,91000,87000"
     8802pts [
     8803"80750,87000"
     8804"91000,87000"
     8805]
     8806)
     8807start &38
     8808end &209
    91258809es 0
    91268810sat 32
     
    91308814si 0
    91318815tg (WTG
    9132 uid 6312,0
     8816uid 7483,0
    91338817ps "ConnStartEndStrategy"
    91348818stg "STSignalDisplayStrategy"
    91358819f (Text
    9136 uid 6313,0
    9137 va (VaSet
    9138 )
    9139 xt "-26000,88000,-21500,89000"
    9140 st "TEST_TRG"
    9141 blo "-26000,88800"
    9142 tm "WireNameMgr"
    9143 )
    9144 )
    9145 on &169
    9146 )
    9147 *295 (Wire
    9148 uid 6328,0
     8820uid 7484,0
     8821va (VaSet
     8822)
     8823xt "83000,86000,85700,87000"
     8824st "dummy"
     8825blo "83000,86800"
     8826tm "WireNameMgr"
     8827)
     8828)
     8829on &207
     8830)
     8831*282 (Wire
     8832uid 8853,0
    91498833shape (OrthoPolyLine
    9150 uid 6329,0
    9151 va (VaSet
    9152 vasetType 3
    9153 )
    9154 xt "-17000,89000,-11750,89000"
    9155 pts [
    9156 "-17000,89000"
    9157 "-11750,89000"
    9158 ]
    9159 )
    9160 start &183
    9161 end &173
    9162 sat 32
    9163 eat 32
    9164 st 0
    9165 sf 1
    9166 si 0
    9167 tg (WTG
    9168 uid 6334,0
    9169 ps "ConnStartEndStrategy"
    9170 stg "STSignalDisplayStrategy"
    9171 f (Text
    9172 uid 6335,0
    9173 va (VaSet
    9174 )
    9175 xt "-18000,92000,-11700,93000"
    9176 st "not_TEST_TRG"
    9177 blo "-18000,92800"
    9178 tm "WireNameMgr"
    9179 )
    9180 )
    9181 on &179
    9182 )
    9183 *296 (Wire
    9184 uid 6431,0
    9185 shape (OrthoPolyLine
    9186 uid 6432,0
    9187 va (VaSet
    9188 vasetType 3
    9189 )
    9190 xt "80750,121000,111000,121000"
    9191 pts [
    9192 "80750,121000"
    9193 "111000,121000"
    9194 ]
    9195 )
    9196 start &43
    9197 end &149
    9198 sat 32
    9199 eat 32
    9200 stc 0
    9201 st 0
    9202 sf 1
    9203 si 0
    9204 tg (WTG
    9205 uid 6435,0
    9206 ps "ConnStartEndStrategy"
    9207 stg "STSignalDisplayStrategy"
    9208 f (Text
    9209 uid 6436,0
    9210 va (VaSet
    9211 isHidden 1
    9212 )
    9213 xt "92000,120000,96000,121000"
    9214 st "DENABLE"
    9215 blo "92000,120800"
    9216 tm "WireNameMgr"
    9217 )
    9218 )
    9219 on &158
    9220 )
    9221 *297 (Wire
    9222 uid 6787,0
    9223 shape (OrthoPolyLine
    9224 uid 6788,0
     8834uid 8854,0
    92258835va (VaSet
    92268836vasetType 3
    92278837lineWidth 2
    92288838)
    9229 xt "93000,132000,99000,132000"
    9230 pts [
    9231 "93000,132000"
    9232 "99000,132000"
    9233 ]
    9234 )
    9235 start &213
    9236 end &217
     8839xt "10000,109000,51250,132000"
     8840pts [
     8841"51250,109000"
     8842"10000,109000"
     8843"10000,132000"
     8844"31000,132000"
     8845]
     8846)
     8847start &30
     8848end &201
    92378849sat 32
    92388850eat 1
     
    92428854si 0
    92438855tg (WTG
    9244 uid 6791,0
     8856uid 8857,0
    92458857ps "ConnStartEndStrategy"
    92468858stg "STSignalDisplayStrategy"
    92478859f (Text
    9248 uid 6792,0
    9249 va (VaSet
    9250 isHidden 1
    9251 )
    9252 xt "95000,131000,101800,132000"
    9253 st "D_PLLLCK : (3:0)"
    9254 blo "95000,131800"
    9255 tm "WireNameMgr"
    9256 )
    9257 )
    9258 on &214
    9259 )
    9260 *298 (Wire
    9261 uid 6880,0
     8860uid 8858,0
     8861va (VaSet
     8862)
     8863xt "42000,108000,50500,109000"
     8864st "drs_channel_id : (3:0)"
     8865blo "42000,108800"
     8866tm "WireNameMgr"
     8867)
     8868)
     8869on &221
     8870)
     8871*283 (Wire
     8872uid 9193,0
    92628873shape (OrthoPolyLine
    9263 uid 6881,0
     8874uid 9194,0
    92648875va (VaSet
    92658876vasetType 3
    92668877lineWidth 2
    92678878)
    9268 xt "102000,132000,109000,132000"
    9269 pts [
    9270 "102000,132000"
    9271 "109000,132000"
    9272 ]
    9273 )
    9274 start &217
    9275 end &215
    9276 sat 2
     8879xt "93000,136000,103000,136000"
     8880pts [
     8881"93000,136000"
     8882"103000,136000"
     8883]
     8884)
     8885sat 16
     8886eat 16
     8887sty 1
     8888st 0
     8889sf 1
     8890si 0
     8891tg (WTG
     8892uid 9199,0
     8893ps "ConnStartEndStrategy"
     8894stg "STSignalDisplayStrategy"
     8895f (Text
     8896uid 9200,0
     8897va (VaSet
     8898)
     8899xt "95000,135000,99800,136000"
     8900st "A0_T : (7:0)"
     8901blo "95000,135800"
     8902tm "WireNameMgr"
     8903)
     8904)
     8905on &222
     8906)
     8907*284 (Wire
     8908uid 9300,0
     8909shape (OrthoPolyLine
     8910uid 9301,0
     8911va (VaSet
     8912vasetType 3
     8913lineWidth 2
     8914)
     8915xt "54000,140000,64000,140000"
     8916pts [
     8917"54000,140000"
     8918"64000,140000"
     8919]
     8920)
     8921end &223
     8922sat 16
    92778923eat 32
    92788924sty 1
     
    92818927si 0
    92828928tg (WTG
    9283 uid 6884,0
     8929uid 9304,0
    92848930ps "ConnStartEndStrategy"
    92858931stg "STSignalDisplayStrategy"
    92868932f (Text
    9287 uid 6885,0
     8933uid 9305,0
    92888934va (VaSet
    92898935isHidden 1
    92908936)
    9291 xt "104000,131000,108900,132000"
    9292 st "D_T2 : (3:0)"
    9293 blo "104000,131800"
    9294 tm "WireNameMgr"
    9295 )
    9296 )
    9297 on &216
    9298 )
    9299 *299 (Wire
    9300 uid 7102,0
     8937xt "56000,139000,60800,140000"
     8938st "A0_T : (7:0)"
     8939blo "56000,139800"
     8940tm "WireNameMgr"
     8941)
     8942)
     8943on &222
     8944)
     8945*285 (Wire
     8946uid 9492,0
    93018947shape (OrthoPolyLine
    9302 uid 7103,0
     8948uid 9493,0
    93038949va (VaSet
    93048950vasetType 3
    93058951)
    9306 xt "21000,132000,31000,132000"
    9307 pts [
    9308 "21000,132000"
    9309 "31000,132000"
    9310 ]
    9311 )
    9312 end &221
     8952xt "21000,135000,31000,135000"
     8953pts [
     8954"21000,135000"
     8955"31000,135000"
     8956]
     8957)
     8958end &201
    93138959sat 16
    93148960eat 1
     
    93178963si 0
    93188964tg (WTG
    9319 uid 7108,0
     8965uid 9498,0
    93208966ps "ConnStartEndStrategy"
    93218967stg "STSignalDisplayStrategy"
    93228968f (Text
    9323 uid 7109,0
    9324 va (VaSet
    9325 )
    9326 xt "23000,131000,27600,132000"
    9327 st "D0_SROUT"
    9328 blo "23000,131800"
    9329 tm "WireNameMgr"
    9330 )
    9331 )
    9332 on &106
    9333 )
    9334 *300 (Wire
    9335 uid 7110,0
     8969uid 9499,0
     8970va (VaSet
     8971)
     8972xt "23000,134000,26700,135000"
     8973st "TRG_OR"
     8974blo "23000,134800"
     8975tm "WireNameMgr"
     8976)
     8977)
     8978on &169
     8979)
     8980*286 (Wire
     8981uid 9502,0
    93368982shape (OrthoPolyLine
    9337 uid 7111,0
     8983uid 9503,0
    93388984va (VaSet
    93398985vasetType 3
    93408986)
    9341 xt "21000,133000,31000,133000"
    9342 pts [
    9343 "21000,133000"
    9344 "31000,133000"
    9345 ]
    9346 )
    9347 end &221
    9348 sat 16
    9349 eat 1
     8987xt "46000,69000,51250,69000"
     8988pts [
     8989"51250,69000"
     8990"46000,69000"
     8991]
     8992)
     8993start &26
     8994sat 32
     8995eat 16
    93508996st 0
    93518997sf 1
    93528998si 0
    93538999tg (WTG
    9354 uid 7116,0
     9000uid 9506,0
    93559001ps "ConnStartEndStrategy"
    93569002stg "STSignalDisplayStrategy"
    93579003f (Text
    9358 uid 7117,0
    9359 va (VaSet
    9360 )
    9361 xt "23000,132000,27600,133000"
    9362 st "D1_SROUT"
    9363 blo "23000,132800"
    9364 tm "WireNameMgr"
    9365 )
    9366 )
    9367 on &107
    9368 )
    9369 *301 (Wire
    9370 uid 7118,0
    9371 shape (OrthoPolyLine
    9372 uid 7119,0
    9373 va (VaSet
    9374 vasetType 3
    9375 )
    9376 xt "21000,134000,31000,134000"
    9377 pts [
    9378 "21000,134000"
    9379 "31000,134000"
    9380 ]
    9381 )
    9382 end &221
    9383 sat 16
    9384 eat 1
    9385 st 0
    9386 sf 1
    9387 si 0
    9388 tg (WTG
    9389 uid 7124,0
    9390 ps "ConnStartEndStrategy"
    9391 stg "STSignalDisplayStrategy"
    9392 f (Text
    9393 uid 7125,0
    9394 va (VaSet
    9395 )
    9396 xt "23000,133000,27200,134000"
    9397 st "RSRLOAD"
    9398 blo "23000,133800"
    9399 tm "WireNameMgr"
    9400 )
    9401 )
    9402 on &64
    9403 )
    9404 *302 (Wire
    9405 uid 7144,0
    9406 shape (OrthoPolyLine
    9407 uid 7145,0
    9408 va (VaSet
    9409 vasetType 3
    9410 lineWidth 2
    9411 )
    9412 xt "39000,132000,44000,132000"
    9413 pts [
    9414 "39000,132000"
    9415 "44000,132000"
    9416 ]
    9417 )
    9418 start &221
    9419 end &225
    9420 sat 2
    9421 eat 32
    9422 sty 1
    9423 st 0
    9424 sf 1
    9425 si 0
    9426 tg (WTG
    9427 uid 7148,0
    9428 ps "ConnStartEndStrategy"
    9429 stg "STSignalDisplayStrategy"
    9430 f (Text
    9431 uid 7149,0
    9432 va (VaSet
    9433 isHidden 1
    9434 )
    9435 xt "41000,131000,45800,132000"
    9436 st "A1_T : (3:0)"
    9437 blo "41000,131800"
    9438 tm "WireNameMgr"
    9439 )
    9440 )
    9441 on &226
    9442 )
    9443 *303 (Wire
    9444 uid 7477,0
    9445 shape (OrthoPolyLine
    9446 uid 7478,0
    9447 va (VaSet
    9448 vasetType 3
    9449 )
    9450 xt "80750,87000,91000,87000"
    9451 pts [
    9452 "80750,87000"
    9453 "91000,87000"
    9454 ]
    9455 )
    9456 start &38
    9457 end &229
    9458 es 0
    9459 sat 32
    9460 eat 32
    9461 st 0
    9462 sf 1
    9463 si 0
    9464 tg (WTG
    9465 uid 7483,0
    9466 ps "ConnStartEndStrategy"
    9467 stg "STSignalDisplayStrategy"
    9468 f (Text
    9469 uid 7484,0
    9470 va (VaSet
    9471 )
    9472 xt "83000,86000,85700,87000"
    9473 st "dummy"
    9474 blo "83000,86800"
    9475 tm "WireNameMgr"
    9476 )
    9477 )
    9478 on &227
    9479 )
    9480 *304 (Wire
    9481 uid 7487,0
    9482 shape (OrthoPolyLine
    9483 uid 7488,0
    9484 va (VaSet
    9485 vasetType 3
    9486 )
    9487 xt "21000,135000,31000,135000"
    9488 pts [
    9489 "21000,135000"
    9490 "31000,135000"
    9491 ]
    9492 )
    9493 end &221
    9494 sat 16
    9495 eat 1
    9496 st 0
    9497 sf 1
    9498 si 0
    9499 tg (WTG
    9500 uid 7493,0
    9501 ps "ConnStartEndStrategy"
    9502 stg "STSignalDisplayStrategy"
    9503 f (Text
    9504 uid 7494,0
    9505 va (VaSet
    9506 )
    9507 xt "23000,134000,25700,135000"
    9508 st "dummy"
    9509 blo "23000,134800"
    9510 tm "WireNameMgr"
    9511 )
    9512 )
    9513 on &227
     9004uid 9507,0
     9005va (VaSet
     9006)
     9007xt "47000,68000,50100,69000"
     9008st "CLK_50"
     9009blo "47000,68800"
     9010tm "WireNameMgr"
     9011)
     9012)
     9013on &224
    95149014)
    95159015]
     
    95259025color "26368,26368,26368"
    95269026)
    9527 packageList *305 (PackageList
     9027packageList *287 (PackageList
    95289028uid 41,0
    95299029stg "VerticalLayoutStrategy"
    95309030textVec [
    9531 *306 (Text
     9031*288 (Text
    95329032uid 42,0
    95339033va (VaSet
     
    95389038blo "0,800"
    95399039)
    9540 *307 (MLText
     9040*289 (MLText
    95419041uid 43,0
    95429042va (VaSet
     
    95599059stg "VerticalLayoutStrategy"
    95609060textVec [
    9561 *308 (Text
     9061*290 (Text
    95629062uid 45,0
    95639063va (VaSet
     
    95699069blo "20000,800"
    95709070)
    9571 *309 (Text
     9071*291 (Text
    95729072uid 46,0
    95739073va (VaSet
     
    95799079blo "20000,1800"
    95809080)
    9581 *310 (MLText
     9081*292 (MLText
    95829082uid 47,0
    95839083va (VaSet
     
    95899089tm "BdCompilerDirectivesTextMgr"
    95909090)
    9591 *311 (Text
     9091*293 (Text
    95929092uid 48,0
    95939093va (VaSet
     
    95999099blo "20000,4800"
    96009100)
    9601 *312 (MLText
     9101*294 (MLText
    96029102uid 49,0
    96039103va (VaSet
     
    96079107tm "BdCompilerDirectivesTextMgr"
    96089108)
    9609 *313 (Text
     9109*295 (Text
    96109110uid 50,0
    96119111va (VaSet
     
    96179117blo "20000,5800"
    96189118)
    9619 *314 (MLText
     9119*296 (MLText
    96209120uid 51,0
    96219121va (VaSet
     
    96289128associable 1
    96299129)
    9630 windowSize "0,0,1281,1002"
    9631 viewArea "-23100,-5300,61780,62940"
    9632 cachedDiagramExtent "-35500,0,699000,450107"
     9130windowSize "0,22,1281,1024"
     9131viewArea "-13800,92200,71080,160440"
     9132cachedDiagramExtent "0,0,699000,450107"
    96339133pageSetupInfo (PageSetupInfo
    96349134ptrCmd ""
     
    96419141)
    96429142hasePageBreakOrigin 1
    9643 pageBreakOrigin "-73000,0"
    9644 lastUid 8652,0
     9143pageBreakOrigin "0,0"
     9144lastUid 9715,0
    96459145defaultCommentText (CommentText
    96469146shape (Rectangle
     
    97049204stg "VerticalLayoutStrategy"
    97059205textVec [
    9706 *315 (Text
     9206*297 (Text
    97079207va (VaSet
    97089208font "Arial,8,1"
     
    97139213tm "BdLibraryNameMgr"
    97149214)
    9715 *316 (Text
     9215*298 (Text
    97169216va (VaSet
    97179217font "Arial,8,1"
     
    97229222tm "BlkNameMgr"
    97239223)
    9724 *317 (Text
     9224*299 (Text
    97259225va (VaSet
    97269226font "Arial,8,1"
     
    97739273stg "VerticalLayoutStrategy"
    97749274textVec [
    9775 *318 (Text
     9275*300 (Text
    97769276va (VaSet
    97779277font "Arial,8,1"
     
    97819281blo "550,4300"
    97829282)
    9783 *319 (Text
     9283*301 (Text
    97849284va (VaSet
    97859285font "Arial,8,1"
     
    97899289blo "550,5300"
    97909290)
    9791 *320 (Text
     9291*302 (Text
    97929292va (VaSet
    97939293font "Arial,8,1"
     
    98389338stg "VerticalLayoutStrategy"
    98399339textVec [
    9840 *321 (Text
     9340*303 (Text
    98419341va (VaSet
    98429342font "Arial,8,1"
     
    98479347tm "BdLibraryNameMgr"
    98489348)
    9849 *322 (Text
     9349*304 (Text
    98509350va (VaSet
    98519351font "Arial,8,1"
     
    98569356tm "CptNameMgr"
    98579357)
    9858 *323 (Text
     9358*305 (Text
    98599359va (VaSet
    98609360font "Arial,8,1"
     
    99109410stg "VerticalLayoutStrategy"
    99119411textVec [
    9912 *324 (Text
     9412*306 (Text
    99139413va (VaSet
    99149414font "Arial,8,1"
     
    99189418blo "500,4300"
    99199419)
    9920 *325 (Text
     9420*307 (Text
    99219421va (VaSet
    99229422font "Arial,8,1"
     
    99269426blo "500,5300"
    99279427)
    9928 *326 (Text
     9428*308 (Text
    99299429va (VaSet
    99309430font "Arial,8,1"
     
    99719471stg "VerticalLayoutStrategy"
    99729472textVec [
    9973 *327 (Text
     9473*309 (Text
    99749474va (VaSet
    99759475font "Arial,8,1"
     
    99799479blo "50,4300"
    99809480)
    9981 *328 (Text
     9481*310 (Text
    99829482va (VaSet
    99839483font "Arial,8,1"
     
    99879487blo "50,5300"
    99889488)
    9989 *329 (Text
     9489*311 (Text
    99909490va (VaSet
    99919491font "Arial,8,1"
     
    100289528stg "VerticalLayoutStrategy"
    100299529textVec [
    10030 *330 (Text
     9530*312 (Text
    100319531va (VaSet
    100329532font "Arial,8,1"
     
    100379537tm "HdlTextNameMgr"
    100389538)
    10039 *331 (Text
     9539*313 (Text
    100409540va (VaSet
    100419541font "Arial,8,1"
     
    104409940stg "VerticalLayoutStrategy"
    104419941textVec [
    10442 *332 (Text
     9942*314 (Text
    104439943va (VaSet
    104449944font "Arial,8,1"
     
    104489948blo "14100,20800"
    104499949)
    10450 *333 (MLText
     9950*315 (MLText
    104519951va (VaSet
    104529952)
     
    1050010000stg "VerticalLayoutStrategy"
    1050110001textVec [
    10502 *334 (Text
     10002*316 (Text
    1050310003va (VaSet
    1050410004font "Arial,8,1"
     
    1050810008blo "14100,20800"
    1050910009)
    10510 *335 (MLText
     10010*317 (MLText
    1051110011va (VaSet
    1051210012)
     
    1062610126font "Arial,8,1"
    1062710127)
    10628 xt "37000,43000,44100,44000"
     10128xt "37000,43800,44100,44800"
    1062910129st "Diagram Signals:"
    10630 blo "37000,43800"
     10130blo "37000,44600"
    1063110131)
    1063210132postUserLabel (Text
     
    1065210152commonDM (CommonDM
    1065310153ldm (LogicalDM
    10654 suid 158,0
     10154suid 163,0
    1065510155usingSuid 1
    10656 emptyRow *336 (LEmptyRow
     10156emptyRow *318 (LEmptyRow
    1065710157)
    1065810158uid 54,0
    1065910159optionalChildren [
    10660 *337 (RefLabelRowHdr
    10661 )
    10662 *338 (TitleRowHdr
    10663 )
    10664 *339 (FilterRowHdr
    10665 )
    10666 *340 (RefLabelColHdr
     10160*319 (RefLabelRowHdr
     10161)
     10162*320 (TitleRowHdr
     10163)
     10164*321 (FilterRowHdr
     10165)
     10166*322 (RefLabelColHdr
    1066710167tm "RefLabelColHdrMgr"
    1066810168)
    10669 *341 (RowExpandColHdr
     10169*323 (RowExpandColHdr
    1067010170tm "RowExpandColHdrMgr"
    1067110171)
    10672 *342 (GroupColHdr
     10172*324 (GroupColHdr
    1067310173tm "GroupColHdrMgr"
    1067410174)
    10675 *343 (NameColHdr
     10175*325 (NameColHdr
    1067610176tm "BlockDiagramNameColHdrMgr"
    1067710177)
    10678 *344 (ModeColHdr
     10178*326 (ModeColHdr
    1067910179tm "BlockDiagramModeColHdrMgr"
    1068010180)
    10681 *345 (TypeColHdr
     10181*327 (TypeColHdr
    1068210182tm "BlockDiagramTypeColHdrMgr"
    1068310183)
    10684 *346 (BoundsColHdr
     10184*328 (BoundsColHdr
    1068510185tm "BlockDiagramBoundsColHdrMgr"
    1068610186)
    10687 *347 (InitColHdr
     10187*329 (InitColHdr
    1068810188tm "BlockDiagramInitColHdrMgr"
    1068910189)
    10690 *348 (EolColHdr
     10190*330 (EolColHdr
    1069110191tm "BlockDiagramEolColHdrMgr"
    1069210192)
    10693 *349 (LeafLogPort
     10193*331 (LeafLogPort
    1069410194port (LogicalPort
    1069510195m 4
     
    1070010200preAdd 0
    1070110201posAdd 0
    10702 o 55
     10202o 56
    1070310203suid 5,0
    1070410204)
     
    1070610206uid 327,0
    1070710207)
    10708 *350 (LeafLogPort
     10208*332 (LeafLogPort
    1070910209port (LogicalPort
    1071010210m 4
     
    1071310213t "std_logic_vector"
    1071410214b "(1 downto 0)"
    10715 o 56
     10215o 57
    1071610216suid 6,0
    1071710217)
     
    1071910219uid 329,0
    1072010220)
    10721 *351 (LeafLogPort
     10221*333 (LeafLogPort
    1072210222port (LogicalPort
    1072310223m 4
     
    1072510225n "adc_data_array"
    1072610226t "adc_data_array_type"
    10727 o 54
     10227o 55
    1072810228suid 29,0
    1072910229)
     
    1073110231uid 1491,0
    1073210232)
    10733 *352 (LeafLogPort
     10233*334 (LeafLogPort
     10234port (LogicalPort
     10235m 1
     10236decl (Decl
     10237n "RSRLOAD"
     10238t "std_logic"
     10239o 36
     10240suid 57,0
     10241i "'0'"
     10242)
     10243)
     10244uid 2435,0
     10245)
     10246*335 (LeafLogPort
    1073410247port (LogicalPort
    1073510248m 4
    1073610249decl (Decl
    10737 n "CLK_50"
    10738 t "std_logic"
    10739 preAdd 0
    10740 posAdd 0
    10741 o 51
    10742 suid 54,0
    10743 )
    10744 )
    10745 uid 2275,0
    10746 )
    10747 *353 (LeafLogPort
    10748 port (LogicalPort
    10749 m 1
    10750 decl (Decl
    10751 n "RSRLOAD"
    10752 t "std_logic"
    10753 o 35
    10754 suid 57,0
    10755 i "'0'"
    10756 )
    10757 )
    10758 uid 2435,0
    10759 )
    10760 *354 (LeafLogPort
    10761 port (LogicalPort
    10762 m 4
    10763 decl (Decl
    1076410250n "SRCLK"
    1076510251t "std_logic"
    10766 o 52
     10252o 53
    1076710253suid 58,0
    1076810254i "'0'"
     
    1077110257uid 2437,0
    1077210258)
    10773 *355 (LeafLogPort
     10259*336 (LeafLogPort
    1077410260port (LogicalPort
    1077510261m 4
     
    1077810264t "std_logic_vector"
    1077910265b "(3 DOWNTO 0)"
    10780 o 59
     10266o 60
    1078110267suid 65,0
    1078210268)
     
    1078410270uid 3037,0
    1078510271)
    10786 *356 (LeafLogPort
     10272*337 (LeafLogPort
    1078710273port (LogicalPort
    1078810274m 1
     
    1079010276n "DAC_CS"
    1079110277t "std_logic"
    10792 o 21
     10278o 22
    1079310279suid 66,0
    1079410280)
     
    1079610282uid 3039,0
    1079710283)
    10798 *357 (LeafLogPort
     10284*338 (LeafLogPort
    1079910285port (LogicalPort
    1080010286decl (Decl
     
    1080910295uid 3276,0
    1081010296)
    10811 *358 (LeafLogPort
     10297*339 (LeafLogPort
    1081210298port (LogicalPort
    1081310299decl (Decl
     
    1082010306uid 3278,0
    1082110307)
    10822 *359 (LeafLogPort
     10308*340 (LeafLogPort
    1082310309port (LogicalPort
    1082410310m 1
     
    1082710313t "std_logic_vector"
    1082810314b "(3 downto 0)"
    10829 o 16
     10315o 17
    1083010316suid 71,0
    1083110317)
     
    1083310319uid 3280,0
    1083410320)
    10835 *360 (LeafLogPort
     10321*341 (LeafLogPort
    1083610322port (LogicalPort
    1083710323m 4
     
    1083910325n "CLK_25_PS"
    1084010326t "std_logic"
    10841 o 50
     10327o 51
    1084210328suid 72,0
    1084310329)
     
    1084510331uid 3282,0
    1084610332)
    10847 *361 (LeafLogPort
     10333*342 (LeafLogPort
    1084810334port (LogicalPort
    1084910335m 1
     
    1085310339preAdd 0
    1085410340posAdd 0
    10855 o 30
     10341o 31
    1085610342suid 73,0
    1085710343)
     
    1085910345uid 3382,0
    1086010346)
    10861 *362 (LeafLogPort
     10347*343 (LeafLogPort
    1086210348port (LogicalPort
    1086310349decl (Decl
     
    1087110357uid 3384,0
    1087210358)
    10873 *363 (LeafLogPort
     10359*344 (LeafLogPort
    1087410360port (LogicalPort
    1087510361decl (Decl
     
    1088310369uid 3386,0
    1088410370)
    10885 *364 (LeafLogPort
     10371*345 (LeafLogPort
    1088610372port (LogicalPort
    1088710373decl (Decl
     
    1089510381uid 3388,0
    1089610382)
    10897 *365 (LeafLogPort
     10383*346 (LeafLogPort
    1089810384port (LogicalPort
    1089910385decl (Decl
     
    1090710393uid 3390,0
    1090810394)
    10909 *366 (LeafLogPort
     10395*347 (LeafLogPort
    1091010396port (LogicalPort
    1091110397decl (Decl
     
    1091910405uid 3392,0
    1092010406)
    10921 *367 (LeafLogPort
     10407*348 (LeafLogPort
    1092210408port (LogicalPort
    1092310409m 1
     
    1092510411n "D0_SRCLK"
    1092610412t "STD_LOGIC"
    10927 o 17
     10413o 18
    1092810414suid 87,0
    1092910415)
     
    1093110417uid 3468,0
    1093210418)
    10933 *368 (LeafLogPort
     10419*349 (LeafLogPort
    1093410420port (LogicalPort
    1093510421m 1
     
    1093710423n "D1_SRCLK"
    1093810424t "STD_LOGIC"
    10939 o 18
     10425o 19
    1094010426suid 88,0
    1094110427)
     
    1094310429uid 3470,0
    1094410430)
    10945 *369 (LeafLogPort
     10431*350 (LeafLogPort
    1094610432port (LogicalPort
    1094710433m 1
     
    1094910435n "D2_SRCLK"
    1095010436t "STD_LOGIC"
    10951 o 19
     10437o 20
    1095210438suid 89,0
    1095310439)
     
    1095510441uid 3472,0
    1095610442)
    10957 *370 (LeafLogPort
     10443*351 (LeafLogPort
    1095810444port (LogicalPort
    1095910445m 1
     
    1096110447n "D3_SRCLK"
    1096210448t "STD_LOGIC"
    10963 o 20
     10449o 21
    1096410450suid 90,0
    1096510451)
     
    1096710453uid 3474,0
    1096810454)
    10969 *371 (LeafLogPort
     10455*352 (LeafLogPort
    1097010456port (LogicalPort
    1097110457decl (Decl
     
    1097810464uid 3524,0
    1097910465)
    10980 *372 (LeafLogPort
     10466*353 (LeafLogPort
    1098110467port (LogicalPort
    1098210468decl (Decl
     
    1098910475uid 3526,0
    1099010476)
    10991 *373 (LeafLogPort
     10477*354 (LeafLogPort
    1099210478port (LogicalPort
    1099310479decl (Decl
     
    1100010486uid 3528,0
    1100110487)
    11002 *374 (LeafLogPort
     10488*355 (LeafLogPort
    1100310489port (LogicalPort
    1100410490decl (Decl
     
    1101110497uid 3530,0
    1101210498)
    11013 *375 (LeafLogPort
     10499*356 (LeafLogPort
    1101410500port (LogicalPort
    1101510501m 1
     
    1101810504t "std_logic_vector"
    1101910505b "(3 DOWNTO 0)"
    11020 o 24
     10506o 25
    1102110507suid 95,0
    1102210508i "(others => '0')"
     
    1102510511uid 3532,0
    1102610512)
    11027 *376 (LeafLogPort
     10513*357 (LeafLogPort
    1102810514port (LogicalPort
    1102910515m 1
     
    1103110517n "DWRITE"
    1103210518t "std_logic"
    11033 o 23
     10519o 24
    1103410520suid 96,0
    1103510521i "'0'"
     
    1103810524uid 3534,0
    1103910525)
    11040 *377 (LeafLogPort
     10526*358 (LeafLogPort
    1104110527port (LogicalPort
    1104210528m 1
     
    1104410530n "T0_CS"
    1104510531t "std_logic"
    11046 o 38
     10532o 39
    1104710533suid 101,0
    1104810534)
     
    1105010536uid 3646,0
    1105110537)
    11052 *378 (LeafLogPort
     10538*359 (LeafLogPort
    1105310539port (LogicalPort
    1105410540m 1
     
    1105610542n "T1_CS"
    1105710543t "std_logic"
    11058 o 39
     10544o 40
    1105910545suid 102,0
    1106010546)
     
    1106210548uid 3648,0
    1106310549)
    11064 *379 (LeafLogPort
     10550*360 (LeafLogPort
    1106510551port (LogicalPort
    1106610552m 1
     
    1106810554n "T2_CS"
    1106910555t "std_logic"
    11070 o 40
     10556o 41
    1107110557suid 103,0
    1107210558)
     
    1107410560uid 3650,0
    1107510561)
    11076 *380 (LeafLogPort
     10562*361 (LeafLogPort
    1107710563port (LogicalPort
    1107810564m 1
     
    1108010566n "T3_CS"
    1108110567t "std_logic"
    11082 o 41
     10568o 42
    1108310569suid 104,0
    1108410570)
     
    1108610572uid 3652,0
    1108710573)
    11088 *381 (LeafLogPort
     10574*362 (LeafLogPort
    1108910575port (LogicalPort
    1109010576m 1
     
    1109210578n "S_CLK"
    1109310579t "std_logic"
    11094 o 37
     10580o 38
    1109510581suid 105,0
    1109610582)
     
    1109810584uid 3654,0
    1109910585)
    11100 *382 (LeafLogPort
     10586*363 (LeafLogPort
    1110110587port (LogicalPort
    1110210588m 1
     
    1110510591t "std_logic_vector"
    1110610592b "(9 DOWNTO 0)"
    11107 o 43
     10593o 44
    1110810594suid 106,0
    1110910595)
     
    1111110597uid 3656,0
    1111210598)
    11113 *383 (LeafLogPort
     10599*364 (LeafLogPort
    1111410600port (LogicalPort
    1111510601m 2
     
    1111810604t "std_logic_vector"
    1111910605b "(15 DOWNTO 0)"
    11120 o 49
     10606o 50
    1112110607suid 107,0
    1112210608)
     
    1112410610uid 3658,0
    1112510611)
    11126 *384 (LeafLogPort
     10612*365 (LeafLogPort
    1112710613port (LogicalPort
    1112810614m 1
     
    1113010616n "W_RES"
    1113110617t "std_logic"
    11132 o 46
     10618o 47
    1113310619suid 108,0
    1113410620i "'1'"
     
    1113710623uid 3660,0
    1113810624)
    11139 *385 (LeafLogPort
     10625*366 (LeafLogPort
    1114010626port (LogicalPort
    1114110627m 1
     
    1114310629n "W_RD"
    1114410630t "std_logic"
    11145 o 45
     10631o 46
    1114610632suid 109,0
    1114710633i "'1'"
     
    1115010636uid 3662,0
    1115110637)
    11152 *386 (LeafLogPort
     10638*367 (LeafLogPort
    1115310639port (LogicalPort
    1115410640m 1
     
    1115610642n "W_WR"
    1115710643t "std_logic"
    11158 o 47
     10644o 48
    1115910645suid 110,0
    1116010646i "'1'"
     
    1116310649uid 3664,0
    1116410650)
    11165 *387 (LeafLogPort
     10651*368 (LeafLogPort
    1116610652port (LogicalPort
    1116710653decl (Decl
     
    1117410660uid 3666,0
    1117510661)
    11176 *388 (LeafLogPort
     10662*369 (LeafLogPort
    1117710663port (LogicalPort
    1117810664m 1
     
    1118010666n "W_CS"
    1118110667t "std_logic"
    11182 o 44
     10668o 45
    1118310669suid 112,0
    1118410670i "'1'"
     
    1118710673uid 3668,0
    1118810674)
    11189 *389 (LeafLogPort
     10675*370 (LeafLogPort
    1119010676port (LogicalPort
    1119110677m 1
     
    1119310679n "MOSI"
    1119410680t "std_logic"
    11195 o 29
     10681o 30
    1119610682suid 113,0
    1119710683i "'0'"
     
    1120010686uid 3696,0
    1120110687)
    11202 *390 (LeafLogPort
     10688*371 (LeafLogPort
    1120310689port (LogicalPort
    1120410690m 2
     
    1120810694preAdd 0
    1120910695posAdd 0
    11210 o 48
     10696o 49
    1121110697suid 114,0
    1121210698)
     
    1121410700uid 3698,0
    1121510701)
    11216 *391 (LeafLogPort
     10702*372 (LeafLogPort
    1121710703port (LogicalPort
    1121810704m 1
     
    1122010706n "TRG_V"
    1122110707t "std_logic"
    11222 o 42
     10708o 43
    1122310709suid 126,0
    1122410710)
     
    1122610712uid 3886,0
    1122710713)
    11228 *392 (LeafLogPort
     10714*373 (LeafLogPort
    1122910715port (LogicalPort
    1123010716m 1
     
    1123210718n "RS485_C_RE"
    1123310719t "std_logic"
    11234 o 32
     10720o 33
    1123510721suid 127,0
    1123610722)
     
    1123810724uid 3888,0
    1123910725)
    11240 *393 (LeafLogPort
     10726*374 (LeafLogPort
    1124110727port (LogicalPort
    1124210728m 1
     
    1124410730n "RS485_C_DE"
    1124510731t "std_logic"
    11246 o 31
     10732o 32
    1124710733suid 128,0
    1124810734)
     
    1125010736uid 3890,0
    1125110737)
    11252 *394 (LeafLogPort
     10738*375 (LeafLogPort
    1125310739port (LogicalPort
    1125410740m 1
     
    1125610742n "RS485_E_RE"
    1125710743t "std_logic"
    11258 o 34
     10744o 35
    1125910745suid 129,0
    1126010746)
     
    1126210748uid 3892,0
    1126310749)
    11264 *395 (LeafLogPort
     10750*376 (LeafLogPort
    1126510751port (LogicalPort
    1126610752m 1
     
    1126810754n "RS485_E_DE"
    1126910755t "std_logic"
    11270 o 33
     10756o 34
    1127110757suid 130,0
    1127210758)
     
    1127410760uid 3894,0
    1127510761)
    11276 *396 (LeafLogPort
     10762*377 (LeafLogPort
    1127710763port (LogicalPort
    1127810764m 1
     
    1128010766n "DENABLE"
    1128110767t "std_logic"
    11282 o 22
     10768o 23
    1128310769suid 131,0
    1128410770i "'0'"
     
    1128710773uid 3896,0
    1128810774)
    11289 *397 (LeafLogPort
     10775*378 (LeafLogPort
    1129010776port (LogicalPort
    1129110777m 1
     
    1129310779n "SRIN"
    1129410780t "std_logic"
    11295 o 36
     10781o 37
    1129610782suid 132,0
    1129710783)
     
    1129910785uid 3898,0
    1130010786)
    11301 *398 (LeafLogPort
     10787*379 (LeafLogPort
    1130210788port (LogicalPort
    1130310789m 1
     
    1130510791n "EE_CS"
    1130610792t "std_logic"
    11307 o 27
     10793o 28
    1130810794suid 133,0
    1130910795)
     
    1131110797uid 3900,0
    1131210798)
    11313 *399 (LeafLogPort
     10799*380 (LeafLogPort
    1131410800port (LogicalPort
    1131510801m 1
     
    1131810804t "std_logic_vector"
    1131910805b "( 2 DOWNTO 0 )"
    11320 o 28
     10806o 29
    1132110807suid 134,0
    1132210808i "(others => '1')"
     
    1132510811uid 3902,0
    1132610812)
    11327 *400 (LeafLogPort
     10813*381 (LeafLogPort
    1132810814port (LogicalPort
    1132910815m 1
     
    1133210818t "std_logic_vector"
    1133310819b "(7 DOWNTO 0)"
    11334 o 25
     10820o 26
    1133510821suid 141,0
    1133610822i "(OTHERS => '0')"
     
    1133910825uid 5322,0
    1134010826)
    11341 *401 (LeafLogPort
     10827*382 (LeafLogPort
    1134210828port (LogicalPort
    1134310829decl (Decl
     
    1135110837scheme 0
    1135210838)
    11353 *402 (LeafLogPort
     10839*383 (LeafLogPort
    1135410840port (LogicalPort
    1135510841m 4
     
    1135710843n "TRG_OR"
    1135810844t "std_logic"
    11359 o 53
     10845o 54
    1136010846suid 146,0
    1136110847)
     
    1136410850scheme 0
    1136510851)
    11366 *403 (LeafLogPort
    11367 port (LogicalPort
    11368 m 4
    11369 decl (Decl
    11370 n "trigger_out"
    11371 t "STD_LOGIC"
    11372 preAdd 0
    11373 posAdd 0
    11374 o 60
    11375 suid 147,0
    11376 i "'0'"
    11377 )
    11378 )
    11379 uid 6286,0
    11380 )
    11381 *404 (LeafLogPort
    11382 port (LogicalPort
    11383 m 4
    11384 decl (Decl
    11385 n "not_TEST_TRG"
    11386 t "STD_LOGIC"
    11387 o 58
    11388 suid 148,0
    11389 )
    11390 )
    11391 uid 6314,0
    11392 scheme 0
    11393 )
    11394 *405 (LeafLogPort
     10852*384 (LeafLogPort
    1139510853port (LogicalPort
    1139610854decl (Decl
     
    1140510863scheme 0
    1140610864)
    11407 *406 (LeafLogPort
     10865*385 (LeafLogPort
    1140810866port (LogicalPort
    1140910867m 1
     
    1141210870t "std_logic_vector"
    1141310871b "(3 DOWNTO 0)"
    11414 o 26
     10872o 27
    1141510873suid 154,0
    1141610874i "(others => '0')"
     
    1142010878scheme 0
    1142110879)
    11422 *407 (LeafLogPort
     10880*386 (LeafLogPort
    1142310881port (LogicalPort
    1142410882m 1
     
    1142610884n "A1_T"
    1142710885t "std_logic_vector"
    11428 b "(3 DOWNTO 0)"
    11429 o 15
     10886b "(7 DOWNTO 0)"
     10887o 16
    1143010888suid 155,0
     10889i "(OTHERS => '0')"
    1143110890)
    1143210891)
     
    1143410893scheme 0
    1143510894)
    11436 *408 (LeafLogPort
     10895*387 (LeafLogPort
    1143710896port (LogicalPort
    1143810897m 4
     
    1144010899n "dummy"
    1144110900t "std_logic"
    11442 o 60
     10901o 59
    1144310902suid 157,0
    1144410903)
     
    1144610905uid 7473,0
    1144710906scheme 0
     10907)
     10908*388 (LeafLogPort
     10909port (LogicalPort
     10910m 4
     10911decl (Decl
     10912n "drs_channel_id"
     10913t "std_logic_vector"
     10914b "(3 downto 0)"
     10915o 58
     10916suid 159,0
     10917i "(others => '0')"
     10918)
     10919)
     10920uid 8875,0
     10921)
     10922*389 (LeafLogPort
     10923port (LogicalPort
     10924m 1
     10925decl (Decl
     10926n "A0_T"
     10927t "std_logic_vector"
     10928b "(7 DOWNTO 0)"
     10929o 15
     10930suid 162,0
     10931i "(OTHERS => '0')"
     10932)
     10933)
     10934uid 9191,0
     10935scheme 0
     10936)
     10937*390 (LeafLogPort
     10938port (LogicalPort
     10939m 4
     10940decl (Decl
     10941n "CLK_50"
     10942t "std_logic"
     10943o 52
     10944suid 163,0
     10945)
     10946)
     10947uid 9516,0
    1144810948)
    1144910949]
     
    1145410954uid 67,0
    1145510955optionalChildren [
    11456 *409 (Sheet
     10956*391 (Sheet
    1145710957sheetRow (SheetRow
    1145810958headerVa (MVa
     
    1147110971font "Tahoma,10,0"
    1147210972)
    11473 emptyMRCItem *410 (MRCItem
    11474 litem &336
     10973emptyMRCItem *392 (MRCItem
     10974litem &318
    1147510975pos 60
    1147610976dimension 20
     
    1147810978uid 69,0
    1147910979optionalChildren [
    11480 *411 (MRCItem
    11481 litem &337
     10980*393 (MRCItem
     10981litem &319
    1148210982pos 0
    1148310983dimension 20
    1148410984uid 70,0
    1148510985)
    11486 *412 (MRCItem
    11487 litem &338
     10986*394 (MRCItem
     10987litem &320
    1148810988pos 1
    1148910989dimension 23
    1149010990uid 71,0
    1149110991)
    11492 *413 (MRCItem
    11493 litem &339
     10992*395 (MRCItem
     10993litem &321
    1149410994pos 2
    1149510995hidden 1
     
    1149710997uid 72,0
    1149810998)
    11499 *414 (MRCItem
    11500 litem &349
    11501 pos 44
     10999*396 (MRCItem
     11000litem &331
     11001pos 50
    1150211002dimension 20
    1150311003uid 328,0
    1150411004)
    11505 *415 (MRCItem
    11506 litem &350
    11507 pos 45
     11005*397 (MRCItem
     11006litem &332
     11007pos 51
    1150811008dimension 20
    1150911009uid 330,0
    1151011010)
    11511 *416 (MRCItem
    11512 litem &351
    11513 pos 46
     11011*398 (MRCItem
     11012litem &333
     11013pos 52
    1151411014dimension 20
    1151511015uid 1492,0
    1151611016)
    11517 *417 (MRCItem
    11518 litem &352
    11519 pos 47
    11520 dimension 20
    11521 uid 2276,0
    11522 )
    11523 *418 (MRCItem
    11524 litem &353
     11017*399 (MRCItem
     11018litem &334
    1152511019pos 0
    1152611020dimension 20
    1152711021uid 2436,0
    1152811022)
    11529 *419 (MRCItem
    11530 litem &354
    11531 pos 48
     11023*400 (MRCItem
     11024litem &335
     11025pos 53
    1153211026dimension 20
    1153311027uid 2438,0
    1153411028)
    11535 *420 (MRCItem
    11536 litem &355
    11537 pos 49
     11029*401 (MRCItem
     11030litem &336
     11031pos 54
    1153811032dimension 20
    1153911033uid 3038,0
    1154011034)
    11541 *421 (MRCItem
    11542 litem &356
     11035*402 (MRCItem
     11036litem &337
    1154311037pos 1
    1154411038dimension 20
    1154511039uid 3040,0
    1154611040)
    11547 *422 (MRCItem
    11548 litem &357
     11041*403 (MRCItem
     11042litem &338
    1154911043pos 2
    1155011044dimension 20
    1155111045uid 3277,0
    1155211046)
    11553 *423 (MRCItem
    11554 litem &358
     11047*404 (MRCItem
     11048litem &339
    1155511049pos 3
    1155611050dimension 20
    1155711051uid 3279,0
    1155811052)
    11559 *424 (MRCItem
    11560 litem &359
     11053*405 (MRCItem
     11054litem &340
    1156111055pos 4
    1156211056dimension 20
    1156311057uid 3281,0
    1156411058)
    11565 *425 (MRCItem
    11566 litem &360
    11567 pos 50
     11059*406 (MRCItem
     11060litem &341
     11061pos 55
    1156811062dimension 20
    1156911063uid 3283,0
    1157011064)
    11571 *426 (MRCItem
    11572 litem &361
     11065*407 (MRCItem
     11066litem &342
    1157311067pos 5
    1157411068dimension 20
    1157511069uid 3383,0
    1157611070)
    11577 *427 (MRCItem
    11578 litem &362
     11071*408 (MRCItem
     11072litem &343
    1157911073pos 6
    1158011074dimension 20
    1158111075uid 3385,0
    1158211076)
    11583 *428 (MRCItem
    11584 litem &363
     11077*409 (MRCItem
     11078litem &344
    1158511079pos 7
    1158611080dimension 20
    1158711081uid 3387,0
    1158811082)
    11589 *429 (MRCItem
    11590 litem &364
     11083*410 (MRCItem
     11084litem &345
    1159111085pos 8
    1159211086dimension 20
    1159311087uid 3389,0
    1159411088)
    11595 *430 (MRCItem
    11596 litem &365
     11089*411 (MRCItem
     11090litem &346
    1159711091pos 9
    1159811092dimension 20
    1159911093uid 3391,0
    1160011094)
    11601 *431 (MRCItem
    11602 litem &366
     11095*412 (MRCItem
     11096litem &347
    1160311097pos 10
    1160411098dimension 20
    1160511099uid 3393,0
    1160611100)
    11607 *432 (MRCItem
    11608 litem &367
     11101*413 (MRCItem
     11102litem &348
    1160911103pos 11
    1161011104dimension 20
    1161111105uid 3469,0
    1161211106)
    11613 *433 (MRCItem
    11614 litem &368
     11107*414 (MRCItem
     11108litem &349
    1161511109pos 12
    1161611110dimension 20
    1161711111uid 3471,0
    1161811112)
    11619 *434 (MRCItem
    11620 litem &369
     11113*415 (MRCItem
     11114litem &350
    1162111115pos 13
    1162211116dimension 20
    1162311117uid 3473,0
    1162411118)
    11625 *435 (MRCItem
    11626 litem &370
     11119*416 (MRCItem
     11120litem &351
    1162711121pos 14
    1162811122dimension 20
    1162911123uid 3475,0
    1163011124)
    11631 *436 (MRCItem
    11632 litem &371
     11125*417 (MRCItem
     11126litem &352
    1163311127pos 15
    1163411128dimension 20
    1163511129uid 3525,0
    1163611130)
    11637 *437 (MRCItem
    11638 litem &372
     11131*418 (MRCItem
     11132litem &353
    1163911133pos 16
    1164011134dimension 20
    1164111135uid 3527,0
    1164211136)
    11643 *438 (MRCItem
    11644 litem &373
     11137*419 (MRCItem
     11138litem &354
    1164511139pos 17
    1164611140dimension 20
    1164711141uid 3529,0
    1164811142)
    11649 *439 (MRCItem
    11650 litem &374
     11143*420 (MRCItem
     11144litem &355
    1165111145pos 18
    1165211146dimension 20
    1165311147uid 3531,0
    1165411148)
    11655 *440 (MRCItem
    11656 litem &375
     11149*421 (MRCItem
     11150litem &356
    1165711151pos 19
    1165811152dimension 20
    1165911153uid 3533,0
    1166011154)
    11661 *441 (MRCItem
    11662 litem &376
     11155*422 (MRCItem
     11156litem &357
    1166311157pos 20
    1166411158dimension 20
    1166511159uid 3535,0
    1166611160)
    11667 *442 (MRCItem
    11668 litem &377
     11161*423 (MRCItem
     11162litem &358
    1166911163pos 21
    1167011164dimension 20
    1167111165uid 3647,0
    1167211166)
    11673 *443 (MRCItem
    11674 litem &378
     11167*424 (MRCItem
     11168litem &359
    1167511169pos 22
    1167611170dimension 20
    1167711171uid 3649,0
    1167811172)
    11679 *444 (MRCItem
    11680 litem &379
     11173*425 (MRCItem
     11174litem &360
    1168111175pos 23
    1168211176dimension 20
    1168311177uid 3651,0
    1168411178)
    11685 *445 (MRCItem
    11686 litem &380
     11179*426 (MRCItem
     11180litem &361
    1168711181pos 24
    1168811182dimension 20
    1168911183uid 3653,0
    1169011184)
    11691 *446 (MRCItem
    11692 litem &381
     11185*427 (MRCItem
     11186litem &362
    1169311187pos 25
    1169411188dimension 20
    1169511189uid 3655,0
    1169611190)
    11697 *447 (MRCItem
    11698 litem &382
     11191*428 (MRCItem
     11192litem &363
    1169911193pos 26
    1170011194dimension 20
    1170111195uid 3657,0
    1170211196)
    11703 *448 (MRCItem
    11704 litem &383
     11197*429 (MRCItem
     11198litem &364
    1170511199pos 27
    1170611200dimension 20
    1170711201uid 3659,0
    1170811202)
    11709 *449 (MRCItem
    11710 litem &384
     11203*430 (MRCItem
     11204litem &365
    1171111205pos 28
    1171211206dimension 20
    1171311207uid 3661,0
    1171411208)
    11715 *450 (MRCItem
    11716 litem &385
     11209*431 (MRCItem
     11210litem &366
    1171711211pos 29
    1171811212dimension 20
    1171911213uid 3663,0
    1172011214)
    11721 *451 (MRCItem
    11722 litem &386
     11215*432 (MRCItem
     11216litem &367
    1172311217pos 30
    1172411218dimension 20
    1172511219uid 3665,0
    1172611220)
    11727 *452 (MRCItem
    11728 litem &387
     11221*433 (MRCItem
     11222litem &368
    1172911223pos 31
    1173011224dimension 20
    1173111225uid 3667,0
    1173211226)
    11733 *453 (MRCItem
    11734 litem &388
     11227*434 (MRCItem
     11228litem &369
    1173511229pos 32
    1173611230dimension 20
    1173711231uid 3669,0
    1173811232)
    11739 *454 (MRCItem
    11740 litem &389
     11233*435 (MRCItem
     11234litem &370
    1174111235pos 33
    1174211236dimension 20
    1174311237uid 3697,0
    1174411238)
    11745 *455 (MRCItem
    11746 litem &390
     11239*436 (MRCItem
     11240litem &371
    1174711241pos 34
    1174811242dimension 20
    1174911243uid 3699,0
    1175011244)
    11751 *456 (MRCItem
    11752 litem &391
     11245*437 (MRCItem
     11246litem &372
    1175311247pos 35
    1175411248dimension 20
    1175511249uid 3887,0
    1175611250)
    11757 *457 (MRCItem
    11758 litem &392
     11251*438 (MRCItem
     11252litem &373
    1175911253pos 36
    1176011254dimension 20
    1176111255uid 3889,0
    1176211256)
    11763 *458 (MRCItem
    11764 litem &393
     11257*439 (MRCItem
     11258litem &374
    1176511259pos 37
    1176611260dimension 20
    1176711261uid 3891,0
    1176811262)
    11769 *459 (MRCItem
    11770 litem &394
     11263*440 (MRCItem
     11264litem &375
    1177111265pos 38
    1177211266dimension 20
    1177311267uid 3893,0
    1177411268)
    11775 *460 (MRCItem
    11776 litem &395
     11269*441 (MRCItem
     11270litem &376
    1177711271pos 39
    1177811272dimension 20
    1177911273uid 3895,0
    1178011274)
    11781 *461 (MRCItem
    11782 litem &396
     11275*442 (MRCItem
     11276litem &377
    1178311277pos 40
    1178411278dimension 20
    1178511279uid 3897,0
    1178611280)
    11787 *462 (MRCItem
    11788 litem &397
     11281*443 (MRCItem
     11282litem &378
    1178911283pos 41
    1179011284dimension 20
    1179111285uid 3899,0
    1179211286)
    11793 *463 (MRCItem
    11794 litem &398
     11287*444 (MRCItem
     11288litem &379
    1179511289pos 42
    1179611290dimension 20
    1179711291uid 3901,0
    1179811292)
    11799 *464 (MRCItem
    11800 litem &399
     11293*445 (MRCItem
     11294litem &380
    1180111295pos 43
    1180211296dimension 20
    1180311297uid 3903,0
    1180411298)
    11805 *465 (MRCItem
    11806 litem &400
    11807 pos 51
     11299*446 (MRCItem
     11300litem &381
     11301pos 44
    1180811302dimension 20
    1180911303uid 5323,0
    1181011304)
    11811 *466 (MRCItem
    11812 litem &401
    11813 pos 52
     11305*447 (MRCItem
     11306litem &382
     11307pos 45
    1181411308dimension 20
    1181511309uid 5649,0
    1181611310)
    11817 *467 (MRCItem
    11818 litem &402
    11819 pos 53
     11311*448 (MRCItem
     11312litem &383
     11313pos 56
    1182011314dimension 20
    1182111315uid 6129,0
    1182211316)
    11823 *468 (MRCItem
    11824 litem &403
    11825 pos 54
    11826 dimension 20
    11827 uid 6287,0
    11828 )
    11829 *469 (MRCItem
    11830 litem &404
    11831 pos 55
    11832 dimension 20
    11833 uid 6315,0
    11834 )
    11835 *470 (MRCItem
    11836 litem &405
    11837 pos 56
     11317*449 (MRCItem
     11318litem &384
     11319pos 46
    1183811320dimension 20
    1183911321uid 6778,0
    1184011322)
    11841 *471 (MRCItem
    11842 litem &406
     11323*450 (MRCItem
     11324litem &385
     11325pos 47
     11326dimension 20
     11327uid 6873,0
     11328)
     11329*451 (MRCItem
     11330litem &386
     11331pos 48
     11332dimension 20
     11333uid 7135,0
     11334)
     11335*452 (MRCItem
     11336litem &387
    1184311337pos 57
    1184411338dimension 20
    11845 uid 6873,0
    11846 )
    11847 *472 (MRCItem
    11848 litem &407
     11339uid 7474,0
     11340)
     11341*453 (MRCItem
     11342litem &388
    1184911343pos 58
    1185011344dimension 20
    11851 uid 7135,0
    11852 )
    11853 *473 (MRCItem
    11854 litem &408
     11345uid 8876,0
     11346)
     11347*454 (MRCItem
     11348litem &389
     11349pos 49
     11350dimension 20
     11351uid 9192,0
     11352)
     11353*455 (MRCItem
     11354litem &390
    1185511355pos 59
    1185611356dimension 20
    11857 uid 7474,0
     11357uid 9517,0
    1185811358)
    1185911359]
     
    1186811368uid 73,0
    1186911369optionalChildren [
    11870 *474 (MRCItem
    11871 litem &340
     11370*456 (MRCItem
     11371litem &322
    1187211372pos 0
    1187311373dimension 20
    1187411374uid 74,0
    1187511375)
    11876 *475 (MRCItem
    11877 litem &342
     11376*457 (MRCItem
     11377litem &324
    1187811378pos 1
    1187911379dimension 50
    1188011380uid 75,0
    1188111381)
    11882 *476 (MRCItem
    11883 litem &343
     11382*458 (MRCItem
     11383litem &325
    1188411384pos 2
    1188511385dimension 100
    1188611386uid 76,0
    1188711387)
    11888 *477 (MRCItem
    11889 litem &344
     11388*459 (MRCItem
     11389litem &326
    1189011390pos 3
    1189111391dimension 50
    1189211392uid 77,0
    1189311393)
    11894 *478 (MRCItem
    11895 litem &345
     11394*460 (MRCItem
     11395litem &327
    1189611396pos 4
    1189711397dimension 100
    1189811398uid 78,0
    1189911399)
    11900 *479 (MRCItem
    11901 litem &346
     11400*461 (MRCItem
     11401litem &328
    1190211402pos 5
    1190311403dimension 100
    1190411404uid 79,0
    1190511405)
    11906 *480 (MRCItem
    11907 litem &347
     11406*462 (MRCItem
     11407litem &329
    1190811408pos 6
    1190911409dimension 92
    1191011410uid 80,0
    1191111411)
    11912 *481 (MRCItem
    11913 litem &348
     11412*463 (MRCItem
     11413litem &330
    1191411414pos 7
    1191511415dimension 80
     
    1193111431genericsCommonDM (CommonDM
    1193211432ldm (LogicalDM
    11933 emptyRow *482 (LEmptyRow
     11433emptyRow *464 (LEmptyRow
    1193411434)
    1193511435uid 83,0
    1193611436optionalChildren [
    11937 *483 (RefLabelRowHdr
    11938 )
    11939 *484 (TitleRowHdr
    11940 )
    11941 *485 (FilterRowHdr
    11942 )
    11943 *486 (RefLabelColHdr
     11437*465 (RefLabelRowHdr
     11438)
     11439*466 (TitleRowHdr
     11440)
     11441*467 (FilterRowHdr
     11442)
     11443*468 (RefLabelColHdr
    1194411444tm "RefLabelColHdrMgr"
    1194511445)
    11946 *487 (RowExpandColHdr
     11446*469 (RowExpandColHdr
    1194711447tm "RowExpandColHdrMgr"
    1194811448)
    11949 *488 (GroupColHdr
     11449*470 (GroupColHdr
    1195011450tm "GroupColHdrMgr"
    1195111451)
    11952 *489 (NameColHdr
     11452*471 (NameColHdr
    1195311453tm "GenericNameColHdrMgr"
    1195411454)
    11955 *490 (TypeColHdr
     11455*472 (TypeColHdr
    1195611456tm "GenericTypeColHdrMgr"
    1195711457)
    11958 *491 (InitColHdr
     11458*473 (InitColHdr
    1195911459tm "GenericValueColHdrMgr"
    1196011460)
    11961 *492 (PragmaColHdr
     11461*474 (PragmaColHdr
    1196211462tm "GenericPragmaColHdrMgr"
    1196311463)
    11964 *493 (EolColHdr
     11464*475 (EolColHdr
    1196511465tm "GenericEolColHdrMgr"
    1196611466)
     
    1197211472uid 95,0
    1197311473optionalChildren [
    11974 *494 (Sheet
     11474*476 (Sheet
    1197511475sheetRow (SheetRow
    1197611476headerVa (MVa
     
    1198911489font "Tahoma,10,0"
    1199011490)
    11991 emptyMRCItem *495 (MRCItem
    11992 litem &482
     11491emptyMRCItem *477 (MRCItem
     11492litem &464
    1199311493pos 0
    1199411494dimension 20
     
    1199611496uid 97,0
    1199711497optionalChildren [
    11998 *496 (MRCItem
    11999 litem &483
     11498*478 (MRCItem
     11499litem &465
    1200011500pos 0
    1200111501dimension 20
    1200211502uid 98,0
    1200311503)
    12004 *497 (MRCItem
    12005 litem &484
     11504*479 (MRCItem
     11505litem &466
    1200611506pos 1
    1200711507dimension 23
    1200811508uid 99,0
    1200911509)
    12010 *498 (MRCItem
    12011 litem &485
     11510*480 (MRCItem
     11511litem &467
    1201211512pos 2
    1201311513hidden 1
     
    1202611526uid 101,0
    1202711527optionalChildren [
    12028 *499 (MRCItem
    12029 litem &486
     11528*481 (MRCItem
     11529litem &468
    1203011530pos 0
    1203111531dimension 20
    1203211532uid 102,0
    1203311533)
    12034 *500 (MRCItem
    12035 litem &488
     11534*482 (MRCItem
     11535litem &470
    1203611536pos 1
    1203711537dimension 50
    1203811538uid 103,0
    1203911539)
    12040 *501 (MRCItem
    12041 litem &489
     11540*483 (MRCItem
     11541litem &471
    1204211542pos 2
    1204311543dimension 100
    1204411544uid 104,0
    1204511545)
    12046 *502 (MRCItem
    12047 litem &490
     11546*484 (MRCItem
     11547litem &472
    1204811548pos 3
    1204911549dimension 100
    1205011550uid 105,0
    1205111551)
    12052 *503 (MRCItem
    12053 litem &491
     11552*485 (MRCItem
     11553litem &473
    1205411554pos 4
    1205511555dimension 50
    1205611556uid 106,0
    1205711557)
    12058 *504 (MRCItem
    12059 litem &492
     11558*486 (MRCItem
     11559litem &474
    1206011560pos 5
    1206111561dimension 50
    1206211562uid 107,0
    1206311563)
    12064 *505 (MRCItem
    12065 litem &493
     11564*487 (MRCItem
     11565litem &475
    1206611566pos 6
    1206711567dimension 80
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_@board/symbol.sb

    r246 r252  
    2121commonDM (CommonDM
    2222ldm (LogicalDM
    23 suid 66,0
     23suid 67,0
    2424usingSuid 1
    2525emptyRow *1 (LEmptyRow
     
    659659n "A1_T"
    660660t "std_logic_vector"
    661 b "(3 DOWNTO 0)"
     661b "(7 DOWNTO 0)"
    662662o 15
    663663suid 66,0
     664i "(OTHERS => '0')"
    664665)
    665666)
     
    12391240(vvPair
    12401241variable "HDLDir"
    1241 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hdl"
     1242value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    12421243)
    12431244(vvPair
    12441245variable "HDSDir"
    1245 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hds"
     1246value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    12461247)
    12471248(vvPair
    12481249variable "SideDataDesignDir"
    1249 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
     1250value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
    12501251)
    12511252(vvPair
    12521253variable "SideDataUserDir"
    1253 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
     1254value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
    12541255)
    12551256(vvPair
    12561257variable "SourceDir"
    1257 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hds"
     1258value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    12581259)
    12591260(vvPair
     
    12711272(vvPair
    12721273variable "d"
    1273 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     1274value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    12741275)
    12751276(vvPair
    12761277variable "d_logical"
    1277 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hds\\FAD_Board"
     1278value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_Board"
    12781279)
    12791280(vvPair
    12801281variable "date"
    1281 value "16.06.2010"
     1282value "14.07.2010"
    12821283)
    12831284(vvPair
     
    12911292(vvPair
    12921293variable "dd"
    1293 value "16"
     1294value "14"
    12941295)
    12951296(vvPair
     
    13191320(vvPair
    13201321variable "host"
    1321 value "TU-CC4900F8C7D2"
     1322value "E5B-LABOR6"
    13221323)
    13231324(vvPair
     
    13301331)
    13311332(vvPair
     1333variable "library_downstream_HdsLintPlugin"
     1334value "$HDS_PROJECT_DIR\\FACT_FAD_lib\\designcheck"
     1335)
     1336(vvPair
    13321337variable "library_downstream_ISEPARInvoke"
    13331338value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
     
    13471352(vvPair
    13481353variable "mm"
    1349 value "06"
     1354value "07"
    13501355)
    13511356(vvPair
     
    13551360(vvPair
    13561361variable "month"
    1357 value "Jun"
     1362value "Jul"
    13581363)
    13591364(vvPair
    13601365variable "month_long"
    1361 value "Juni"
     1366value "Juli"
    13621367)
    13631368(vvPair
    13641369variable "p"
    1365 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
     1370value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
    13661371)
    13671372(vvPair
    13681373variable "p_logical"
    1369 value "C:\\FPGA_projects\\FACT_FAD_backup\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
     1374value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
    13701375)
    13711376(vvPair
     
    14231428(vvPair
    14241429variable "time"
    1425 value "15:30:04"
     1430value "15:25:08"
    14261431)
    14271432(vvPair
     
    15001505)
    15011506xt "44000,29200,76000,30000"
    1502 st "RSRLOAD    : OUT    std_logic                       := '0' ;"
     1507st "RSRLOAD    : OUT    std_logic                       := '0' ;
     1508"
    15031509)
    15041510thePort (LogicalPort
     
    15451551)
    15461552xt "44000,12400,61500,13200"
    1547 st "X_50M      : IN     STD_LOGIC  ;"
     1553st "X_50M      : IN     STD_LOGIC  ;
     1554"
    15481555)
    15491556thePort (LogicalPort
     
    15901597)
    15911598xt "44000,10800,61500,11600"
    1592 st "TRG        : IN     STD_LOGIC  ;"
     1599st "TRG        : IN     STD_LOGIC  ;
     1600"
    15931601)
    15941602thePort (LogicalPort
     
    16341642)
    16351643xt "44000,14000,71500,14800"
    1636 st "A_CLK      : OUT    std_logic_vector (3 downto 0) ;"
     1644st "A_CLK      : OUT    std_logic_vector (3 downto 0) ;
     1645"
    16371646)
    16381647thePort (LogicalPort
     
    16801689)
    16811690xt "44000,25200,61500,26000"
    1682 st "OE_ADC     : OUT    STD_LOGIC  ;"
     1691st "OE_ADC     : OUT    STD_LOGIC  ;
     1692"
    16831693)
    16841694thePort (LogicalPort
     
    17261736)
    17271737xt "44000,5200,71500,6000"
    1728 st "A_OTR      : IN     std_logic_vector (3 DOWNTO 0) ;"
     1738st "A_OTR      : IN     std_logic_vector (3 DOWNTO 0) ;
     1739"
    17291740)
    17301741thePort (LogicalPort
     
    17701781)
    17711782xt "44000,2000,72000,2800"
    1772 st "A0_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
     1783st "A0_D       : IN     std_logic_vector (11 DOWNTO 0) ;
     1784"
    17731785)
    17741786thePort (LogicalPort
     
    18141826)
    18151827xt "44000,2800,72000,3600"
    1816 st "A1_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
     1828st "A1_D       : IN     std_logic_vector (11 DOWNTO 0) ;
     1829"
    18171830)
    18181831thePort (LogicalPort
     
    18581871)
    18591872xt "44000,3600,72000,4400"
    1860 st "A2_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
     1873st "A2_D       : IN     std_logic_vector (11 DOWNTO 0) ;
     1874"
    18611875)
    18621876thePort (LogicalPort
     
    19021916)
    19031917xt "44000,4400,72000,5200"
    1904 st "A3_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
     1918st "A3_D       : IN     std_logic_vector (11 DOWNTO 0) ;
     1919"
    19051920)
    19061921thePort (LogicalPort
     
    19471962)
    19481963xt "44000,14800,61500,15600"
    1949 st "D0_SRCLK   : OUT    STD_LOGIC  ;"
     1964st "D0_SRCLK   : OUT    STD_LOGIC  ;
     1965"
    19501966)
    19511967thePort (LogicalPort
     
    19922008)
    19932009xt "44000,15600,61500,16400"
    1994 st "D1_SRCLK   : OUT    STD_LOGIC  ;"
     2010st "D1_SRCLK   : OUT    STD_LOGIC  ;
     2011"
    19952012)
    19962013thePort (LogicalPort
     
    20372054)
    20382055xt "44000,16400,61500,17200"
    2039 st "D2_SRCLK   : OUT    STD_LOGIC  ;"
     2056st "D2_SRCLK   : OUT    STD_LOGIC  ;
     2057"
    20402058)
    20412059thePort (LogicalPort
     
    20822100)
    20832101xt "44000,17200,61500,18000"
    2084 st "D3_SRCLK   : OUT    STD_LOGIC  ;"
     2102st "D3_SRCLK   : OUT    STD_LOGIC  ;
     2103"
    20852104)
    20862105thePort (LogicalPort
     
    21262145)
    21272146xt "44000,6000,61500,6800"
    2128 st "D0_SROUT   : IN     std_logic  ;"
     2147st "D0_SROUT   : IN     std_logic  ;
     2148"
    21292149)
    21302150thePort (LogicalPort
     
    21692189)
    21702190xt "44000,6800,61500,7600"
    2171 st "D1_SROUT   : IN     std_logic  ;"
     2191st "D1_SROUT   : IN     std_logic  ;
     2192"
    21722193)
    21732194thePort (LogicalPort
     
    22122233)
    22132234xt "44000,7600,61500,8400"
    2214 st "D2_SROUT   : IN     std_logic  ;"
     2235st "D2_SROUT   : IN     std_logic  ;
     2236"
    22152237)
    22162238thePort (LogicalPort
     
    22552277)
    22562278xt "44000,8400,61500,9200"
    2257 st "D3_SROUT   : IN     std_logic  ;"
     2279st "D3_SROUT   : IN     std_logic  ;
     2280"
    22582281)
    22592282thePort (LogicalPort
     
    23092332)
    23102333xt "44000,20400,82000,21200"
    2311 st "D_A        : OUT    std_logic_vector (3 DOWNTO 0)   := (others => '0') ;"
     2334st "D_A        : OUT    std_logic_vector (3 DOWNTO 0)   := (others => '0') ;
     2335"
    23122336)
    23132337thePort (LogicalPort
     
    23662390)
    23672391xt "44000,19600,76000,20400"
    2368 st "DWRITE     : OUT    std_logic                       := '0' ;"
     2392st "DWRITE     : OUT    std_logic                       := '0' ;
     2393"
    23692394)
    23702395thePort (LogicalPort
     
    24122437)
    24132438xt "44000,18000,61500,18800"
    2414 st "DAC_CS     : OUT    std_logic  ;"
     2439st "DAC_CS     : OUT    std_logic  ;
     2440"
    24152441)
    24162442thePort (LogicalPort
     
    24572483)
    24582484xt "44000,31600,61500,32400"
    2459 st "T0_CS      : OUT    std_logic  ;"
     2485st "T0_CS      : OUT    std_logic  ;
     2486"
    24602487)
    24612488thePort (LogicalPort
     
    25022529)
    25032530xt "44000,32400,61500,33200"
    2504 st "T1_CS      : OUT    std_logic  ;"
     2531st "T1_CS      : OUT    std_logic  ;
     2532"
    25052533)
    25062534thePort (LogicalPort
     
    25472575)
    25482576xt "44000,33200,61500,34000"
    2549 st "T2_CS      : OUT    std_logic  ;"
     2577st "T2_CS      : OUT    std_logic  ;
     2578"
    25502579)
    25512580thePort (LogicalPort
     
    25922621)
    25932622xt "44000,34000,61500,34800"
    2594 st "T3_CS      : OUT    std_logic  ;"
     2623st "T3_CS      : OUT    std_logic  ;
     2624"
    25952625)
    25962626thePort (LogicalPort
     
    26372667)
    26382668xt "44000,30800,61500,31600"
    2639 st "S_CLK      : OUT    std_logic  ;"
     2669st "S_CLK      : OUT    std_logic  ;
     2670"
    26402671)
    26412672thePort (LogicalPort
     
    26822713)
    26832714xt "44000,35600,71500,36400"
    2684 st "W_A        : OUT    std_logic_vector (9 DOWNTO 0) ;"
     2715st "W_A        : OUT    std_logic_vector (9 DOWNTO 0) ;
     2716"
    26852717)
    26862718thePort (LogicalPort
     
    27282760)
    27292761xt "44000,40400,71000,41200"
    2730 st "W_D        : INOUT  std_logic_vector (15 DOWNTO 0)"
     2762st "W_D        : INOUT  std_logic_vector (15 DOWNTO 0)
     2763"
    27312764)
    27322765thePort (LogicalPort
     
    27842817)
    27852818xt "44000,38000,76000,38800"
    2786 st "W_RES      : OUT    std_logic                       := '1' ;"
     2819st "W_RES      : OUT    std_logic                       := '1' ;
     2820"
    27872821)
    27882822thePort (LogicalPort
     
    28402874)
    28412875xt "44000,37200,76000,38000"
    2842 st "W_RD       : OUT    std_logic                       := '1' ;"
     2876st "W_RD       : OUT    std_logic                       := '1' ;
     2877"
    28432878)
    28442879thePort (LogicalPort
     
    28962931)
    28972932xt "44000,38800,76000,39600"
    2898 st "W_WR       : OUT    std_logic                       := '1' ;"
     2933st "W_WR       : OUT    std_logic                       := '1' ;
     2934"
    28992935)
    29002936thePort (LogicalPort
     
    29412977)
    29422978xt "44000,11600,61500,12400"
    2943 st "W_INT      : IN     std_logic  ;"
     2979st "W_INT      : IN     std_logic  ;
     2980"
    29442981)
    29452982thePort (LogicalPort
     
    29953032)
    29963033xt "44000,36400,76000,37200"
    2997 st "W_CS       : OUT    std_logic                       := '1' ;"
     3034st "W_CS       : OUT    std_logic                       := '1' ;
     3035"
    29983036)
    29993037thePort (LogicalPort
     
    30513089)
    30523090xt "44000,24400,76000,25200"
    3053 st "MOSI       : OUT    std_logic                       := '0' ;"
     3091st "MOSI       : OUT    std_logic                       := '0' ;
     3092"
    30543093)
    30553094thePort (LogicalPort
     
    30973136)
    30983137xt "44000,39600,61500,40400"
    3099 st "MISO       : INOUT  std_logic  ;"
     3138st "MISO       : INOUT  std_logic  ;
     3139"
    31003140)
    31013141thePort (LogicalPort
     
    31443184)
    31453185xt "44000,34800,61500,35600"
    3146 st "TRG_V      : OUT    std_logic  ;"
     3186st "TRG_V      : OUT    std_logic  ;
     3187"
    31473188)
    31483189thePort (LogicalPort
     
    31893230)
    31903231xt "44000,26800,61500,27600"
    3191 st "RS485_C_RE : OUT    std_logic  ;"
     3232st "RS485_C_RE : OUT    std_logic  ;
     3233"
    31923234)
    31933235thePort (LogicalPort
     
    32343276)
    32353277xt "44000,26000,61500,26800"
    3236 st "RS485_C_DE : OUT    std_logic  ;"
     3278st "RS485_C_DE : OUT    std_logic  ;
     3279"
    32373280)
    32383281thePort (LogicalPort
     
    32793322)
    32803323xt "44000,28400,61500,29200"
    3281 st "RS485_E_RE : OUT    std_logic  ;"
     3324st "RS485_E_RE : OUT    std_logic  ;
     3325"
    32823326)
    32833327thePort (LogicalPort
     
    33243368)
    33253369xt "44000,27600,61500,28400"
    3326 st "RS485_E_DE : OUT    std_logic  ;"
     3370st "RS485_E_DE : OUT    std_logic  ;
     3371"
    33273372)
    33283373thePort (LogicalPort
     
    33793424)
    33803425xt "44000,18800,76000,19600"
    3381 st "DENABLE    : OUT    std_logic                       := '0' ;"
     3426st "DENABLE    : OUT    std_logic                       := '0' ;
     3427"
    33823428)
    33833429thePort (LogicalPort
     
    34253471)
    34263472xt "44000,30000,61500,30800"
    3427 st "SRIN       : OUT    std_logic  ;"
     3473st "SRIN       : OUT    std_logic  ;
     3474"
    34283475)
    34293476thePort (LogicalPort
     
    34703517)
    34713518xt "44000,22800,61500,23600"
    3472 st "EE_CS      : OUT    std_logic  ;"
     3519st "EE_CS      : OUT    std_logic  ;
     3520"
    34733521)
    34743522thePort (LogicalPort
     
    35253573)
    35263574xt "44000,21200,82000,22000"
    3527 st "D_T        : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0') ;"
     3575st "D_T        : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0') ;
     3576"
    35283577)
    35293578thePort (LogicalPort
     
    35823631)
    35833632xt "44000,23600,82000,24400"
    3584 st "LED        : OUT    std_logic_vector ( 2 DOWNTO 0 ) := (others => '1') ;"
     3633st "LED        : OUT    std_logic_vector ( 2 DOWNTO 0 ) := (others => '1') ;
     3634"
    35853635)
    35863636thePort (LogicalPort
     
    36283678)
    36293679xt "44000,10000,61500,10800"
    3630 st "TEST_TRG   : IN     std_logic  ;"
     3680st "TEST_TRG   : IN     std_logic  ;
     3681"
    36313682)
    36323683thePort (LogicalPort
     
    36713722)
    36723723xt "44000,9200,71500,10000"
    3673 st "D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0) ;"
     3724st "D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0) ;
     3725"
    36743726)
    36753727thePort (LogicalPort
     
    37263778)
    37273779xt "44000,22000,82000,22800"
    3728 st "D_T2       : OUT    std_logic_vector (3 DOWNTO 0)   := (others => '0') ;"
     3780st "D_T2       : OUT    std_logic_vector (3 DOWNTO 0)   := (others => '0') ;
     3781"
    37293782)
    37303783thePort (LogicalPort
     
    37613814)
    37623815xt "27200,97500,32000,98500"
    3763 st "A1_T : (3:0)"
     3816st "A1_T : (7:0)"
    37643817ju 2
    37653818blo "32000,98300"
    37663819tm "CptPortNameMgr"
    37673820)
     3821t (Text
     3822uid 3123,0
     3823va (VaSet
     3824)
     3825xt "25100,98500,32000,99500"
     3826st "(OTHERS => '0')"
     3827ju 2
     3828blo "32000,99300"
     3829tm "InitValueDelayMgr"
     3830)
    37683831)
    37693832dt (MLText
     
    37723835font "Courier New,8,0"
    37733836)
    3774 xt "44000,13200,71500,14000"
    3775 st "A1_T       : OUT    std_logic_vector (3 DOWNTO 0) ;"
     3837xt "44000,13200,82000,14000"
     3838st "A1_T       : OUT    std_logic_vector (7 DOWNTO 0)   := (OTHERS => '0') ;
     3839"
    37763840)
    37773841thePort (LogicalPort
     
    37803844n "A1_T"
    37813845t "std_logic_vector"
    3782 b "(3 DOWNTO 0)"
     3846b "(7 DOWNTO 0)"
    37833847o 15
    37843848suid 66,0
     3849i "(OTHERS => '0')"
    37853850)
    37863851)
     
    37953860lineWidth 2
    37963861)
    3797 xt "15000,6000,33000,99000"
     3862xt "15000,6000,33000,101000"
    37983863)
    37993864oxt "15000,6000,33000,26000"
     
    38693934bg "0,0,32768"
    38703935)
    3871 xt "36200,48000,45700,49000"
     3936xt "36200,48000,45500,49000"
    38723937st "
    38733938by %user on %dd %month %year
     
    44524517)
    44534518)
    4454 lastUid 3076,0
     4519lastUid 3292,0
    44554520activeModelName "Symbol:CDM"
    44564521)
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r249 r252  
    152152uid 8277,0
    153153)
     154(Instance
     155name "U_0"
     156duLibraryName "moduleware"
     157duName "mux"
     158elements [
     159]
     160mwi 1
     161uid 8562,0
     162)
    154163]
    155164libraryRefs [
     
    359368(vvPair
    360369variable "time"
    361 value "11:42:03"
     370value "14:21:30"
    362371)
    363372(vvPair
     
    450459font "Courier New,8,0"
    451460)
    452 xt "-85000,84200,-41500,85000"
    453 st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\""
     461xt "-85000,86600,-41500,87400"
     462st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
     463"
    454464)
    455465)
     
    469479)
    470480xt "-85000,47400,-45000,48200"
    471 st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     481st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     482"
    472483)
    473484)
     
    487498)
    488499xt "-85000,61800,-52500,62600"
    489 st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)"
     500st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)
     501"
    490502)
    491503)
     
    504516font "Courier New,8,0"
    505517)
    506 xt "-85000,69000,-45000,69800"
    507 st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
     518xt "-85000,71400,-45000,72200"
     519st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
     520"
    508521)
    509522)
     
    522535font "Courier New,8,0"
    523536)
    524 xt "-85000,69800,-52500,70600"
    525 st "SIGNAL ram_data               : std_logic_vector(15 downto 0)"
     537xt "-85000,72200,-52500,73000"
     538st "SIGNAL ram_data               : std_logic_vector(15 downto 0)
     539"
    526540)
    527541)
     
    541555)
    542556xt "-85000,39800,-45000,40600"
    543 st "wiz_reset              : std_logic                                    := '1'"
     557st "wiz_reset              : std_logic                                    := '1'
     558"
    544559)
    545560)
     
    559574)
    560575xt "-85000,37400,-56500,38200"
    561 st "wiz_addr               : std_logic_vector(9 DOWNTO 0)"
     576st "wiz_addr               : std_logic_vector(9 DOWNTO 0)
     577"
    562578)
    563579)
     
    577593)
    578594xt "-85000,42200,-56000,43000"
    579 st "wiz_data               : std_logic_vector(15 DOWNTO 0)"
     595st "wiz_data               : std_logic_vector(15 DOWNTO 0)
     596"
    580597)
    581598)
     
    595612)
    596613xt "-85000,38200,-45000,39000"
    597 st "wiz_cs                 : std_logic                                    := '1'"
     614st "wiz_cs                 : std_logic                                    := '1'
     615"
    598616)
    599617)
     
    613631)
    614632xt "-85000,40600,-45000,41400"
    615 st "wiz_wr                 : std_logic                                    := '1'"
     633st "wiz_wr                 : std_logic                                    := '1'
     634"
    616635)
    617636)
     
    631650)
    632651xt "-85000,39000,-45000,39800"
    633 st "wiz_rd                 : std_logic                                    := '1'"
     652st "wiz_rd                 : std_logic                                    := '1'
     653"
    634654)
    635655)
     
    648668)
    649669xt "-85000,26200,-66500,27000"
    650 st "wiz_int                : std_logic"
     670st "wiz_int                : std_logic
     671"
    651672)
    652673)
     
    24732494)
    24742495xt "-85000,23800,-56500,24600"
    2475 st "board_id               : std_logic_vector(3 downto 0)"
     2496st "board_id               : std_logic_vector(3 downto 0)
     2497"
    24762498)
    24772499)
     
    24922514)
    24932515xt "-85000,25400,-66500,26200"
    2494 st "trigger                : std_logic"
     2516st "trigger                : std_logic
     2517"
    24952518)
    24962519)
     
    37203743)
    37213744xt "-85000,24600,-56500,25400"
    3722 st "crate_id               : std_logic_vector(1 downto 0)"
     3745st "crate_id               : std_logic_vector(1 downto 0)
     3746"
    37233747)
    37243748)
     
    39403964font "Courier New,8,0"
    39413965)
    3942 xt "-85000,77000,-52500,77800"
    3943 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)"
     3966xt "-85000,79400,-52500,80200"
     3967st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
     3968"
    39443969)
    39453970)
     
    39603985font "Courier New,8,0"
    39613986)
    3962 xt "-85000,70600,-45000,71400"
    3963 st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     3987xt "-85000,73000,-45000,73800"
     3988st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     3989"
    39643990)
    39653991)
     
    47664792font "Courier New,8,0"
    47674793)
    4768 xt "-85000,78600,-62500,79400"
    4769 st "SIGNAL wiz_busy               : std_logic"
     4794xt "-85000,81000,-62500,81800"
     4795st "SIGNAL wiz_busy               : std_logic
     4796"
    47704797)
    47714798)
     
    47854812font "Courier New,8,0"
    47864813)
    4787 xt "-85000,81000,-41500,81800"
    4788 st "SIGNAL wiz_write_ea           : std_logic                                    := '0'"
     4814xt "-85000,83400,-41500,84200"
     4815st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
     4816"
    47894817)
    47904818)
     
    48054833font "Courier New,8,0"
    48064834)
    4807 xt "-85000,83400,-35500,84200"
    4808 st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')"
     4835xt "-85000,85800,-35500,86600"
     4836st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
     4837"
    48094838)
    48104839)
     
    48264855font "Courier New,8,0"
    48274856)
    4828 xt "-85000,80200,-35500,81000"
    4829 st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
     4857xt "-85000,82600,-35500,83400"
     4858st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
     4859"
    48304860)
    48314861)
     
    48464876font "Courier New,8,0"
    48474877)
    4848 xt "-85000,79400,-35500,80200"
    4849 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')"
     4878xt "-85000,81800,-35500,82600"
     4879st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
     4880"
    48504881)
    48514882)
     
    48654896font "Courier New,8,0"
    48664897)
    4867 xt "-85000,81800,-41500,82600"
    4868 st "SIGNAL wiz_write_end          : std_logic                                    := '0'"
     4898xt "-85000,84200,-41500,85000"
     4899st "SIGNAL wiz_write_end          : std_logic                                    := '0'
     4900"
    48694901)
    48704902)
     
    48844916font "Courier New,8,0"
    48854917)
    4886 xt "-85000,82600,-41500,83400"
    4887 st "SIGNAL wiz_write_header       : std_logic                                    := '0'"
     4918xt "-85000,85000,-41500,85800"
     4919st "SIGNAL wiz_write_header       : std_logic                                    := '0'
     4920"
    48884921)
    48894922)
     
    49014934font "Courier New,8,0"
    49024935)
    4903 xt "-85000,71400,-62500,72200"
    4904 st "SIGNAL ram_write_ea           : std_logic"
     4936xt "-85000,73800,-62500,74600"
     4937st "SIGNAL ram_write_ea           : std_logic
     4938"
    49054939)
    49064940)
     
    49194953font "Courier New,8,0"
    49204954)
    4921 xt "-85000,72200,-41500,73000"
    4922 st "SIGNAL ram_write_ready        : std_logic                                    := '0'"
     4955xt "-85000,74600,-41500,75400"
     4956st "SIGNAL ram_write_ready        : std_logic                                    := '0'
     4957"
    49234958)
    49244959)
     
    49384973)
    49394974xt "-85000,54600,-41500,55400"
    4940 st "SIGNAL config_start           : std_logic                                    := '0'"
     4975st "SIGNAL config_start           : std_logic                                    := '0'
     4976"
    49414977)
    49424978)
     
    49554991)
    49564992xt "-85000,52200,-62500,53000"
    4957 st "SIGNAL config_ready           : std_logic"
     4993st "SIGNAL config_ready           : std_logic
     4994"
    49584995)
    49594996)
     
    49715008font "Courier New,8,0"
    49725009)
    4973 xt "-85000,73800,-61000,74600"
    4974 st "SIGNAL roi_max                : roi_max_type"
     5010xt "-85000,76200,-61000,77000"
     5011st "SIGNAL roi_max                : roi_max_type
     5012"
    49755013)
    49765014)
     
    49895027font "Courier New,8,0"
    49905028)
    4991 xt "-85000,68200,-52500,69000"
    4992 st "SIGNAL package_length         : std_logic_vector(15 downto 0)"
     5029xt "-85000,70600,-52500,71400"
     5030st "SIGNAL package_length         : std_logic_vector(15 downto 0)
     5031"
    49935032)
    49945033)
     
    50085047)
    50095048xt "-85000,30200,-45000,31000"
    5010 st "adc_oeb                : std_logic                                    := '1'"
     5049st "adc_oeb                : std_logic                                    := '1'
     5050"
    50115051)
    50125052)
     
    51145154font "Courier New,8,0"
    51155155)
    5116 xt "-85000,73000,-60000,73800"
    5117 st "SIGNAL roi_array              : roi_array_type"
     5156xt "-85000,75400,-60000,76200"
     5157st "SIGNAL roi_array              : roi_array_type
     5158"
    51185159)
    51195160)
     
    55485589)
    55495590xt "-85000,27000,-66500,27800"
    5550 st "CLK_25_PS              : std_logic"
     5591st "CLK_25_PS              : std_logic
     5592"
    55515593)
    55525594)
     
    56105652)
    56115653xt "-85000,27800,-66500,28600"
    5612 st "CLK_50                 : std_logic"
     5654st "CLK_50                 : std_logic
     5655"
    56135656)
    56145657)
     
    58605903)
    58615904xt "-85000,45000,-62500,45800"
    5862 st "SIGNAL CLK_25                 : std_logic"
     5905st "SIGNAL CLK_25                 : std_logic
     5906"
    58635907)
    58645908)
     
    59225966)
    59235967xt "-85000,18200,-66500,19000"
    5924 st "CLK                    : std_logic"
     5968st "CLK                    : std_logic
     5969"
    59255970)
    59265971)
     
    59405985)
    59415986xt "-85000,23000,-56500,23800"
    5942 st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)"
     5987st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)
     5988"
    59435989)
    59445990)
     
    59576003)
    59586004xt "-85000,22200,-61000,23000"
    5959 st "adc_data_array         : adc_data_array_type"
     6005st "adc_data_array         : adc_data_array_type
     6006"
    59606007)
    59616008)
     
    60196066font "Courier New,8,0"
    60206067)
    6021 xt "-85000,62600,-41500,63400"
    6022 st "SIGNAL drs_clk_en             : std_logic                                    := '0'"
     6068xt "-85000,65000,-41500,65800"
     6069st "SIGNAL drs_clk_en             : std_logic                                    := '0'
     6070"
    60236071)
    60246072)
     
    60366084font "Courier New,8,0"
    60376085)
    6038 xt "-85000,65000,-56500,65800"
    6039 st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type"
     6086xt "-85000,67400,-56500,68200"
     6087st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type
     6088"
    60406089)
    60416090)
     
    60546103font "Courier New,8,0"
    60556104)
    6056 xt "-85000,63400,-41500,64200"
    6057 st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'"
     6105xt "-85000,65800,-41500,66600"
     6106st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'
     6107"
    60586108)
    60596109)
     
    60746124)
    60756125xt "-85000,32600,-39000,33400"
    6076 st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')"
     6126st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')
     6127"
    60776128)
    60786129)
     
    60926143)
    60936144xt "-85000,33400,-45000,34200"
    6094 st "drs_dwrite             : std_logic                                    := '1'"
     6145st "drs_dwrite             : std_logic                                    := '1'
     6146"
    60956147)
    60966148)
     
    61086160sl 0
    61096161ro 90
    6110 xt "-28000,56625,-26500,57375"
     6162xt "-39000,99625,-37500,100375"
    61116163)
    61126164(Line
     
    61146166sl 0
    61156167ro 90
    6116 xt "-26500,57000,-26000,57000"
     6168xt "-37500,100000,-37000,100000"
    61176169pts [
    6118 "-26000,57000"
    6119 "-26500,57000"
     6170"-37000,100000"
     6171"-37500,100000"
    61206172]
    61216173)
     
    61326184va (VaSet
    61336185)
    6134 xt "-34900,56500,-29000,57500"
     6186xt "-45900,99500,-40000,100500"
    61356187st "drs_channel_id"
    61366188ju 2
    6137 blo "-29000,57300"
     6189blo "-40000,100300"
    61386190tm "WireNameMgr"
    61396191)
     
    61996251)
    62006252xt "-85000,19000,-66500,19800"
    6201 st "SROUT_in_0             : std_logic"
     6253st "SROUT_in_0             : std_logic
     6254"
    62026255)
    62036256)
     
    62166269)
    62176270xt "-85000,19800,-66500,20600"
    6218 st "SROUT_in_1             : std_logic"
     6271st "SROUT_in_1             : std_logic
     6272"
    62196273)
    62206274)
     
    62336287)
    62346288xt "-85000,20600,-66500,21400"
    6235 st "SROUT_in_2             : std_logic"
     6289st "SROUT_in_2             : std_logic
     6290"
    62366291)
    62376292)
     
    62506305)
    62516306xt "-85000,21400,-66500,22200"
    6252 st "SROUT_in_3             : std_logic"
     6307st "SROUT_in_3             : std_logic
     6308"
    62536309)
    62546310)
     
    64466502font "Courier New,8,0"
    64476503)
    6448 xt "-85000,64200,-62500,65000"
    6449 st "SIGNAL drs_read_s_cell_ready  : std_logic"
     6504xt "-85000,66600,-62500,67400"
     6505st "SIGNAL drs_read_s_cell_ready  : std_logic
     6506"
    64506507)
    64516508)
     
    69266983)
    69276984xt "-85000,28600,-45000,29400"
    6928 st "RSRLOAD                : std_logic                                    := '0'"
     6985st "RSRLOAD                : std_logic                                    := '0'
     6986"
    69296987)
    69306988)
     
    69897047)
    69907048xt "-85000,29400,-45000,30200"
    6991 st "SRCLK                  : std_logic                                    := '0'"
     7049st "SRCLK                  : std_logic                                    := '0'
     7050"
    69927051)
    69937052)
     
    72147273t "std_logic_vector"
    72157274b "(15 DOWNTO 0)"
    7216 o 12
     7275o 14
    72177276suid 5,0
    72187277)
     
    72497308n "roi_array"
    72507309t "roi_array_type"
    7251 o 11
     7310o 13
    72527311suid 6,0
    72537312)
     
    74647523)
    74657524)
     7525*227 (CptPort
     7526uid 8500,0
     7527ps "OnEdgeStrategy"
     7528shape (Triangle
     7529uid 8501,0
     7530ro 90
     7531va (VaSet
     7532vasetType 1
     7533fg "0,65535,0"
     7534)
     7535xt "92000,109625,92750,110375"
     7536)
     7537tg (CPTG
     7538uid 8502,0
     7539ps "CptPortTextPlaceStrategy"
     7540stg "RightVerticalLayoutStrategy"
     7541f (Text
     7542uid 8503,0
     7543va (VaSet
     7544)
     7545xt "83800,109500,91000,110500"
     7546st "drs_address : (3:0)"
     7547ju 2
     7548blo "91000,110300"
     7549)
     7550)
     7551thePort (LogicalPort
     7552m 1
     7553decl (Decl
     7554n "drs_address"
     7555t "std_logic_vector"
     7556b "(3 DOWNTO 0)"
     7557o 11
     7558suid 13,0
     7559)
     7560)
     7561)
     7562*228 (CptPort
     7563uid 8504,0
     7564ps "OnEdgeStrategy"
     7565shape (Triangle
     7566uid 8505,0
     7567ro 90
     7568va (VaSet
     7569vasetType 1
     7570fg "0,65535,0"
     7571)
     7572xt "92000,110625,92750,111375"
     7573)
     7574tg (CPTG
     7575uid 8506,0
     7576ps "CptPortTextPlaceStrategy"
     7577stg "RightVerticalLayoutStrategy"
     7578f (Text
     7579uid 8507,0
     7580va (VaSet
     7581)
     7582xt "83800,110500,91000,111500"
     7583st "drs_address_mode"
     7584ju 2
     7585blo "91000,111300"
     7586)
     7587)
     7588thePort (LogicalPort
     7589m 1
     7590decl (Decl
     7591n "drs_address_mode"
     7592t "std_logic"
     7593o 12
     7594suid 14,0
     7595)
     7596)
     7597)
    74667598]
    74677599shape (Rectangle
     
    74817613stg "VerticalLayoutStrategy"
    74827614textVec [
    7483 *227 (Text
     7615*229 (Text
    74847616uid 5075,0
    74857617va (VaSet
     
    74917623tm "BdLibraryNameMgr"
    74927624)
    7493 *228 (Text
     7625*230 (Text
    74947626uid 5076,0
    74957627va (VaSet
     
    75017633tm "CptNameMgr"
    75027634)
    7503 *229 (Text
     7635*231 (Text
    75047636uid 5077,0
    75057637va (VaSet
     
    75477679archFileType "UNKNOWN"
    75487680)
    7549 *230 (Net
     7681*232 (Net
    75507682uid 5088,0
    75517683decl (Decl
     
    75627694)
    75637695xt "-85000,48200,-53000,49000"
    7564 st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)"
    7565 )
    7566 )
    7567 *231 (Net
     7696st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)
     7697"
     7698)
     7699)
     7700*233 (Net
    75687701uid 5096,0
    75697702decl (Decl
     
    75797712)
    75807713xt "-85000,50600,-62500,51400"
    7581 st "SIGNAL config_data_valid      : std_logic"
    7582 )
    7583 )
    7584 *232 (Net
     7714st "SIGNAL config_data_valid      : std_logic
     7715"
     7716)
     7717)
     7718*234 (Net
    75857719uid 5104,0
    75867720decl (Decl
     
    75967730)
    75977731xt "-85000,49000,-62500,49800"
    7598 st "SIGNAL config_busy            : std_logic"
    7599 )
    7600 )
    7601 *233 (Net
     7732st "SIGNAL config_busy            : std_logic
     7733"
     7734)
     7735)
     7736*235 (Net
    76027737uid 5112,0
    76037738decl (Decl
     
    76147749)
    76157750xt "-85000,49800,-52500,50600"
    7616 st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)"
    7617 )
    7618 )
    7619 *234 (Net
     7751st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)
     7752"
     7753)
     7754)
     7755*236 (Net
    76207756uid 5120,0
    76217757decl (Decl
     
    76317767)
    76327768xt "-85000,60200,-62500,61000"
    7633 st "SIGNAL config_wr_en           : std_logic"
    7634 )
    7635 )
    7636 *235 (Net
     7769st "SIGNAL config_wr_en           : std_logic
     7770"
     7771)
     7772)
     7773*237 (Net
    76377774uid 5128,0
    76387775decl (Decl
     
    76487785)
    76497786xt "-85000,51400,-62500,52200"
    7650 st "SIGNAL config_rd_en           : std_logic"
    7651 )
    7652 )
    7653 *236 (Net
     7787st "SIGNAL config_rd_en           : std_logic
     7788"
     7789)
     7790)
     7791*238 (Net
    76547792uid 5144,0
    76557793decl (Decl
     
    76657803)
    76667804xt "-85000,61000,-60000,61800"
    7667 st "SIGNAL dac_array              : dac_array_type"
    7668 )
    7669 )
    7670 *237 (Net
     7805st "SIGNAL dac_array              : dac_array_type
     7806"
     7807)
     7808)
     7809*239 (Net
    76717810uid 5194,0
    76727811decl (Decl
     
    76827821)
    76837822xt "-85000,55400,-62500,56200"
    7684 st "SIGNAL config_start_cm        : std_logic"
    7685 )
    7686 )
    7687 *238 (Net
     7823st "SIGNAL config_start_cm        : std_logic
     7824"
     7825)
     7826)
     7827*240 (Net
    76887828uid 5196,0
    76897829decl (Decl
     
    76997839)
    77007840xt "-85000,53000,-62500,53800"
    7701 st "SIGNAL config_ready_cm        : std_logic"
    7702 )
    7703 )
    7704 *239 (Net
     7841st "SIGNAL config_ready_cm        : std_logic
     7842"
     7843)
     7844)
     7845*241 (Net
    77057846uid 5220,0
    77067847decl (Decl
     
    77197860)
    77207861xt "-85000,34200,-39000,35000"
    7721 st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    7722 )
    7723 )
    7724 *240 (Net
     7862st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
     7863"
     7864)
     7865)
     7866*242 (Net
    77257867uid 5279,0
    77267868decl (Decl
     
    77367878font "Courier New,8,0"
    77377879)
    7738 xt "-85000,74600,-41500,75400"
    7739 st "SIGNAL s_trigger              : std_logic                                    := '0'"
    7740 )
    7741 )
    7742 *241 (Net
     7880xt "-85000,77000,-41500,77800"
     7881st "SIGNAL s_trigger              : std_logic                                    := '0'
     7882"
     7883)
     7884)
     7885*243 (Net
    77437886uid 5472,0
    77447887decl (Decl
     
    77537896font "Courier New,8,0"
    77547897)
    7755 xt "-85000,76200,-62500,77000"
    7756 st "SIGNAL sensor_ready           : std_logic"
    7757 )
    7758 )
    7759 *242 (Net
     7898xt "-85000,78600,-62500,79400"
     7899st "SIGNAL sensor_ready           : std_logic
     7900"
     7901)
     7902)
     7903*244 (Net
    77607904uid 5478,0
    77617905decl (Decl
     
    77707914font "Courier New,8,0"
    77717915)
    7772 xt "-85000,75400,-58500,76200"
    7773 st "SIGNAL sensor_array           : sensor_array_type"
    7774 )
    7775 )
    7776 *243 (Net
     7916xt "-85000,77800,-58500,78600"
     7917st "SIGNAL sensor_array           : sensor_array_type
     7918"
     7919)
     7920)
     7921*245 (Net
    77777922uid 5588,0
    77787923decl (Decl
     
    77887933)
    77897934xt "-85000,53800,-62500,54600"
    7790 st "SIGNAL config_ready_spi       : std_logic"
    7791 )
    7792 )
    7793 *244 (Net
     7935st "SIGNAL config_ready_spi       : std_logic
     7936"
     7937)
     7938)
     7939*246 (Net
    77947940uid 5632,0
    77957941lang 10
     
    78077953)
    78087954xt "-85000,46600,-53000,47400"
    7809 st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)"
    7810 )
    7811 )
    7812 *245 (Net
     7955st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)
     7956"
     7957)
     7958)
     7959*247 (Net
    78137960uid 5640,0
    78147961decl (Decl
     
    78247971)
    78257972xt "-85000,45800,-57500,46600"
    7826 st "SIGNAL adc_data_array_int     : adc_data_array_type"
    7827 )
    7828 )
    7829 *246 (SaComponent
     7973st "SIGNAL adc_data_array_int     : adc_data_array_type
     7974"
     7975)
     7976)
     7977*248 (SaComponent
    78307978uid 5678,0
    78317979optionalChildren [
    7832 *247 (CptPort
     7980*249 (CptPort
    78337981uid 5658,0
    78347982ps "OnEdgeStrategy"
     
    78658013)
    78668014)
    7867 *248 (CptPort
     8015*250 (CptPort
    78688016uid 5662,0
    78698017ps "OnEdgeStrategy"
     
    79028050)
    79038051)
    7904 *249 (CptPort
     8052*251 (CptPort
    79058053uid 5666,0
    79068054ps "OnEdgeStrategy"
     
    79418089)
    79428090)
    7943 *250 (CptPort
     8091*252 (CptPort
    79448092uid 5670,0
    79458093ps "OnEdgeStrategy"
     
    79778125)
    79788126)
    7979 *251 (CptPort
     8127*253 (CptPort
    79808128uid 5674,0
    79818129ps "OnEdgeStrategy"
     
    80308178stg "VerticalLayoutStrategy"
    80318179textVec [
    8032 *252 (Text
     8180*254 (Text
    80338181uid 5681,0
    80348182va (VaSet
     
    80408188tm "BdLibraryNameMgr"
    80418189)
    8042 *253 (Text
     8190*255 (Text
    80438191uid 5682,0
    80448192va (VaSet
     
    80508198tm "CptNameMgr"
    80518199)
    8052 *254 (Text
     8200*256 (Text
    80538201uid 5683,0
    80548202va (VaSet
     
    80998247archFileType "UNKNOWN"
    81008248)
    8101 *255 (Net
     8249*257 (Net
    81028250uid 5743,0
    81038251decl (Decl
     
    81148262)
    81158263xt "-85000,56200,-41500,57000"
    8116 st "SIGNAL config_start_spi       : std_logic                                    := '0'"
    8117 )
    8118 )
    8119 *256 (SaComponent
     8264st "SIGNAL config_start_spi       : std_logic                                    := '0'
     8265"
     8266)
     8267)
     8268*258 (SaComponent
    81208269uid 5793,0
    81218270optionalChildren [
    8122 *257 (CptPort
     8271*259 (CptPort
    81238272uid 5753,0
    81248273ps "OnEdgeStrategy"
     
    81558304)
    81568305)
    8157 *258 (CptPort
     8306*260 (CptPort
    81588307uid 5761,0
    81598308ps "OnEdgeStrategy"
     
    81908339)
    81918340)
    8192 *259 (CptPort
     8341*261 (CptPort
    81938342uid 5765,0
    81948343ps "OnEdgeStrategy"
     
    82268375)
    82278376)
    8228 *260 (CptPort
     8377*262 (CptPort
    82298378uid 5769,0
    82308379ps "OnEdgeStrategy"
     
    82618410)
    82628411)
    8263 *261 (CptPort
     8412*263 (CptPort
    82648413uid 5773,0
    82658414ps "OnEdgeStrategy"
     
    82978446)
    82988447)
    8299 *262 (CptPort
     8448*264 (CptPort
    83008449uid 5777,0
    83018450ps "OnEdgeStrategy"
     
    83338482)
    83348483)
    8335 *263 (CptPort
     8484*265 (CptPort
    83368485uid 5781,0
    83378486ps "OnEdgeStrategy"
     
    83688517)
    83698518)
    8370 *264 (CptPort
     8519*266 (CptPort
    83718520uid 5785,0
    83728521ps "OnEdgeStrategy"
     
    84048553)
    84058554)
    8406 *265 (CptPort
     8555*267 (CptPort
    84078556uid 5789,0
    84088557ps "OnEdgeStrategy"
     
    84408589)
    84418590)
    8442 *266 (CptPort
     8591*268 (CptPort
    84438592uid 5986,0
    84448593ps "OnEdgeStrategy"
     
    84778626)
    84788627)
    8479 *267 (CptPort
     8628*269 (CptPort
    84808629uid 6154,0
    84818630ps "OnEdgeStrategy"
     
    85138662)
    85148663)
    8515 *268 (CptPort
     8664*270 (CptPort
    85168665uid 6317,0
    85178666ps "OnEdgeStrategy"
     
    85678716stg "VerticalLayoutStrategy"
    85688717textVec [
    8569 *269 (Text
     8718*271 (Text
    85708719uid 5796,0
    85718720va (VaSet
     
    85778726tm "BdLibraryNameMgr"
    85788727)
    8579 *270 (Text
     8728*272 (Text
    85808729uid 5797,0
    85818730va (VaSet
     
    85878736tm "CptNameMgr"
    85888737)
    8589 *271 (Text
     8738*273 (Text
    85908739uid 5798,0
    85918740va (VaSet
     
    86338782archFileType "UNKNOWN"
    86348783)
    8635 *272 (Net
     8784*274 (Net
    86368785uid 5811,0
    86378786decl (Decl
     
    86478796)
    86488797xt "-85000,35800,-66500,36600"
    8649 st "sclk                   : std_logic"
    8650 )
    8651 )
    8652 *273 (Net
     8798st "sclk                   : std_logic
     8799"
     8800)
     8801)
     8802*275 (Net
    86538803uid 5819,0
    86548804decl (Decl
     
    86668816)
    86678817xt "-85000,41400,-66500,42200"
    8668 st "sio                    : std_logic"
    8669 )
    8670 )
    8671 *274 (Net
     8818st "sio                    : std_logic
     8819"
     8820)
     8821)
     8822*276 (Net
    86728823uid 5827,0
    86738824decl (Decl
     
    86838834)
    86848835xt "-85000,31000,-66500,31800"
    8685 st "dac_cs                 : std_logic"
    8686 )
    8687 )
    8688 *275 (Net
     8836st "dac_cs                 : std_logic
     8837"
     8838)
     8839)
     8840*277 (Net
    86898841uid 5835,0
    86908842decl (Decl
     
    87018853)
    87028854xt "-85000,36600,-56500,37400"
    8703 st "sensor_cs              : std_logic_vector(3 DOWNTO 0)"
    8704 )
    8705 )
    8706 *276 (PortIoOut
     8855st "sensor_cs              : std_logic_vector(3 DOWNTO 0)
     8856"
     8857)
     8858)
     8859*278 (PortIoOut
    87078860uid 5843,0
    87088861shape (CompositeShape
     
    87498902)
    87508903)
    8751 *277 (PortIoInOut
     8904*279 (PortIoInOut
    87528905uid 5849,0
    87538906shape (CompositeShape
     
    87948947)
    87958948)
    8796 *278 (PortIoOut
     8949*280 (PortIoOut
    87978950uid 5855,0
    87988951shape (CompositeShape
     
    88398992)
    88408993)
    8841 *279 (PortIoOut
     8994*281 (PortIoOut
    88428995uid 5861,0
    88438996shape (CompositeShape
     
    88849037)
    88859038)
    8886 *280 (Net
     9039*282 (Net
    88879040uid 5948,0
    88889041decl (Decl
     
    88989051font "Courier New,8,0"
    88999052)
    8900 xt "-85000,67400,-41500,68200"
    8901 st "SIGNAL new_config             : std_logic                                    := '0'"
    8902 )
    8903 )
    8904 *281 (Net
     9053xt "-85000,69800,-41500,70600"
     9054st "SIGNAL new_config             : std_logic                                    := '0'
     9055"
     9056)
     9057)
     9058*283 (Net
    89059059uid 5960,0
    89069060decl (Decl
     
    89169070)
    89179071xt "-85000,57000,-62500,57800"
    8918 st "SIGNAL config_started         : std_logic"
    8919 )
    8920 )
    8921 *282 (Net
     9072st "SIGNAL config_started         : std_logic
     9073"
     9074)
     9075)
     9076*284 (Net
    89229077uid 6012,0
    89239078decl (Decl
     
    89349089)
    89359090xt "-85000,59400,-41500,60200"
    8936 st "SIGNAL config_started_spi     : std_logic                                    := '0'"
    8937 )
    8938 )
    8939 *283 (Net
     9091st "SIGNAL config_started_spi     : std_logic                                    := '0'
     9092"
     9093)
     9094)
     9095*285 (Net
    89409096uid 6014,0
    89419097decl (Decl
     
    89529108)
    89539109xt "-85000,57800,-41500,58600"
    8954 st "SIGNAL config_started_cu      : std_logic                                    := '0'"
    8955 )
    8956 )
    8957 *284 (Net
     9110st "SIGNAL config_started_cu      : std_logic                                    := '0'
     9111"
     9112)
     9113)
     9114*286 (Net
    89589115uid 6016,0
    89599116decl (Decl
     
    89699126)
    89709127xt "-85000,58600,-62500,59400"
    8971 st "SIGNAL config_started_mm      : std_logic"
    8972 )
    8973 )
    8974 *285 (Net
     9128st "SIGNAL config_started_mm      : std_logic
     9129"
     9130)
     9131)
     9132*287 (Net
    89759133uid 6158,0
    89769134decl (Decl
     
    89879145)
    89889146xt "-85000,35000,-45000,35800"
    8989 st "mosi                   : std_logic                                    := '0'"
    8990 )
    8991 )
    8992 *286 (PortIoOut
     9147st "mosi                   : std_logic                                    := '0'
     9148"
     9149)
     9150)
     9151*288 (PortIoOut
    89939152uid 6166,0
    89949153shape (CompositeShape
     
    90359194)
    90369195)
    9037 *287 (Net
     9196*289 (Net
    90389197uid 6360,0
    90399198decl (Decl
     
    90529211)
    90539212xt "-85000,31800,-31500,32600"
    9054 st "denable                : std_logic                                    := '0' -- default domino wave off"
    9055 )
    9056 )
    9057 *288 (PortIoOut
     9213st "denable                : std_logic                                    := '0' -- default domino wave off
     9214"
     9215)
     9216)
     9217*290 (PortIoOut
    90589218uid 6368,0
    90599219shape (CompositeShape
     
    90999259)
    91009260)
    9101 *289 (Net
     9261*291 (Net
    91029262uid 6450,0
    91039263decl (Decl
     
    91139273font "Courier New,8,0"
    91149274)
    9115 xt "-85000,66600,-41500,67400"
    9116 st "SIGNAL dwrite_enable          : std_logic                                    := '1'"
    9117 )
    9118 )
    9119 *290 (MWC
     9275xt "-85000,69000,-41500,69800"
     9276st "SIGNAL dwrite_enable          : std_logic                                    := '1'
     9277"
     9278)
     9279)
     9280*292 (MWC
    91209281uid 6529,0
    91219282optionalChildren [
    9122 *291 (CptPort
     9283*293 (CptPort
    91239284uid 6501,0
    91249285optionalChildren [
    9125 *292 (Line
     9286*294 (Line
    91269287uid 6505,0
    91279288layer 5
     
    91369297]
    91379298)
    9138 *293 (Property
     9299*295 (Property
    91399300uid 6506,0
    91409301pclass "_MW_GEOM_"
     
    91819342)
    91829343)
    9183 *294 (CptPort
     9344*296 (CptPort
    91849345uid 6507,0
    91859346optionalChildren [
    9186 *295 (Line
     9347*297 (Line
    91879348uid 6511,0
    91889349layer 5
     
    92369397)
    92379398)
    9238 *296 (CptPort
     9399*298 (CptPort
    92399400uid 6512,0
    92409401optionalChildren [
    9241 *297 (Line
     9402*299 (Line
    92429403uid 6516,0
    92439404layer 5
     
    92919452)
    92929453)
    9293 *298 (CommentGraphic
     9454*300 (CommentGraphic
    92949455uid 6517,0
    92959456optionalChildren [
    9296 *299 (Property
     9457*301 (Property
    92979458uid 6519,0
    92989459pclass "_MW_GEOM_"
     
    93189479oxt "11000,10000,11000,10000"
    93199480)
    9320 *300 (CommentGraphic
     9481*302 (CommentGraphic
    93219482uid 6520,0
    93229483optionalChildren [
    9323 *301 (Property
     9484*303 (Property
    93249485uid 6522,0
    93259486pclass "_MW_GEOM_"
     
    93459506oxt "11000,6000,11000,6000"
    93469507)
    9347 *302 (Grouping
     9508*304 (Grouping
    93489509uid 6523,0
    93499510optionalChildren [
    9350 *303 (CommentGraphic
     9511*305 (CommentGraphic
    93519512uid 6525,0
    93529513shape (PolyLine2D
     
    93699530oxt "9000,6000,11000,10000"
    93709531)
    9371 *304 (CommentGraphic
     9532*306 (CommentGraphic
    93729533uid 6527,0
    93739534shape (Arc2D
     
    94229583stg "VerticalLayoutStrategy"
    94239584textVec [
    9424 *305 (Text
     9585*307 (Text
    94259586uid 6532,0
    94269587va (VaSet
     
    94329593blo "3500,59300"
    94339594)
    9434 *306 (Text
     9595*308 (Text
    94359596uid 6533,0
    94369597va (VaSet
     
    94419602blo "3500,60300"
    94429603)
    9443 *307 (Text
     9604*309 (Text
    94449605uid 6534,0
    94459606va (VaSet
     
    94869647)
    94879648)
    9488 *308 (Net
     9649*310 (Net
    94899650uid 6544,0
    94909651decl (Decl
     
    95009661font "Courier New,8,0"
    95019662)
    9502 xt "-85000,65800,-41500,66600"
    9503 st "SIGNAL dwrite                 : std_logic                                    := '1'"
    9504 )
    9505 )
    9506 *309 (SaComponent
     9663xt "-85000,68200,-41500,69000"
     9664st "SIGNAL dwrite                 : std_logic                                    := '1'
     9665"
     9666)
     9667)
     9668*311 (SaComponent
    95079669uid 8277,0
    95089670optionalChildren [
    9509 *310 (CptPort
     9671*312 (CptPort
    95109672uid 8246,0
    95119673ps "OnEdgeStrategy"
     
    95449706)
    95459707)
    9546 *311 (CptPort
     9708*313 (CptPort
    95479709uid 8250,0
    95489710ps "OnEdgeStrategy"
     
    95829744)
    95839745)
    9584 *312 (CptPort
     9746*314 (CptPort
    95859747uid 8254,0
    95869748ps "OnEdgeStrategy"
     
    96209782)
    96219783)
    9622 *313 (CptPort
     9784*315 (CptPort
    96239785uid 8258,0
    96249786ps "OnEdgeStrategy"
     
    96589820)
    96599821)
    9660 *314 (CptPort
     9822*316 (CptPort
    96619823uid 8262,0
    96629824ps "OnEdgeStrategy"
     
    96969858)
    96979859)
    9698 *315 (CptPort
     9860*317 (CptPort
    96999861uid 8266,0
    97009862ps "OnEdgeStrategy"
     
    97359897)
    97369898)
    9737 *316 (CptPort
     9899*318 (CptPort
    97389900uid 8270,0
    97399901ps "OnEdgeStrategy"
     
    97929954stg "VerticalLayoutStrategy"
    97939955textVec [
    9794 *317 (Text
     9956*319 (Text
    97959957uid 8280,0
    97969958va (VaSet
     
    98029964tm "BdLibraryNameMgr"
    98039965)
    9804 *318 (Text
     9966*320 (Text
    98059967uid 8281,0
    98069968va (VaSet
     
    98129974tm "CptNameMgr"
    98139975)
    9814 *319 (Text
     9976*321 (Text
    98159977uid 8282,0
    98169978va (VaSet
     
    986010022archFileType "UNKNOWN"
    986110023)
    9862 *320 (Net
     10024*322 (Net
    986310025uid 8414,0
    986410026lang 2
     
    987410036font "Courier New,8,0"
    987510037)
    9876 xt "-85000,77800,-62500,78600"
    9877 st "SIGNAL wiz_ack                : std_logic"
    9878 )
    9879 )
    9880 *321 (Wire
     10038xt "-85000,80200,-62500,81000"
     10039st "SIGNAL wiz_ack                : std_logic
     10040"
     10041)
     10042)
     10043*323 (Net
     10044uid 8508,0
     10045decl (Decl
     10046n "drs_address"
     10047t "std_logic_vector"
     10048b "(3 DOWNTO 0)"
     10049o 82
     10050suid 184,0
     10051i "(others => '0')"
     10052)
     10053declText (MLText
     10054uid 8509,0
     10055va (VaSet
     10056font "Courier New,8,0"
     10057)
     10058xt "-85000,62600,-35500,63400"
     10059st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
     10060"
     10061)
     10062)
     10063*324 (Net
     10064uid 8516,0
     10065decl (Decl
     10066n "drs_address_mode"
     10067t "std_logic"
     10068o 83
     10069suid 185,0
     10070)
     10071declText (MLText
     10072uid 8517,0
     10073va (VaSet
     10074font "Courier New,8,0"
     10075)
     10076xt "-85000,63400,-62500,64200"
     10077st "SIGNAL drs_address_mode       : std_logic
     10078"
     10079)
     10080)
     10081*325 (MWC
     10082uid 8562,0
     10083optionalChildren [
     10084*326 (CptPort
     10085uid 8524,0
     10086optionalChildren [
     10087*327 (Line
     10088uid 8528,0
     10089layer 5
     10090sl 0
     10091va (VaSet
     10092vasetType 3
     10093lineWidth 2
     10094)
     10095xt "-29999,101000,-29000,101000"
     10096pts [
     10097"-29000,101000"
     10098"-29999,101000"
     10099]
     10100)
     10101]
     10102ps "OnEdgeStrategy"
     10103shape (Triangle
     10104uid 8525,0
     10105ro 270
     10106va (VaSet
     10107vasetType 1
     10108isHidden 1
     10109fg "0,65535,65535"
     10110)
     10111xt "-29000,100625,-28250,101375"
     10112)
     10113tg (CPTG
     10114uid 8526,0
     10115ps "CptPortTextPlaceStrategy"
     10116stg "RightVerticalLayoutStrategy"
     10117f (Text
     10118uid 8527,0
     10119sl 0
     10120va (VaSet
     10121isHidden 1
     10122font "arial,8,0"
     10123)
     10124xt "-98971,288551,-97171,289551"
     10125st "din0"
     10126ju 2
     10127blo "-97171,289351"
     10128)
     10129s (Text
     10130uid 8571,0
     10131sl 0
     10132va (VaSet
     10133font "arial,8,0"
     10134)
     10135xt "-97171,289551,-97171,289551"
     10136ju 2
     10137blo "-97171,289551"
     10138)
     10139)
     10140thePort (LogicalPort
     10141decl (Decl
     10142n "din0"
     10143t "std_logic_vector"
     10144b "(3 DOWNTO 0)"
     10145o 84
     10146suid 1,0
     10147i "(others => '0')"
     10148)
     10149)
     10150)
     10151*328 (CptPort
     10152uid 8529,0
     10153optionalChildren [
     10154*329 (Line
     10155uid 8533,0
     10156layer 5
     10157sl 0
     10158va (VaSet
     10159vasetType 3
     10160lineWidth 2
     10161)
     10162xt "-33000,100000,-31999,100000"
     10163pts [
     10164"-33000,100000"
     10165"-31999,100000"
     10166]
     10167)
     10168*330 (Property
     10169uid 8534,0
     10170pclass "_MW_GEOM_"
     10171pname "fixed"
     10172ptn "String"
     10173)
     10174]
     10175ps "OnEdgeStrategy"
     10176shape (Triangle
     10177uid 8530,0
     10178ro 270
     10179va (VaSet
     10180vasetType 1
     10181isHidden 1
     10182fg "0,65535,65535"
     10183)
     10184xt "-33750,99625,-33000,100375"
     10185)
     10186tg (CPTG
     10187uid 8531,0
     10188ps "CptPortTextPlaceStrategy"
     10189stg "VerticalLayoutStrategy"
     10190f (Text
     10191uid 8532,0
     10192sl 0
     10193va (VaSet
     10194isHidden 1
     10195font "arial,8,0"
     10196)
     10197xt "-100999,287527,-99199,288527"
     10198st "dout"
     10199blo "-100999,288327"
     10200)
     10201s (Text
     10202uid 8572,0
     10203sl 0
     10204va (VaSet
     10205font "arial,8,0"
     10206)
     10207xt "-100999,288527,-100999,288527"
     10208blo "-100999,288527"
     10209)
     10210)
     10211thePort (LogicalPort
     10212m 1
     10213decl (Decl
     10214n "dout"
     10215t "std_logic_vector"
     10216b "(3 DOWNTO 0)"
     10217o 19
     10218suid 2,0
     10219i "(others => '0')"
     10220)
     10221)
     10222)
     10223*331 (CptPort
     10224uid 8535,0
     10225optionalChildren [
     10226*332 (Line
     10227uid 8539,0
     10228layer 5
     10229sl 0
     10230va (VaSet
     10231vasetType 3
     10232lineWidth 2
     10233)
     10234xt "-29999,99000,-29000,99000"
     10235pts [
     10236"-29000,99000"
     10237"-29999,99000"
     10238]
     10239)
     10240]
     10241ps "OnEdgeStrategy"
     10242shape (Triangle
     10243uid 8536,0
     10244ro 270
     10245va (VaSet
     10246vasetType 1
     10247isHidden 1
     10248fg "0,65535,65535"
     10249)
     10250xt "-29000,98625,-28250,99375"
     10251)
     10252tg (CPTG
     10253uid 8537,0
     10254ps "CptPortTextPlaceStrategy"
     10255stg "RightVerticalLayoutStrategy"
     10256f (Text
     10257uid 8538,0
     10258sl 0
     10259va (VaSet
     10260isHidden 1
     10261font "arial,8,0"
     10262)
     10263xt "-98971,286503,-97171,287503"
     10264st "din1"
     10265ju 2
     10266blo "-97171,287303"
     10267)
     10268s (Text
     10269uid 8573,0
     10270sl 0
     10271va (VaSet
     10272font "arial,8,0"
     10273)
     10274xt "-97171,287503,-97171,287503"
     10275ju 2
     10276blo "-97171,287503"
     10277)
     10278)
     10279thePort (LogicalPort
     10280decl (Decl
     10281n "din1"
     10282t "std_logic_vector"
     10283b "(3 DOWNTO 0)"
     10284o 82
     10285suid 3,0
     10286i "(others => '0')"
     10287)
     10288)
     10289)
     10290*333 (CptPort
     10291uid 8540,0
     10292optionalChildren [
     10293*334 (Line
     10294uid 8544,0
     10295layer 5
     10296sl 0
     10297va (VaSet
     10298vasetType 3
     10299)
     10300xt "-31000,101333,-31000,103000"
     10301pts [
     10302"-31000,103000"
     10303"-31000,101333"
     10304]
     10305)
     10306]
     10307ps "OnEdgeStrategy"
     10308shape (Triangle
     10309uid 8541,0
     10310va (VaSet
     10311vasetType 1
     10312isHidden 1
     10313fg "0,65535,65535"
     10314)
     10315xt "-31375,103000,-30625,103750"
     10316)
     10317tg (CPTG
     10318uid 8542,0
     10319ps "CptPortTextPlaceStrategy"
     10320stg "VerticalLayoutStrategy"
     10321f (Text
     10322uid 8543,0
     10323sl 0
     10324ro 270
     10325va (VaSet
     10326isHidden 1
     10327font "arial,8,0"
     10328)
     10329xt "-99473,289183,-98473,290583"
     10330st "sel"
     10331blo "-98673,290583"
     10332)
     10333s (Text
     10334uid 8574,0
     10335sl 0
     10336ro 270
     10337va (VaSet
     10338font "arial,8,0"
     10339)
     10340xt "-98473,290583,-98473,290583"
     10341blo "-98473,290583"
     10342)
     10343)
     10344thePort (LogicalPort
     10345decl (Decl
     10346n "sel"
     10347t "std_logic"
     10348o 83
     10349suid 4,0
     10350)
     10351)
     10352)
     10353*335 (CommentGraphic
     10354uid 8545,0
     10355shape (CustomPolygon
     10356pts [
     10357"-30000,102000"
     10358"-32000,100666"
     10359"-32000,99334"
     10360"-30000,98000"
     10361"-30000,102000"
     10362]
     10363uid 8546,0
     10364layer 0
     10365sl 0
     10366va (VaSet
     10367vasetType 1
     10368fg "0,65535,65535"
     10369bg "0,65535,65535"
     10370lineColor "26368,26368,26368"
     10371)
     10372xt "-32000,98000,-30000,102000"
     10373)
     10374oxt "7000,7000,9000,11000"
     10375)
     10376*336 (CommentGraphic
     10377uid 8547,0
     10378optionalChildren [
     10379*337 (Property
     10380uid 8549,0
     10381pclass "_MW_GEOM_"
     10382pname "expand"
     10383ptn "String"
     10384)
     10385]
     10386shape (PolyLine2D
     10387pts [
     10388"-30000,98000"
     10389"-30000,98000"
     10390]
     10391uid 8548,0
     10392layer 0
     10393sl 0
     10394va (VaSet
     10395vasetType 1
     10396transparent 1
     10397fg "49152,49152,49152"
     10398)
     10399xt "-30000,98000,-30000,98000"
     10400)
     10401oxt "9000,7000,9000,7000"
     10402)
     10403*338 (CommentGraphic
     10404uid 8550,0
     10405optionalChildren [
     10406*339 (Property
     10407uid 8552,0
     10408pclass "_MW_GEOM_"
     10409pname "expand"
     10410ptn "String"
     10411)
     10412]
     10413shape (PolyLine2D
     10414pts [
     10415"-30000,102000"
     10416"-30000,102000"
     10417]
     10418uid 8551,0
     10419layer 0
     10420sl 0
     10421va (VaSet
     10422vasetType 1
     10423transparent 1
     10424fg "49152,49152,49152"
     10425)
     10426xt "-30000,102000,-30000,102000"
     10427)
     10428oxt "9000,11000,9000,11000"
     10429)
     10430*340 (CommentText
     10431uid 8553,0
     10432shape (Rectangle
     10433uid 8554,0
     10434sl 0
     10435va (VaSet
     10436vasetType 1
     10437transparent 1
     10438fg "65535,65535,65535"
     10439lineColor "65535,65535,65535"
     10440lineWidth -1
     10441)
     10442xt "-32000,100000,-30000,101506"
     10443)
     10444oxt "7000,9000,9000,10506"
     10445text (MLText
     10446uid 8555,0
     10447sl 0
     10448va (VaSet
     10449font "arial,8,0"
     10450)
     10451xt "-31800,100200,-30600,101200"
     10452st "
     10453Lo
     10454"
     10455tm "CommentText"
     10456wrapOption 3
     10457visibleHeight 1506
     10458visibleWidth 2000
     10459)
     10460)
     10461*341 (CommentText
     10462uid 8556,0
     10463shape (Rectangle
     10464uid 8557,0
     10465layer 8
     10466sl 0
     10467va (VaSet
     10468vasetType 1
     10469transparent 1
     10470fg "65535,65535,65535"
     10471lineColor "65535,65535,65535"
     10472lineWidth -1
     10473)
     10474xt "-32000,98000,-30002,99556"
     10475)
     10476oxt "7000,7000,8998,8556"
     10477text (MLText
     10478uid 8558,0
     10479sl 0
     10480va (VaSet
     10481font "arial,8,0"
     10482)
     10483xt "-31800,98200,-30600,99200"
     10484st "
     10485Hi
     10486"
     10487tm "CommentText"
     10488wrapOption 3
     10489visibleHeight 1556
     10490visibleWidth 1998
     10491)
     10492)
     10493*342 (CommentText
     10494uid 8559,0
     10495shape (Rectangle
     10496uid 8560,0
     10497layer 0
     10498sl 0
     10499va (VaSet
     10500vasetType 1
     10501transparent 1
     10502fg "65535,65535,65535"
     10503lineColor "65535,65535,65535"
     10504lineWidth -1
     10505)
     10506xt "-32111,99517,-30111,100517"
     10507)
     10508oxt "6889,8517,8889,9517"
     10509text (MLText
     10510uid 8561,0
     10511sl 0
     10512va (VaSet
     10513font "arial,8,0"
     10514)
     10515xt "-31911,99717,-30211,100717"
     10516st "
     10517mux
     10518"
     10519tm "CommentText"
     10520wrapOption 3
     10521visibleHeight 1000
     10522visibleWidth 2000
     10523)
     10524)
     10525]
     10526shape (Rectangle
     10527uid 8563,0
     10528va (VaSet
     10529vasetType 1
     10530transparent 1
     10531fg "65535,65535,65535"
     10532lineWidth -1
     10533)
     10534xt "-33000,97000,-29000,103000"
     10535fos 1
     10536)
     10537showPorts 0
     10538oxt "6000,6000,10000,12000"
     10539ttg (MlTextGroup
     10540uid 8564,0
     10541ps "CenterOffsetStrategy"
     10542stg "VerticalLayoutStrategy"
     10543textVec [
     10544*343 (Text
     10545uid 8565,0
     10546va (VaSet
     10547isHidden 1
     10548font "arial,8,0"
     10549)
     10550xt "-30650,102100,-25850,103100"
     10551st "moduleware"
     10552blo "-30650,102900"
     10553)
     10554*344 (Text
     10555uid 8566,0
     10556va (VaSet
     10557font "arial,8,0"
     10558)
     10559xt "-30650,103100,-28950,104100"
     10560st "mux"
     10561blo "-30650,103900"
     10562)
     10563*345 (Text
     10564uid 8567,0
     10565va (VaSet
     10566font "arial,8,0"
     10567)
     10568xt "-30650,104100,-28850,105100"
     10569st "U_0"
     10570blo "-30650,104900"
     10571tm "InstanceNameMgr"
     10572)
     10573]
     10574)
     10575ga (GenericAssociation
     10576uid 8568,0
     10577ps "EdgeToEdgeStrategy"
     10578matrix (Matrix
     10579uid 8569,0
     10580text (MLText
     10581uid 8570,0
     10582va (VaSet
     10583font "arial,8,0"
     10584)
     10585xt "-36000,79400,-36000,79400"
     10586)
     10587header ""
     10588)
     10589elements [
     10590]
     10591)
     10592sed 1
     10593awe 1
     10594portVis (PortSigDisplay
     10595disp 1
     10596sN 0
     10597sTC 0
     10598selT 0
     10599)
     10600prms (Property
     10601pclass "params"
     10602pname "params"
     10603ptn "String"
     10604)
     10605de 1
     10606visOptions (mwParamsVisibilityOptions
     10607)
     10608)
     10609*346 (Net
     10610uid 8583,0
     10611decl (Decl
     10612n "drs_channel_internal"
     10613t "std_logic_vector"
     10614b "(3 DOWNTO 0)"
     10615o 84
     10616suid 187,0
     10617i "(others => '0')"
     10618)
     10619declText (MLText
     10620uid 8584,0
     10621va (VaSet
     10622font "Courier New,8,0"
     10623)
     10624xt "-85000,64200,-35500,65000"
     10625st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
     10626"
     10627)
     10628)
     10629*347 (Wire
    988110630uid 322,0
    988210631shape (OrthoPolyLine
     
    989410643)
    989510644start &26
    9896 end &313
     10645end &315
    989710646sat 32
    989810647eat 32
     
    991710666on &2
    991810667)
    9919 *322 (Wire
     10668*348 (Wire
    992010669uid 328,0
    992110670shape (OrthoPolyLine
     
    993310682)
    993410683start &25
    9935 end &312
     10684end &314
    993610685sat 32
    993710686eat 32
     
    995610705on &3
    995710706)
    9958 *323 (Wire
     10707*349 (Wire
    995910708uid 334,0
    996010709shape (OrthoPolyLine
     
    997210721)
    997310722start &24
    9974 end &311
     10723end &313
    997510724sat 32
    997610725eat 32
     
    999510744on &4
    999610745)
    9997 *324 (Wire
     10746*350 (Wire
    999810747uid 364,0
    999910748shape (OrthoPolyLine
     
    1001210761)
    1001310762start &79
    10014 end &315
     10763end &317
    1001510764sat 32
    1001610765eat 32
     
    1003510784on &5
    1003610785)
    10037 *325 (Wire
     10786*351 (Wire
    1003810787uid 370,0
    1003910788shape (OrthoPolyLine
     
    1005210801)
    1005310802start &78
    10054 end &316
     10803end &318
    1005510804sat 32
    1005610805eat 32
     
    1007510824on &6
    1007610825)
    10077 *326 (Wire
     10826*352 (Wire
    1007810827uid 376,0
    1007910828shape (OrthoPolyLine
     
    1011310862on &7
    1011410863)
    10115 *327 (Wire
     10864*353 (Wire
    1011610865uid 384,0
    1011710866shape (OrthoPolyLine
     
    1015310902on &8
    1015410903)
    10155 *328 (Wire
     10904*354 (Wire
    1015610905uid 392,0
    1015710906shape (OrthoPolyLine
     
    1019310942on &9
    1019410943)
    10195 *329 (Wire
     10944*355 (Wire
    1019610945uid 400,0
    1019710946shape (OrthoPolyLine
     
    1023110980on &10
    1023210981)
    10233 *330 (Wire
     10982*356 (Wire
    1023410983uid 408,0
    1023510984shape (OrthoPolyLine
     
    1026911018on &11
    1027011019)
    10271 *331 (Wire
     11020*357 (Wire
    1027211021uid 424,0
    1027311022shape (OrthoPolyLine
     
    1030711056on &12
    1030811057)
    10309 *332 (Wire
     11058*358 (Wire
    1031011059uid 432,0
    1031111060shape (OrthoPolyLine
     
    1034511094on &13
    1034611095)
    10347 *333 (Wire
     11096*359 (Wire
    1034811097uid 1411,0
    1034911098shape (OrthoPolyLine
     
    1038411133on &64
    1038511134)
    10386 *334 (Wire
     11135*360 (Wire
    1038711136uid 1425,0
    1038811137optionalChildren [
    10389 *335 (BdJunction
     11138*361 (BdJunction
    1039011139uid 4391,0
    1039111140ps "OnConnectorStrategy"
     
    1043711186on &65
    1043811187)
    10439 *336 (Wire
     11188*362 (Wire
    1044011189uid 1682,0
    1044111190shape (OrthoPolyLine
     
    1047611225on &100
    1047711226)
    10478 *337 (Wire
     11227*363 (Wire
    1047911228uid 1983,0
    1048011229shape (OrthoPolyLine
     
    1051511264on &108
    1051611265)
    10517 *338 (Wire
     11266*364 (Wire
    1051811267uid 2299,0
    1051911268shape (OrthoPolyLine
     
    1055511304on &109
    1055611305)
    10557 *339 (Wire
     11306*365 (Wire
    1055811307uid 2470,0
    1055911308shape (OrthoPolyLine
     
    1059211341on &132
    1059311342)
    10594 *340 (Wire
     11343*366 (Wire
    1059511344uid 2476,0
    1059611345shape (OrthoPolyLine
     
    1062911378on &133
    1063011379)
    10631 *341 (Wire
     11380*367 (Wire
    1063211381uid 2482,0
    1063311382shape (OrthoPolyLine
     
    1066811417on &134
    1066911418)
    10670 *342 (Wire
     11419*368 (Wire
    1067111420uid 2488,0
    1067211421shape (OrthoPolyLine
     
    1070711456on &135
    1070811457)
    10709 *343 (Wire
     11458*369 (Wire
    1071011459uid 2494,0
    1071111460shape (OrthoPolyLine
     
    1074611495on &136
    1074711496)
    10748 *344 (Wire
     11497*370 (Wire
    1074911498uid 2500,0
    1075011499shape (OrthoPolyLine
     
    1078311532on &137
    1078411533)
    10785 *345 (Wire
     11534*371 (Wire
    1078611535uid 2506,0
    1078711536shape (OrthoPolyLine
     
    1082011569on &138
    1082111570)
    10822 *346 (Wire
     11571*372 (Wire
    1082311572uid 2576,0
    1082411573shape (OrthoPolyLine
     
    1085811607on &139
    1085911608)
    10860 *347 (Wire
     11609*373 (Wire
    1086111610uid 2582,0
    1086211611shape (OrthoPolyLine
     
    1089611645on &140
    1089711646)
    10898 *348 (Wire
     11647*374 (Wire
    1089911648uid 2588,0
    1090011649shape (OrthoPolyLine
     
    1093511684on &141
    1093611685)
    10937 *349 (Wire
     11686*375 (Wire
    1093811687uid 2594,0
    1093911688shape (OrthoPolyLine
     
    1097311722on &142
    1097411723)
    10975 *350 (Wire
     11724*376 (Wire
    1097611725uid 2600,0
    1097711726shape (OrthoPolyLine
     
    1101111760on &143
    1101211761)
    11013 *351 (Wire
     11762*377 (Wire
    1101411763uid 2642,0
    1101511764shape (OrthoPolyLine
     
    1105111800on &144
    1105211801)
    11053 *352 (Wire
     11802*378 (Wire
    1105411803uid 2778,0
    1105511804shape (OrthoPolyLine
     
    1108911838on &145
    1109011839)
    11091 *353 (Wire
     11840*379 (Wire
    1109211841uid 2786,0
    1109311842shape (OrthoPolyLine
     
    1110411853)
    1110511854start &147
    11106 end &250
     11855end &252
    1110711856sat 32
    1110811857eat 32
     
    1112911878on &176
    1113011879)
    11131 *354 (Wire
     11880*380 (Wire
    1113211881uid 2876,0
    1113311882shape (OrthoPolyLine
     
    1114311892]
    1114411893)
    11145 start &335
     11894start &361
    1114611895end &103
    1114711896es 0
     
    1116711916on &65
    1116811917)
    11169 *355 (Wire
     11918*381 (Wire
    1117011919uid 3888,0
    1117111920optionalChildren [
    11172 *356 (BdJunction
     11921*382 (BdJunction
    1117311922uid 4230,0
    1117411923ps "OnConnectorStrategy"
     
    1118211931)
    1118311932)
    11184 *357 (BdJunction
     11933*383 (BdJunction
    1118511934uid 4244,0
    1118611935ps "OnConnectorStrategy"
     
    1123311982on &164
    1123411983)
    11235 *358 (Wire
     11984*384 (Wire
    1123611985uid 3984,0
    1123711986shape (OrthoPolyLine
     
    1127412023on &162
    1127512024)
    11276 *359 (Wire
     12025*385 (Wire
    1127712026uid 4042,0
    1127812027shape (OrthoPolyLine
     
    1131212061on &175
    1131312062)
    11314 *360 (Wire
     12063*386 (Wire
    1131512064uid 4226,0
    1131612065shape (OrthoPolyLine
     
    1132812077)
    1132912078start &174
    11330 end &356
     12079end &382
    1133112080sat 32
    1133212081eat 32
     
    1135212101on &164
    1135312102)
    11354 *361 (Wire
     12103*387 (Wire
    1135512104uid 4240,0
    1135612105shape (OrthoPolyLine
     
    1136712116]
    1136812117)
    11369 start &314
    11370 end &357
     12118start &316
     12119end &383
    1137112120sat 32
    1137212121eat 32
     
    1139112140on &164
    1139212141)
    11393 *362 (Wire
     12142*388 (Wire
    1139412143uid 4272,0
    1139512144shape (OrthoPolyLine
     
    1140512154)
    1140612155start &178
    11407 end &247
     12156end &249
    1140812157sat 32
    1140912158eat 32
     
    1142912178on &177
    1143012179)
    11431 *363 (Wire
     12180*389 (Wire
    1143212181uid 4401,0
    1143312182shape (OrthoPolyLine
     
    1146512214on &179
    1146612215)
    11467 *364 (Wire
     12216*390 (Wire
    1146812217uid 4407,0
    1146912218shape (OrthoPolyLine
     
    1150112250on &180
    1150212251)
    11503 *365 (Wire
     12252*391 (Wire
    1150412253uid 4419,0
    1150512254shape (OrthoPolyLine
     
    1153712286on &181
    1153812287)
    11539 *366 (Wire
     12288*392 (Wire
    1154012289uid 4537,0
    1154112290shape (OrthoPolyLine
     
    1154512294lineWidth 2
    1154612295)
    11547 xt "-26000,57000,18250,57000"
     12296xt "-37000,100000,-33000,100000"
    1154812297pts [
    11549 "18250,57000"
    11550 "-26000,57000"
    11551 ]
    11552 )
    11553 start &39
     12298"-33000,100000"
     12299"-37000,100000"
     12300]
     12301)
     12302start &328
    1155412303end &184
    1155512304sat 32
     
    1156912318isHidden 1
    1157012319)
    11571 xt "-20000,56000,-14100,57000"
     12320xt "-71000,99000,-65100,100000"
    1157212321st "drs_channel_id"
    11573 blo "-20000,56800"
     12322blo "-71000,99800"
    1157412323tm "WireNameMgr"
    1157512324)
     
    1157712326on &182
    1157812327)
    11579 *367 (Wire
     12328*393 (Wire
    1158012329uid 4545,0
    1158112330shape (OrthoPolyLine
     
    1159012339]
    1159112340)
    11592 start &291
     12341start &293
    1159312342end &185
    1159412343sat 32
     
    1161412363on &183
    1161512364)
    11616 *368 (Wire
     12365*394 (Wire
    1161712366uid 4671,0
    1161812367shape (OrthoPolyLine
     
    1165212401on &186
    1165312402)
    11654 *369 (Wire
     12403*395 (Wire
    1165512404uid 4679,0
    1165612405shape (OrthoPolyLine
     
    1169012439on &187
    1169112440)
    11692 *370 (Wire
     12441*396 (Wire
    1169312442uid 4687,0
    1169412443shape (OrthoPolyLine
     
    1172812477on &188
    1172912478)
    11730 *371 (Wire
     12479*397 (Wire
    1173112480uid 4695,0
    1173212481shape (OrthoPolyLine
     
    1176612515on &189
    1176712516)
    11768 *372 (Wire
     12517*398 (Wire
    1176912518uid 4743,0
    1177012519shape (OrthoPolyLine
     
    1180212551on &194
    1180312552)
    11804 *373 (Wire
     12553*399 (Wire
    1180512554uid 4757,0
    1180612555optionalChildren [
    11807 *374 (BdJunction
     12556*400 (BdJunction
    1180812557uid 6076,0
    1180912558ps "OnConnectorStrategy"
     
    1183312582)
    1183412583start &196
    11835 end *375 (BdJunction
     12584end *401 (BdJunction
    1183612585uid 6080,0
    1183712586ps "OnConnectorStrategy"
     
    1186712616on &173
    1186812617)
    11869 *376 (Wire
     12618*402 (Wire
    1187012619uid 4948,0
    1187112620shape (OrthoPolyLine
     
    1190512654on &210
    1190612655)
    11907 *377 (Wire
     12656*403 (Wire
    1190812657uid 4962,0
    1190912658shape (OrthoPolyLine
     
    1194312692on &212
    1194412693)
    11945 *378 (Wire
     12694*404 (Wire
    1194612695uid 5090,0
    1194712696shape (OrthoPolyLine
     
    1198012729)
    1198112730)
    11982 on &230
    11983 )
    11984 *379 (Wire
     12731on &232
     12732)
     12733*405 (Wire
    1198512734uid 5098,0
    1198612735shape (OrthoPolyLine
     
    1201412763)
    1201512764)
    12016 on &231
    12017 )
    12018 *380 (Wire
     12765on &233
     12766)
     12767*406 (Wire
    1201912768uid 5106,0
    1202012769shape (OrthoPolyLine
     
    1205112800)
    1205212801)
    12053 on &232
    12054 )
    12055 *381 (Wire
     12802on &234
     12803)
     12804*407 (Wire
    1205612805uid 5114,0
    1205712806shape (OrthoPolyLine
     
    1209012839)
    1209112840)
    12092 on &233
    12093 )
    12094 *382 (Wire
     12841on &235
     12842)
     12843*408 (Wire
    1209512844uid 5122,0
    1209612845shape (OrthoPolyLine
     
    1212712876)
    1212812877)
    12129 on &234
    12130 )
    12131 *383 (Wire
     12878on &236
     12879)
     12880*409 (Wire
    1213212881uid 5130,0
    1213312882shape (OrthoPolyLine
     
    1216412913)
    1216512914)
    12166 on &235
    12167 )
    12168 *384 (Wire
     12915on &237
     12916)
     12917*410 (Wire
    1216912918uid 5138,0
    1217012919optionalChildren [
    12171 *385 (BdJunction
     12920*411 (BdJunction
    1217212921uid 5400,0
    1217312922ps "OnConnectorStrategy"
     
    1221912968on &148
    1222012969)
    12221 *386 (Wire
     12970*412 (Wire
    1222212971uid 5146,0
    1222312972shape (OrthoPolyLine
     
    1223312982)
    1223412983start &222
    12235 end &258
     12984end &260
    1223612985es 0
    1223712986sat 32
     
    1225313002)
    1225413003)
    12255 on &236
    12256 )
    12257 *387 (Wire
     13004on &238
     13005)
     13006*413 (Wire
    1225813007uid 5168,0
    1225913008shape (OrthoPolyLine
     
    1226813017]
    1226913018)
    12270 start &385
     13019start &411
    1227113020end &125
    1227213021sat 32
     
    1229113040on &148
    1229213041)
    12293 *388 (Wire
     13042*414 (Wire
    1229413043uid 5184,0
    1229513044shape (OrthoPolyLine
     
    1232613075)
    1232713076)
    12328 on &237
    12329 )
    12330 *389 (Wire
     13077on &239
     13078)
     13079*415 (Wire
    1233113080uid 5190,0
    1233213081shape (OrthoPolyLine
     
    1236313112)
    1236413113)
    12365 on &238
    12366 )
    12367 *390 (Wire
     13114on &240
     13115)
     13116*416 (Wire
    1236813117uid 5222,0
    1236913118shape (OrthoPolyLine
     
    1240313152)
    1240413153)
    12405 on &239
    12406 )
    12407 *391 (Wire
     13154on &241
     13155)
     13156*417 (Wire
    1240813157uid 5281,0
    1240913158shape (OrthoPolyLine
     
    1244113190)
    1244213191)
    12443 on &240
    12444 )
    12445 *392 (Wire
     13192on &242
     13193)
     13194*418 (Wire
    1244613195uid 5404,0
    1244713196shape (OrthoPolyLine
     
    1245813207]
    1245913208)
    12460 start &259
     13209start &261
    1246113210end &50
    1246213211sat 32
     
    1247813227)
    1247913228)
    12480 on &243
    12481 )
    12482 *393 (Wire
     13229on &245
     13230)
     13231*419 (Wire
    1248313232uid 5474,0
    1248413233shape (OrthoPolyLine
     
    1249513244]
    1249613245)
    12497 start &262
     13246start &264
    1249813247end &52
    1249913248sat 32
     
    1251513264)
    1251613265)
    12517 on &241
    12518 )
    12519 *394 (Wire
     13266on &243
     13267)
     13268*420 (Wire
    1252013269uid 5480,0
    1252113270shape (OrthoPolyLine
     
    1253213281]
    1253313282)
    12534 start &261
     13283start &263
    1253513284end &51
    1253613285sat 32
     
    1255213301)
    1255313302)
    12554 on &242
    12555 )
    12556 *395 (Wire
     13303on &244
     13304)
     13305*421 (Wire
    1255713306uid 5582,0
    1255813307shape (OrthoPolyLine
     
    1258913338on &164
    1259013339)
    12591 *396 (Wire
     13340*422 (Wire
    1259213341uid 5602,0
    1259313342optionalChildren [
    12594 &375
    12595 *397 (BdJunction
     13343&401
     13344*423 (BdJunction
    1259613345uid 6086,0
    1259713346ps "OnConnectorStrategy"
     
    1262313372)
    1262413373start &23
    12625 end &310
     13374end &312
    1262613375sat 32
    1262713376eat 32
     
    1264613395on &173
    1264713396)
    12648 *398 (Wire
     13397*424 (Wire
    1264913398uid 5626,0
    1265013399shape (OrthoPolyLine
     
    1266013409)
    1266113410start &45
    12662 end &248
     13411end &250
    1266313412sat 32
    1266413413eat 32
     
    1268013429)
    1268113430)
    12682 on &245
    12683 )
    12684 *399 (Wire
     13431on &247
     13432)
     13433*425 (Wire
    1268513434uid 5634,0
    1268613435shape (OrthoPolyLine
     
    1269713446)
    1269813447start &38
    12699 end &249
     13448end &251
    1270013449sat 32
    1270113450eat 32
     
    1271813467)
    1271913468)
    12720 on &244
    12721 )
    12722 *400 (Wire
     13469on &246
     13470)
     13471*426 (Wire
    1272313472uid 5646,0
    1272413473shape (OrthoPolyLine
     
    1273413483]
    1273513484)
    12736 end &251
     13485end &253
    1273713486sat 16
    1273813487eat 32
     
    1275613505on &162
    1275713506)
    12758 *401 (Wire
     13507*427 (Wire
    1275913508uid 5745,0
    1276013509shape (OrthoPolyLine
     
    1277213521)
    1277313522start &54
    12774 end &260
     13523end &262
    1277513524sat 32
    1277613525eat 32
     
    1279213541)
    1279313542)
    12794 on &255
    12795 )
    12796 *402 (Wire
     13543on &257
     13544)
     13545*428 (Wire
    1279713546uid 5805,0
    1279813547shape (OrthoPolyLine
     
    1280713556]
    1280813557)
    12809 end &265
     13558end &267
    1281013559sat 16
    1281113560eat 32
     
    1282813577on &164
    1282913578)
    12830 *403 (Wire
     13579*429 (Wire
    1283113580uid 5813,0
    1283213581shape (OrthoPolyLine
     
    1284113590]
    1284213591)
    12843 start &257
    12844 end &276
     13592start &259
     13593end &278
    1284513594sat 32
    1284613595eat 32
     
    1286413613)
    1286513614)
    12866 on &272
    12867 )
    12868 *404 (Wire
     13615on &274
     13616)
     13617*430 (Wire
    1286913618uid 5821,0
    1287013619shape (OrthoPolyLine
     
    1287913628]
    1288013629)
    12881 start &268
    12882 end &277
     13630start &270
     13631end &279
    1288313632sat 32
    1288413633eat 32
     
    1290213651)
    1290313652)
    12904 on &273
    12905 )
    12906 *405 (Wire
     13653on &275
     13654)
     13655*431 (Wire
    1290713656uid 5829,0
    1290813657shape (OrthoPolyLine
     
    1291713666]
    1291813667)
    12919 start &263
    12920 end &278
     13668start &265
     13669end &280
    1292113670sat 32
    1292213671eat 32
     
    1294013689)
    1294113690)
    12942 on &274
    12943 )
    12944 *406 (Wire
     13691on &276
     13692)
     13693*432 (Wire
    1294513694uid 5837,0
    1294613695shape (OrthoPolyLine
     
    1295613705]
    1295713706)
    12958 start &264
    12959 end &279
     13707start &266
     13708end &281
    1296013709sat 32
    1296113710eat 32
     
    1298013729)
    1298113730)
    12982 on &275
    12983 )
    12984 *407 (Wire
     13731on &277
     13732)
     13733*433 (Wire
    1298513734uid 5950,0
    1298613735shape (OrthoPolyLine
     
    1301813767)
    1301913768)
    13020 on &280
    13021 )
    13022 *408 (Wire
     13769on &282
     13770)
     13771*434 (Wire
    1302313772uid 5962,0
    1302413773shape (OrthoPolyLine
     
    1305613805)
    1305713806)
    13058 on &281
    13059 )
    13060 *409 (Wire
     13807on &283
     13808)
     13809*435 (Wire
    1306113810uid 6002,0
    1306213811shape (OrthoPolyLine
     
    1309413843)
    1309513844)
    13096 on &283
    13097 )
    13098 *410 (Wire
     13845on &285
     13846)
     13847*436 (Wire
    1309913848uid 6008,0
    1310013849shape (OrthoPolyLine
     
    1311113860]
    1311213861)
    13113 start &266
     13862start &268
    1311413863end &59
    1311513864sat 32
     
    1313213881)
    1313313882)
    13134 on &282
    13135 )
    13136 *411 (Wire
     13883on &284
     13884)
     13885*437 (Wire
    1313713886uid 6018,0
    1313813887shape (OrthoPolyLine
     
    1317013919)
    1317113920)
    13172 on &284
    13173 )
    13174 *412 (Wire
     13921on &286
     13922)
     13923*438 (Wire
    1317513924uid 6064,0
    1317613925shape (OrthoPolyLine
     
    1320513954)
    1320613955)
    13207 on &236
    13208 )
    13209 *413 (Wire
     13956on &238
     13957)
     13958*439 (Wire
    1321013959uid 6072,0
    1321113960shape (OrthoPolyLine
     
    1322313972)
    1322413973start &167
    13225 end &374
     13974end &400
    1322613975sat 32
    1322713976eat 32
     
    1324613995on &173
    1324713996)
    13248 *414 (Wire
     13997*440 (Wire
    1324913998uid 6082,0
    1325013999shape (OrthoPolyLine
     
    1326214011)
    1326314012start &112
    13264 end &397
     14013end &423
    1326514014sat 32
    1326614015eat 32
     
    1328514034on &173
    1328614035)
    13287 *415 (Wire
     14036*441 (Wire
    1328814037uid 6160,0
    1328914038shape (OrthoPolyLine
     
    1329814047]
    1329914048)
    13300 start &267
    13301 end &286
     14049start &269
     14050end &288
    1330214051sat 32
    1330314052eat 32
     
    1332114070)
    1332214071)
    13323 on &285
    13324 )
    13325 *416 (Wire
     14072on &287
     14073)
     14074*442 (Wire
    1332614075uid 6276,0
    1332714076shape (OrthoPolyLine
     
    1335714106on &162
    1335814107)
    13359 *417 (Wire
     14108*443 (Wire
    1336014109uid 6362,0
    1336114110shape (OrthoPolyLine
     
    1337114120)
    1337214121start &94
    13373 end &288
     14122end &290
    1337414123sat 32
    1337514124eat 32
     
    1339314142)
    1339414143)
    13395 on &287
    13396 )
    13397 *418 (Wire
     14144on &289
     14145)
     14146*444 (Wire
    1339814147uid 6452,0
    1339914148shape (OrthoPolyLine
     
    1343014179)
    1343114180)
    13432 on &289
    13433 )
    13434 *419 (Wire
     14181on &291
     14182)
     14183*445 (Wire
    1343514184uid 6540,0
    1343614185shape (OrthoPolyLine
     
    1344514194]
    1344614195)
    13447 start &294
     14196start &296
    1344814197end &41
    1344914198sat 32
     
    1346714216)
    1346814217)
    13469 on &308
    13470 )
    13471 *420 (Wire
     14218on &310
     14219)
     14220*446 (Wire
    1347214221uid 6548,0
    1347314222shape (OrthoPolyLine
     
    1348214231]
    1348314232)
    13484 start &296
     14233start &298
    1348514234sat 32
    1348614235eat 16
     
    1350314252)
    1350414253)
    13505 on &289
    13506 )
    13507 *421 (Wire
     14254on &291
     14255)
     14256*447 (Wire
    1350814257uid 8416,0
    1350914258shape (OrthoPolyLine
     
    1353914288)
    1354014289)
    13541 on &320
     14290on &322
     14291)
     14292*448 (Wire
     14293uid 8510,0
     14294shape (OrthoPolyLine
     14295uid 8511,0
     14296va (VaSet
     14297vasetType 3
     14298lineWidth 2
     14299)
     14300xt "92750,110000,102000,110000"
     14301pts [
     14302"92750,110000"
     14303"102000,110000"
     14304]
     14305)
     14306start &227
     14307sat 32
     14308eat 16
     14309sty 1
     14310st 0
     14311sf 1
     14312si 0
     14313tg (WTG
     14314uid 8514,0
     14315ps "ConnStartEndStrategy"
     14316stg "STSignalDisplayStrategy"
     14317f (Text
     14318uid 8515,0
     14319va (VaSet
     14320)
     14321xt "94000,109000,101200,110000"
     14322st "drs_address : (3:0)"
     14323blo "94000,109800"
     14324tm "WireNameMgr"
     14325)
     14326)
     14327on &323
     14328)
     14329*449 (Wire
     14330uid 8518,0
     14331shape (OrthoPolyLine
     14332uid 8519,0
     14333va (VaSet
     14334vasetType 3
     14335)
     14336xt "92750,111000,102000,111000"
     14337pts [
     14338"92750,111000"
     14339"102000,111000"
     14340]
     14341)
     14342start &228
     14343sat 32
     14344eat 16
     14345st 0
     14346sf 1
     14347si 0
     14348tg (WTG
     14349uid 8522,0
     14350ps "ConnStartEndStrategy"
     14351stg "STSignalDisplayStrategy"
     14352f (Text
     14353uid 8523,0
     14354va (VaSet
     14355)
     14356xt "94000,110000,101200,111000"
     14357st "drs_address_mode"
     14358blo "94000,110800"
     14359tm "WireNameMgr"
     14360)
     14361)
     14362on &324
     14363)
     14364*450 (Wire
     14365uid 8577,0
     14366shape (OrthoPolyLine
     14367uid 8578,0
     14368va (VaSet
     14369vasetType 3
     14370lineWidth 2
     14371)
     14372xt "7000,57000,18250,57000"
     14373pts [
     14374"18250,57000"
     14375"7000,57000"
     14376]
     14377)
     14378start &39
     14379sat 32
     14380eat 16
     14381sty 1
     14382st 0
     14383sf 1
     14384si 0
     14385tg (WTG
     14386uid 8581,0
     14387ps "ConnStartEndStrategy"
     14388stg "STSignalDisplayStrategy"
     14389f (Text
     14390uid 8582,0
     14391va (VaSet
     14392)
     14393xt "8000,56000,18400,57000"
     14394st "drs_channel_internal : (3:0)"
     14395blo "8000,56800"
     14396tm "WireNameMgr"
     14397)
     14398)
     14399on &346
     14400)
     14401*451 (Wire
     14402uid 8587,0
     14403shape (OrthoPolyLine
     14404uid 8588,0
     14405va (VaSet
     14406vasetType 3
     14407lineWidth 2
     14408)
     14409xt "-29000,101000,-20000,101000"
     14410pts [
     14411"-20000,101000"
     14412"-29000,101000"
     14413]
     14414)
     14415end &326
     14416sat 16
     14417eat 32
     14418sty 1
     14419stc 0
     14420st 0
     14421sf 1
     14422si 0
     14423tg (WTG
     14424uid 8591,0
     14425ps "ConnStartEndStrategy"
     14426stg "STSignalDisplayStrategy"
     14427f (Text
     14428uid 8592,0
     14429va (VaSet
     14430)
     14431xt "-29000,100000,-20800,101000"
     14432st "drs_channel_internal"
     14433blo "-29000,100800"
     14434tm "WireNameMgr"
     14435)
     14436)
     14437on &346
     14438)
     14439*452 (Wire
     14440uid 8595,0
     14441shape (OrthoPolyLine
     14442uid 8596,0
     14443va (VaSet
     14444vasetType 3
     14445lineWidth 2
     14446)
     14447xt "-29000,99000,-20000,99000"
     14448pts [
     14449"-20000,99000"
     14450"-29000,99000"
     14451]
     14452)
     14453end &331
     14454sat 16
     14455eat 32
     14456sty 1
     14457stc 0
     14458st 0
     14459sf 1
     14460si 0
     14461tg (WTG
     14462uid 8599,0
     14463ps "ConnStartEndStrategy"
     14464stg "VerticalLayoutStrategy"
     14465f (Text
     14466uid 8600,0
     14467va (VaSet
     14468)
     14469xt "-29000,98000,-24000,99000"
     14470st "drs_address"
     14471blo "-29000,98800"
     14472tm "WireNameMgr"
     14473)
     14474)
     14475on &323
     14476)
     14477*453 (Wire
     14478uid 8603,0
     14479shape (OrthoPolyLine
     14480uid 8604,0
     14481va (VaSet
     14482vasetType 3
     14483)
     14484xt "-31000,103000,-20000,107000"
     14485pts [
     14486"-20000,107000"
     14487"-31000,107000"
     14488"-31000,103000"
     14489]
     14490)
     14491end &333
     14492sat 16
     14493eat 32
     14494stc 0
     14495st 0
     14496sf 1
     14497si 0
     14498tg (WTG
     14499uid 8607,0
     14500ps "ConnStartEndStrategy"
     14501stg "VerticalLayoutStrategy"
     14502f (Text
     14503uid 8608,0
     14504va (VaSet
     14505)
     14506xt "-29000,106000,-21800,107000"
     14507st "drs_address_mode"
     14508blo "-29000,106800"
     14509tm "WireNameMgr"
     14510)
     14511)
     14512on &324
    1354214513)
    1354314514]
     
    1355314524color "26368,26368,26368"
    1355414525)
    13555 packageList *422 (PackageList
     14526packageList *454 (PackageList
    1355614527uid 41,0
    1355714528stg "VerticalLayoutStrategy"
    1355814529textVec [
    13559 *423 (Text
     14530*455 (Text
    1356014531uid 42,0
    1356114532va (VaSet
     
    1356614537blo "-87000,1800"
    1356714538)
    13568 *424 (MLText
     14539*456 (MLText
    1356914540uid 43,0
    1357014541va (VaSet
     
    1359114562stg "VerticalLayoutStrategy"
    1359214563textVec [
    13593 *425 (Text
     14564*457 (Text
    1359414565uid 45,0
    1359514566va (VaSet
     
    1360114572blo "20000,800"
    1360214573)
    13603 *426 (Text
     14574*458 (Text
    1360414575uid 46,0
    1360514576va (VaSet
     
    1361114582blo "20000,1800"
    1361214583)
    13613 *427 (MLText
     14584*459 (MLText
    1361414585uid 47,0
    1361514586va (VaSet
     
    1362114592tm "BdCompilerDirectivesTextMgr"
    1362214593)
    13623 *428 (Text
     14594*460 (Text
    1362414595uid 48,0
    1362514596va (VaSet
     
    1363114602blo "20000,4800"
    1363214603)
    13633 *429 (MLText
     14604*461 (MLText
    1363414605uid 49,0
    1363514606va (VaSet
     
    1363914610tm "BdCompilerDirectivesTextMgr"
    1364014611)
    13641 *430 (Text
     14612*462 (Text
    1364214613uid 50,0
    1364314614va (VaSet
     
    1364914620blo "20000,5800"
    1365014621)
    13651 *431 (MLText
     14622*463 (MLText
    1365214623uid 51,0
    1365314624va (VaSet
     
    1366114632)
    1366214633windowSize "0,0,1281,1024"
    13663 viewArea "-62364,34906,23843,105999"
    13664 cachedDiagramExtent "-87000,0,162300,301700"
     14634viewArea "-73966,37109,33461,125703"
     14635cachedDiagramExtent "-100999,0,162300,301700"
    1366514636pageSetupInfo (PageSetupInfo
    1366614637ptrCmd "eDocPrintPro,winspool,"
     
    1368714658hasePageBreakOrigin 1
    1368814659pageBreakOrigin "-73000,0"
    13689 lastUid 8460,0
     14660lastUid 8614,0
    1369014661defaultCommentText (CommentText
    1369114662shape (Rectangle
     
    1374914720stg "VerticalLayoutStrategy"
    1375014721textVec [
    13751 *432 (Text
     14722*464 (Text
    1375214723va (VaSet
    1375314724font "Arial,8,1"
     
    1375814729tm "BdLibraryNameMgr"
    1375914730)
    13760 *433 (Text
     14731*465 (Text
    1376114732va (VaSet
    1376214733font "Arial,8,1"
     
    1376714738tm "BlkNameMgr"
    1376814739)
    13769 *434 (Text
     14740*466 (Text
    1377014741va (VaSet
    1377114742font "Arial,8,1"
     
    1381814789stg "VerticalLayoutStrategy"
    1381914790textVec [
    13820 *435 (Text
     14791*467 (Text
    1382114792va (VaSet
    1382214793font "Arial,8,1"
     
    1382614797blo "550,4300"
    1382714798)
    13828 *436 (Text
     14799*468 (Text
    1382914800va (VaSet
    1383014801font "Arial,8,1"
     
    1383414805blo "550,5300"
    1383514806)
    13836 *437 (Text
     14807*469 (Text
    1383714808va (VaSet
    1383814809font "Arial,8,1"
     
    1388314854stg "VerticalLayoutStrategy"
    1388414855textVec [
    13885 *438 (Text
     14856*470 (Text
    1388614857va (VaSet
    1388714858font "Arial,8,1"
     
    1389214863tm "BdLibraryNameMgr"
    1389314864)
    13894 *439 (Text
     14865*471 (Text
    1389514866va (VaSet
    1389614867font "Arial,8,1"
     
    1390114872tm "CptNameMgr"
    1390214873)
    13903 *440 (Text
     14874*472 (Text
    1390414875va (VaSet
    1390514876font "Arial,8,1"
     
    1395514926stg "VerticalLayoutStrategy"
    1395614927textVec [
    13957 *441 (Text
     14928*473 (Text
    1395814929va (VaSet
    1395914930font "Arial,8,1"
     
    1396314934blo "500,4300"
    1396414935)
    13965 *442 (Text
     14936*474 (Text
    1396614937va (VaSet
    1396714938font "Arial,8,1"
     
    1397114942blo "500,5300"
    1397214943)
    13973 *443 (Text
     14944*475 (Text
    1397414945va (VaSet
    1397514946font "Arial,8,1"
     
    1401614987stg "VerticalLayoutStrategy"
    1401714988textVec [
    14018 *444 (Text
     14989*476 (Text
    1401914990va (VaSet
    1402014991font "Arial,8,1"
     
    1402414995blo "50,4300"
    1402514996)
    14026 *445 (Text
     14997*477 (Text
    1402714998va (VaSet
    1402814999font "Arial,8,1"
     
    1403215003blo "50,5300"
    1403315004)
    14034 *446 (Text
     15005*478 (Text
    1403515006va (VaSet
    1403615007font "Arial,8,1"
     
    1407315044stg "VerticalLayoutStrategy"
    1407415045textVec [
    14075 *447 (Text
     15046*479 (Text
    1407615047va (VaSet
    1407715048font "Arial,8,1"
     
    1408215053tm "HdlTextNameMgr"
    1408315054)
    14084 *448 (Text
     15055*480 (Text
    1408515056va (VaSet
    1408615057font "Arial,8,1"
     
    1448515456stg "VerticalLayoutStrategy"
    1448615457textVec [
    14487 *449 (Text
     15458*481 (Text
    1448815459va (VaSet
    1448915460font "Arial,8,1"
     
    1449315464blo "14100,20800"
    1449415465)
    14495 *450 (MLText
     15466*482 (MLText
    1449615467va (VaSet
    1449715468)
     
    1454515516stg "VerticalLayoutStrategy"
    1454615517textVec [
    14547 *451 (Text
     15518*483 (Text
    1454815519va (VaSet
    1454915520font "Arial,8,1"
     
    1455315524blo "14100,20800"
    1455415525)
    14555 *452 (MLText
     15526*484 (MLText
    1455615527va (VaSet
    1455715528)
     
    1467815649font "Arial,8,1"
    1467915650)
    14680 xt "-87000,85000,-82300,86000"
     15651xt "-87000,87400,-82300,88400"
    1468115652st "Post User:"
    14682 blo "-87000,85800"
     15653blo "-87000,88200"
    1468315654)
    1468415655postUserText (MLText
     
    1469315664commonDM (CommonDM
    1469415665ldm (LogicalDM
    14695 suid 183,0
     15666suid 190,0
    1469615667usingSuid 1
    14697 emptyRow *453 (LEmptyRow
     15668emptyRow *485 (LEmptyRow
    1469815669)
    1469915670uid 54,0
    1470015671optionalChildren [
    14701 *454 (RefLabelRowHdr
    14702 )
    14703 *455 (TitleRowHdr
    14704 )
    14705 *456 (FilterRowHdr
    14706 )
    14707 *457 (RefLabelColHdr
     15672*486 (RefLabelRowHdr
     15673)
     15674*487 (TitleRowHdr
     15675)
     15676*488 (FilterRowHdr
     15677)
     15678*489 (RefLabelColHdr
    1470815679tm "RefLabelColHdrMgr"
    1470915680)
    14710 *458 (RowExpandColHdr
     15681*490 (RowExpandColHdr
    1471115682tm "RowExpandColHdrMgr"
    1471215683)
    14713 *459 (GroupColHdr
     15684*491 (GroupColHdr
    1471415685tm "GroupColHdrMgr"
    1471515686)
    14716 *460 (NameColHdr
     15687*492 (NameColHdr
    1471715688tm "BlockDiagramNameColHdrMgr"
    1471815689)
    14719 *461 (ModeColHdr
     15690*493 (ModeColHdr
    1472015691tm "BlockDiagramModeColHdrMgr"
    1472115692)
    14722 *462 (TypeColHdr
     15693*494 (TypeColHdr
    1472315694tm "BlockDiagramTypeColHdrMgr"
    1472415695)
    14725 *463 (BoundsColHdr
     15696*495 (BoundsColHdr
    1472615697tm "BlockDiagramBoundsColHdrMgr"
    1472715698)
    14728 *464 (InitColHdr
     15699*496 (InitColHdr
    1472915700tm "BlockDiagramInitColHdrMgr"
    1473015701)
    14731 *465 (EolColHdr
     15702*497 (EolColHdr
    1473215703tm "BlockDiagramEolColHdrMgr"
    1473315704)
    14734 *466 (LeafLogPort
     15705*498 (LeafLogPort
    1473515706port (LogicalPort
    1473615707m 4
     
    1474615717uid 516,0
    1474715718)
    14748 *467 (LeafLogPort
     15719*499 (LeafLogPort
    1474915720port (LogicalPort
    1475015721m 4
     
    1475915730uid 518,0
    1476015731)
    14761 *468 (LeafLogPort
     15732*500 (LeafLogPort
    1476215733port (LogicalPort
    1476315734m 4
     
    1477215743uid 520,0
    1477315744)
    14774 *469 (LeafLogPort
     15745*501 (LeafLogPort
    1477515746port (LogicalPort
    1477615747m 4
     
    1478515756uid 530,0
    1478615757)
    14787 *470 (LeafLogPort
     15758*502 (LeafLogPort
    1478815759port (LogicalPort
    1478915760m 4
     
    1479815769uid 532,0
    1479915770)
    14800 *471 (LeafLogPort
     15771*503 (LeafLogPort
    1480115772port (LogicalPort
    1480215773m 1
     
    1481115782uid 534,0
    1481215783)
    14813 *472 (LeafLogPort
     15784*504 (LeafLogPort
    1481415785port (LogicalPort
    1481515786m 1
     
    1482415795uid 536,0
    1482515796)
    14826 *473 (LeafLogPort
     15797*505 (LeafLogPort
    1482715798port (LogicalPort
    1482815799m 2
     
    1483715808uid 538,0
    1483815809)
    14839 *474 (LeafLogPort
     15810*506 (LeafLogPort
    1484015811port (LogicalPort
    1484115812m 1
     
    1485015821uid 540,0
    1485115822)
    14852 *475 (LeafLogPort
     15823*507 (LeafLogPort
    1485315824port (LogicalPort
    1485415825m 1
     
    1486315834uid 542,0
    1486415835)
    14865 *476 (LeafLogPort
     15836*508 (LeafLogPort
    1486615837port (LogicalPort
    1486715838m 1
     
    1487615847uid 546,0
    1487715848)
    14878 *477 (LeafLogPort
     15849*509 (LeafLogPort
    1487915850port (LogicalPort
    1488015851decl (Decl
     
    1488715858uid 548,0
    1488815859)
    14889 *478 (LeafLogPort
     15860*510 (LeafLogPort
    1489015861port (LogicalPort
    1489115862decl (Decl
     
    1490115872uid 1455,0
    1490215873)
    14903 *479 (LeafLogPort
     15874*511 (LeafLogPort
    1490415875port (LogicalPort
    1490515876decl (Decl
     
    1491415885uid 1457,0
    1491515886)
    14916 *480 (LeafLogPort
     15887*512 (LeafLogPort
    1491715888port (LogicalPort
    1491815889decl (Decl
     
    1492615897uid 1694,0
    1492715898)
    14928 *481 (LeafLogPort
     15899*513 (LeafLogPort
    1492915900port (LogicalPort
    1493015901lang 2
     
    1494215913uid 1993,0
    1494315914)
    14944 *482 (LeafLogPort
     15915*514 (LeafLogPort
    1494515916port (LogicalPort
    1494615917m 4
     
    1495715928uid 2305,0
    1495815929)
    14959 *483 (LeafLogPort
     15930*515 (LeafLogPort
    1496015931port (LogicalPort
    1496115932lang 2
     
    1497015941uid 2510,0
    1497115942)
    14972 *484 (LeafLogPort
     15943*516 (LeafLogPort
    1497315944port (LogicalPort
    1497415945lang 2
     
    1498415955uid 2512,0
    1498515956)
    14986 *485 (LeafLogPort
     15957*517 (LeafLogPort
    1498715958port (LogicalPort
    1498815959lang 2
     
    1499915970uid 2514,0
    1500015971)
    15001 *486 (LeafLogPort
     15972*518 (LeafLogPort
    1500215973port (LogicalPort
    1500315974lang 2
     
    1501515986uid 2516,0
    1501615987)
    15017 *487 (LeafLogPort
     15988*519 (LeafLogPort
    1501815989port (LogicalPort
    1501915990lang 2
     
    1503016001uid 2518,0
    1503116002)
    15032 *488 (LeafLogPort
     16003*520 (LeafLogPort
    1503316004port (LogicalPort
    1503416005lang 2
     
    1504416015uid 2520,0
    1504516016)
    15046 *489 (LeafLogPort
     16017*521 (LeafLogPort
    1504716018port (LogicalPort
    1504816019lang 2
     
    1505816029uid 2522,0
    1505916030)
    15060 *490 (LeafLogPort
     16031*522 (LeafLogPort
    1506116032port (LogicalPort
    1506216033m 4
     
    1507016041uid 2604,0
    1507116042)
    15072 *491 (LeafLogPort
     16043*523 (LeafLogPort
    1507316044port (LogicalPort
    1507416045m 4
     
    1508316054uid 2606,0
    1508416055)
    15085 *492 (LeafLogPort
     16056*524 (LeafLogPort
    1508616057port (LogicalPort
    1508716058m 4
     
    1509616067uid 2608,0
    1509716068)
    15098 *493 (LeafLogPort
     16069*525 (LeafLogPort
    1509916070port (LogicalPort
    1510016071m 4
     
    1510816079uid 2610,0
    1510916080)
    15110 *494 (LeafLogPort
     16081*526 (LeafLogPort
    1511116082port (LogicalPort
    1511216083m 4
     
    1512016091uid 2612,0
    1512116092)
    15122 *495 (LeafLogPort
     16093*527 (LeafLogPort
    1512316094port (LogicalPort
    1512416095m 4
     
    1513316104uid 2646,0
    1513416105)
    15135 *496 (LeafLogPort
     16106*528 (LeafLogPort
    1513616107port (LogicalPort
    1513716108m 1
     
    1514616117uid 2812,0
    1514716118)
    15148 *497 (LeafLogPort
     16119*529 (LeafLogPort
    1514916120port (LogicalPort
    1515016121m 4
     
    1515816129uid 2962,0
    1515916130)
    15160 *498 (LeafLogPort
     16131*530 (LeafLogPort
    1516116132port (LogicalPort
    1516216133m 1
     
    1517016141uid 3902,0
    1517116142)
    15172 *499 (LeafLogPort
     16143*531 (LeafLogPort
    1517316144port (LogicalPort
    1517416145m 1
     
    1518216153uid 4070,0
    1518316154)
    15184 *500 (LeafLogPort
     16155*532 (LeafLogPort
    1518516156port (LogicalPort
    1518616157m 4
     
    1519416165uid 4212,0
    1519516166)
    15196 *501 (LeafLogPort
     16167*533 (LeafLogPort
    1519716168port (LogicalPort
    1519816169decl (Decl
     
    1520516176uid 4234,0
    1520616177)
    15207 *502 (LeafLogPort
     16178*534 (LeafLogPort
    1520816179port (LogicalPort
    1520916180decl (Decl
     
    1521716188uid 4262,0
    1521816189)
    15219 *503 (LeafLogPort
     16190*535 (LeafLogPort
    1522016191port (LogicalPort
    1522116192decl (Decl
     
    1522816199uid 4276,0
    1522916200)
    15230 *504 (LeafLogPort
     16201*536 (LeafLogPort
    1523116202port (LogicalPort
    1523216203m 4
     
    1524116212uid 4563,0
    1524216213)
    15243 *505 (LeafLogPort
     16214*537 (LeafLogPort
    1524416215port (LogicalPort
    1524516216m 4
     
    1525316224uid 4565,0
    1525416225)
    15255 *506 (LeafLogPort
     16226*538 (LeafLogPort
    1525616227port (LogicalPort
    1525716228m 4
     
    1526616237uid 4569,0
    1526716238)
    15268 *507 (LeafLogPort
     16239*539 (LeafLogPort
    1526916240port (LogicalPort
    1527016241m 1
     
    1528016251uid 4585,0
    1528116252)
    15282 *508 (LeafLogPort
     16253*540 (LeafLogPort
    1528316254port (LogicalPort
    1528416255m 1
     
    1529316264uid 4587,0
    1529416265)
    15295 *509 (LeafLogPort
     16266*541 (LeafLogPort
    1529616267port (LogicalPort
    1529716268decl (Decl
     
    1530416275uid 4733,0
    1530516276)
    15306 *510 (LeafLogPort
     16277*542 (LeafLogPort
    1530716278port (LogicalPort
    1530816279decl (Decl
     
    1531516286uid 4735,0
    1531616287)
    15317 *511 (LeafLogPort
     16288*543 (LeafLogPort
    1531816289port (LogicalPort
    1531916290decl (Decl
     
    1532616297uid 4737,0
    1532716298)
    15328 *512 (LeafLogPort
     16299*544 (LeafLogPort
    1532916300port (LogicalPort
    1533016301decl (Decl
     
    1533716308uid 4739,0
    1533816309)
    15339 *513 (LeafLogPort
     16310*545 (LeafLogPort
    1534016311port (LogicalPort
    1534116312m 4
     
    1534916320uid 4749,0
    1535016321)
    15351 *514 (LeafLogPort
     16322*546 (LeafLogPort
    1535216323port (LogicalPort
    1535316324m 1
     
    1536216333uid 4974,0
    1536316334)
    15364 *515 (LeafLogPort
     16335*547 (LeafLogPort
    1536516336port (LogicalPort
    1536616337m 1
     
    1537516346uid 4976,0
    1537616347)
    15377 *516 (LeafLogPort
     16348*548 (LeafLogPort
    1537816349port (LogicalPort
    1537916350m 4
     
    1538816359uid 5198,0
    1538916360)
    15390 *517 (LeafLogPort
     16361*549 (LeafLogPort
    1539116362port (LogicalPort
    1539216363m 4
     
    1540016371uid 5200,0
    1540116372)
    15402 *518 (LeafLogPort
     16373*550 (LeafLogPort
    1540316374port (LogicalPort
    1540416375m 4
     
    1541216383uid 5202,0
    1541316384)
    15414 *519 (LeafLogPort
     16385*551 (LeafLogPort
    1541516386port (LogicalPort
    1541616387m 4
     
    1542516396uid 5204,0
    1542616397)
    15427 *520 (LeafLogPort
     16398*552 (LeafLogPort
    1542816399port (LogicalPort
    1542916400m 4
     
    1543716408uid 5206,0
    1543816409)
    15439 *521 (LeafLogPort
     16410*553 (LeafLogPort
    1544016411port (LogicalPort
    1544116412m 4
     
    1544916420uid 5208,0
    1545016421)
    15451 *522 (LeafLogPort
     16422*554 (LeafLogPort
    1545216423port (LogicalPort
    1545316424m 4
     
    1546116432uid 5210,0
    1546216433)
    15463 *523 (LeafLogPort
     16434*555 (LeafLogPort
    1546416435port (LogicalPort
    1546516436m 4
     
    1547316444uid 5212,0
    1547416445)
    15475 *524 (LeafLogPort
     16446*556 (LeafLogPort
    1547616447port (LogicalPort
    1547716448m 4
     
    1548516456uid 5214,0
    1548616457)
    15487 *525 (LeafLogPort
     16458*557 (LeafLogPort
    1548816459port (LogicalPort
    1548916460m 1
     
    1550016471uid 5226,0
    1550116472)
    15502 *526 (LeafLogPort
     16473*558 (LeafLogPort
    1550316474port (LogicalPort
    1550416475m 4
     
    1551316484uid 5285,0
    1551416485)
    15515 *527 (LeafLogPort
     16486*559 (LeafLogPort
    1551616487port (LogicalPort
    1551716488m 4
     
    1552516496uid 5502,0
    1552616497)
    15527 *528 (LeafLogPort
     16498*560 (LeafLogPort
    1552816499port (LogicalPort
    1552916500m 4
     
    1553716508uid 5504,0
    1553816509)
    15539 *529 (LeafLogPort
     16510*561 (LeafLogPort
    1554016511port (LogicalPort
    1554116512m 4
     
    1554916520uid 5600,0
    1555016521)
    15551 *530 (LeafLogPort
     16522*562 (LeafLogPort
    1555216523port (LogicalPort
    1555316524lang 10
     
    1556316534uid 5642,0
    1556416535)
    15565 *531 (LeafLogPort
     16536*563 (LeafLogPort
    1556616537port (LogicalPort
    1556716538m 4
     
    1557516546uid 5644,0
    1557616547)
    15577 *532 (LeafLogPort
     16548*564 (LeafLogPort
    1557816549port (LogicalPort
    1557916550m 4
     
    1558816559uid 5751,0
    1558916560)
    15590 *533 (LeafLogPort
     16561*565 (LeafLogPort
    1559116562port (LogicalPort
    1559216563m 1
     
    1560016571uid 5867,0
    1560116572)
    15602 *534 (LeafLogPort
     16573*566 (LeafLogPort
    1560316574port (LogicalPort
    1560416575m 2
     
    1561416585uid 5869,0
    1561516586)
    15616 *535 (LeafLogPort
     16587*567 (LeafLogPort
    1561716588port (LogicalPort
    1561816589m 1
     
    1562616597uid 5871,0
    1562716598)
    15628 *536 (LeafLogPort
     16599*568 (LeafLogPort
    1562916600port (LogicalPort
    1563016601m 1
     
    1563916610uid 5873,0
    1564016611)
    15641 *537 (LeafLogPort
     16612*569 (LeafLogPort
    1564216613port (LogicalPort
    1564316614m 4
     
    1565216623uid 5966,0
    1565316624)
    15654 *538 (LeafLogPort
     16625*570 (LeafLogPort
    1565516626port (LogicalPort
    1565616627m 4
     
    1566416635uid 5968,0
    1566516636)
    15666 *539 (LeafLogPort
     16637*571 (LeafLogPort
    1566716638port (LogicalPort
    1566816639m 4
     
    1567716648uid 6022,0
    1567816649)
    15679 *540 (LeafLogPort
     16650*572 (LeafLogPort
    1568016651port (LogicalPort
    1568116652m 4
     
    1569016661uid 6024,0
    1569116662)
    15692 *541 (LeafLogPort
     16663*573 (LeafLogPort
    1569316664port (LogicalPort
    1569416665m 4
     
    1570216673uid 6026,0
    1570316674)
    15704 *542 (LeafLogPort
     16675*574 (LeafLogPort
    1570516676port (LogicalPort
    1570616677m 1
     
    1571516686uid 6172,0
    1571616687)
    15717 *543 (LeafLogPort
     16688*575 (LeafLogPort
    1571816689port (LogicalPort
    1571916690m 1
     
    1573016701uid 6374,0
    1573116702)
    15732 *544 (LeafLogPort
     16703*576 (LeafLogPort
    1573316704port (LogicalPort
    1573416705m 4
     
    1574316714uid 6464,0
    1574416715)
    15745 *545 (LeafLogPort
     16716*577 (LeafLogPort
    1574616717port (LogicalPort
    1574716718m 4
     
    1575616727uid 6554,0
    1575716728)
    15758 *546 (LeafLogPort
     16729*578 (LeafLogPort
    1575916730port (LogicalPort
    1576016731lang 2
     
    1576816739)
    1576916740uid 8420,0
     16741)
     16742*579 (LeafLogPort
     16743port (LogicalPort
     16744m 4
     16745decl (Decl
     16746n "drs_address"
     16747t "std_logic_vector"
     16748b "(3 DOWNTO 0)"
     16749o 82
     16750suid 184,0
     16751i "(others => '0')"
     16752)
     16753)
     16754uid 8609,0
     16755)
     16756*580 (LeafLogPort
     16757port (LogicalPort
     16758m 4
     16759decl (Decl
     16760n "drs_address_mode"
     16761t "std_logic"
     16762o 83
     16763suid 185,0
     16764)
     16765)
     16766uid 8611,0
     16767)
     16768*581 (LeafLogPort
     16769port (LogicalPort
     16770m 4
     16771decl (Decl
     16772n "drs_channel_internal"
     16773t "std_logic_vector"
     16774b "(3 DOWNTO 0)"
     16775o 84
     16776suid 187,0
     16777i "(others => '0')"
     16778)
     16779)
     16780uid 8613,0
    1577016781)
    1577116782]
     
    1577616787uid 67,0
    1577716788optionalChildren [
    15778 *547 (Sheet
     16789*582 (Sheet
    1577916790sheetRow (SheetRow
    1578016791headerVa (MVa
     
    1579316804font "Tahoma,10,0"
    1579416805)
    15795 emptyMRCItem *548 (MRCItem
    15796 litem &453
    15797 pos 81
     16806emptyMRCItem *583 (MRCItem
     16807litem &485
     16808pos 84
    1579816809dimension 20
    1579916810)
    1580016811uid 69,0
    1580116812optionalChildren [
    15802 *549 (MRCItem
    15803 litem &454
     16813*584 (MRCItem
     16814litem &486
    1580416815pos 0
    1580516816dimension 20
    1580616817uid 70,0
    1580716818)
    15808 *550 (MRCItem
    15809 litem &455
     16819*585 (MRCItem
     16820litem &487
    1581016821pos 1
    1581116822dimension 23
    1581216823uid 71,0
    1581316824)
    15814 *551 (MRCItem
    15815 litem &456
     16825*586 (MRCItem
     16826litem &488
    1581616827pos 2
    1581716828hidden 1
     
    1581916830uid 72,0
    1582016831)
    15821 *552 (MRCItem
    15822 litem &466
     16832*587 (MRCItem
     16833litem &498
    1582316834pos 31
    1582416835dimension 20
    1582516836uid 517,0
    1582616837)
    15827 *553 (MRCItem
    15828 litem &467
     16838*588 (MRCItem
     16839litem &499
    1582916840pos 32
    1583016841dimension 20
    1583116842uid 519,0
    1583216843)
    15833 *554 (MRCItem
    15834 litem &468
     16844*589 (MRCItem
     16845litem &500
    1583516846pos 33
    1583616847dimension 20
    1583716848uid 521,0
    1583816849)
    15839 *555 (MRCItem
    15840 litem &469
     16850*590 (MRCItem
     16851litem &501
    1584116852pos 34
    1584216853dimension 20
    1584316854uid 531,0
    1584416855)
    15845 *556 (MRCItem
    15846 litem &470
     16856*591 (MRCItem
     16857litem &502
    1584716858pos 35
    1584816859dimension 20
    1584916860uid 533,0
    1585016861)
    15851 *557 (MRCItem
    15852 litem &471
     16862*592 (MRCItem
     16863litem &503
    1585316864pos 0
    1585416865dimension 20
    1585516866uid 535,0
    1585616867)
    15857 *558 (MRCItem
    15858 litem &472
     16868*593 (MRCItem
     16869litem &504
    1585916870pos 1
    1586016871dimension 20
    1586116872uid 537,0
    1586216873)
    15863 *559 (MRCItem
    15864 litem &473
     16874*594 (MRCItem
     16875litem &505
    1586516876pos 2
    1586616877dimension 20
    1586716878uid 539,0
    1586816879)
    15869 *560 (MRCItem
    15870 litem &474
     16880*595 (MRCItem
     16881litem &506
    1587116882pos 3
    1587216883dimension 20
    1587316884uid 541,0
    1587416885)
    15875 *561 (MRCItem
    15876 litem &475
     16886*596 (MRCItem
     16887litem &507
    1587716888pos 4
    1587816889dimension 20
    1587916890uid 543,0
    1588016891)
    15881 *562 (MRCItem
    15882 litem &476
     16892*597 (MRCItem
     16893litem &508
    1588316894pos 5
    1588416895dimension 20
    1588516896uid 547,0
    1588616897)
    15887 *563 (MRCItem
    15888 litem &477
     16898*598 (MRCItem
     16899litem &509
    1588916900pos 6
    1589016901dimension 20
    1589116902uid 549,0
    1589216903)
    15893 *564 (MRCItem
    15894 litem &478
     16904*599 (MRCItem
     16905litem &510
    1589516906pos 8
    1589616907dimension 20
    1589716908uid 1456,0
    1589816909)
    15899 *565 (MRCItem
    15900 litem &479
     16910*600 (MRCItem
     16911litem &511
    1590116912pos 7
    1590216913dimension 20
    1590316914uid 1458,0
    1590416915)
    15905 *566 (MRCItem
    15906 litem &480
     16916*601 (MRCItem
     16917litem &512
    1590716918pos 9
    1590816919dimension 20
    1590916920uid 1695,0
    1591016921)
    15911 *567 (MRCItem
    15912 litem &481
     16922*602 (MRCItem
     16923litem &513
    1591316924pos 36
    1591416925dimension 20
    1591516926uid 1994,0
    1591616927)
    15917 *568 (MRCItem
    15918 litem &482
     16928*603 (MRCItem
     16929litem &514
    1591916930pos 37
    1592016931dimension 20
    1592116932uid 2306,0
    1592216933)
    15923 *569 (MRCItem
    15924 litem &483
     16934*604 (MRCItem
     16935litem &515
    1592516936pos 38
    1592616937dimension 20
    1592716938uid 2511,0
    1592816939)
    15929 *570 (MRCItem
    15930 litem &484
     16940*605 (MRCItem
     16941litem &516
    1593116942pos 39
    1593216943dimension 20
    1593316944uid 2513,0
    1593416945)
    15935 *571 (MRCItem
    15936 litem &485
     16946*606 (MRCItem
     16947litem &517
    1593716948pos 40
    1593816949dimension 20
    1593916950uid 2515,0
    1594016951)
    15941 *572 (MRCItem
    15942 litem &486
     16952*607 (MRCItem
     16953litem &518
    1594316954pos 41
    1594416955dimension 20
    1594516956uid 2517,0
    1594616957)
    15947 *573 (MRCItem
    15948 litem &487
     16958*608 (MRCItem
     16959litem &519
    1594916960pos 42
    1595016961dimension 20
    1595116962uid 2519,0
    1595216963)
    15953 *574 (MRCItem
    15954 litem &488
     16964*609 (MRCItem
     16965litem &520
    1595516966pos 43
    1595616967dimension 20
    1595716968uid 2521,0
    1595816969)
    15959 *575 (MRCItem
    15960 litem &489
     16970*610 (MRCItem
     16971litem &521
    1596116972pos 44
    1596216973dimension 20
    1596316974uid 2523,0
    1596416975)
    15965 *576 (MRCItem
    15966 litem &490
     16976*611 (MRCItem
     16977litem &522
    1596716978pos 45
    1596816979dimension 20
    1596916980uid 2605,0
    1597016981)
    15971 *577 (MRCItem
    15972 litem &491
     16982*612 (MRCItem
     16983litem &523
    1597316984pos 46
    1597416985dimension 20
    1597516986uid 2607,0
    1597616987)
    15977 *578 (MRCItem
    15978 litem &492
     16988*613 (MRCItem
     16989litem &524
    1597916990pos 47
    1598016991dimension 20
    1598116992uid 2609,0
    1598216993)
    15983 *579 (MRCItem
    15984 litem &493
     16994*614 (MRCItem
     16995litem &525
    1598516996pos 48
    1598616997dimension 20
    1598716998uid 2611,0
    1598816999)
    15989 *580 (MRCItem
    15990 litem &494
     17000*615 (MRCItem
     17001litem &526
    1599117002pos 49
    1599217003dimension 20
    1599317004uid 2613,0
    1599417005)
    15995 *581 (MRCItem
    15996 litem &495
     17006*616 (MRCItem
     17007litem &527
    1599717008pos 50
    1599817009dimension 20
    1599917010uid 2647,0
    1600017011)
    16001 *582 (MRCItem
    16002 litem &496
     17012*617 (MRCItem
     17013litem &528
    1600317014pos 10
    1600417015dimension 20
    1600517016uid 2813,0
    1600617017)
    16007 *583 (MRCItem
    16008 litem &497
     17018*618 (MRCItem
     17019litem &529
    1600917020pos 51
    1601017021dimension 20
    1601117022uid 2963,0
    1601217023)
    16013 *584 (MRCItem
    16014 litem &498
     17024*619 (MRCItem
     17025litem &530
    1601517026pos 11
    1601617027dimension 20
    1601717028uid 3903,0
    1601817029)
    16019 *585 (MRCItem
    16020 litem &499
     17030*620 (MRCItem
     17031litem &531
    1602117032pos 12
    1602217033dimension 20
    1602317034uid 4071,0
    1602417035)
    16025 *586 (MRCItem
    16026 litem &500
     17036*621 (MRCItem
     17037litem &532
    1602717038pos 52
    1602817039dimension 20
    1602917040uid 4213,0
    1603017041)
    16031 *587 (MRCItem
    16032 litem &501
     17042*622 (MRCItem
     17043litem &533
    1603317044pos 13
    1603417045dimension 20
    1603517046uid 4235,0
    1603617047)
    16037 *588 (MRCItem
    16038 litem &502
     17048*623 (MRCItem
     17049litem &534
    1603917050pos 14
    1604017051dimension 20
    1604117052uid 4263,0
    1604217053)
    16043 *589 (MRCItem
    16044 litem &503
     17054*624 (MRCItem
     17055litem &535
    1604517056pos 15
    1604617057dimension 20
    1604717058uid 4277,0
    1604817059)
    16049 *590 (MRCItem
    16050 litem &504
     17060*625 (MRCItem
     17061litem &536
    1605117062pos 53
    1605217063dimension 20
    1605317064uid 4564,0
    1605417065)
    16055 *591 (MRCItem
    16056 litem &505
     17066*626 (MRCItem
     17067litem &537
    1605717068pos 54
    1605817069dimension 20
    1605917070uid 4566,0
    1606017071)
    16061 *592 (MRCItem
    16062 litem &506
     17072*627 (MRCItem
     17073litem &538
    1606317074pos 55
    1606417075dimension 20
    1606517076uid 4570,0
    1606617077)
    16067 *593 (MRCItem
    16068 litem &507
     17078*628 (MRCItem
     17079litem &539
    1606917080pos 16
    1607017081dimension 20
    1607117082uid 4586,0
    1607217083)
    16073 *594 (MRCItem
    16074 litem &508
     17084*629 (MRCItem
     17085litem &540
    1607517086pos 17
    1607617087dimension 20
    1607717088uid 4588,0
    1607817089)
    16079 *595 (MRCItem
    16080 litem &509
     17090*630 (MRCItem
     17091litem &541
    1608117092pos 18
    1608217093dimension 20
    1608317094uid 4734,0
    1608417095)
    16085 *596 (MRCItem
    16086 litem &510
     17096*631 (MRCItem
     17097litem &542
    1608717098pos 19
    1608817099dimension 20
    1608917100uid 4736,0
    1609017101)
    16091 *597 (MRCItem
    16092 litem &511
     17102*632 (MRCItem
     17103litem &543
    1609317104pos 20
    1609417105dimension 20
    1609517106uid 4738,0
    1609617107)
    16097 *598 (MRCItem
    16098 litem &512
     17108*633 (MRCItem
     17109litem &544
    1609917110pos 21
    1610017111dimension 20
    1610117112uid 4740,0
    1610217113)
    16103 *599 (MRCItem
    16104 litem &513
     17114*634 (MRCItem
     17115litem &545
    1610517116pos 56
    1610617117dimension 20
    1610717118uid 4750,0
    1610817119)
    16109 *600 (MRCItem
    16110 litem &514
     17120*635 (MRCItem
     17121litem &546
    1611117122pos 22
    1611217123dimension 20
    1611317124uid 4975,0
    1611417125)
    16115 *601 (MRCItem
    16116 litem &515
     17126*636 (MRCItem
     17127litem &547
    1611717128pos 23
    1611817129dimension 20
    1611917130uid 4977,0
    1612017131)
    16121 *602 (MRCItem
    16122 litem &516
     17132*637 (MRCItem
     17133litem &548
    1612317134pos 57
    1612417135dimension 20
    1612517136uid 5199,0
    1612617137)
    16127 *603 (MRCItem
    16128 litem &517
     17138*638 (MRCItem
     17139litem &549
    1612917140pos 58
    1613017141dimension 20
    1613117142uid 5201,0
    1613217143)
    16133 *604 (MRCItem
    16134 litem &518
     17144*639 (MRCItem
     17145litem &550
    1613517146pos 59
    1613617147dimension 20
    1613717148uid 5203,0
    1613817149)
    16139 *605 (MRCItem
    16140 litem &519
     17150*640 (MRCItem
     17151litem &551
    1614117152pos 60
    1614217153dimension 20
    1614317154uid 5205,0
    1614417155)
    16145 *606 (MRCItem
    16146 litem &520
     17156*641 (MRCItem
     17157litem &552
    1614717158pos 61
    1614817159dimension 20
    1614917160uid 5207,0
    1615017161)
    16151 *607 (MRCItem
    16152 litem &521
     17162*642 (MRCItem
     17163litem &553
    1615317164pos 62
    1615417165dimension 20
    1615517166uid 5209,0
    1615617167)
    16157 *608 (MRCItem
    16158 litem &522
     17168*643 (MRCItem
     17169litem &554
    1615917170pos 63
    1616017171dimension 20
    1616117172uid 5211,0
    1616217173)
    16163 *609 (MRCItem
    16164 litem &523
     17174*644 (MRCItem
     17175litem &555
    1616517176pos 64
    1616617177dimension 20
    1616717178uid 5213,0
    1616817179)
    16169 *610 (MRCItem
    16170 litem &524
     17180*645 (MRCItem
     17181litem &556
    1617117182pos 65
    1617217183dimension 20
    1617317184uid 5215,0
    1617417185)
    16175 *611 (MRCItem
    16176 litem &525
     17186*646 (MRCItem
     17187litem &557
    1617717188pos 24
    1617817189dimension 20
    1617917190uid 5227,0
    1618017191)
    16181 *612 (MRCItem
    16182 litem &526
     17192*647 (MRCItem
     17193litem &558
    1618317194pos 66
    1618417195dimension 20
    1618517196uid 5286,0
    1618617197)
    16187 *613 (MRCItem
    16188 litem &527
     17198*648 (MRCItem
     17199litem &559
    1618917200pos 67
    1619017201dimension 20
    1619117202uid 5503,0
    1619217203)
    16193 *614 (MRCItem
    16194 litem &528
     17204*649 (MRCItem
     17205litem &560
    1619517206pos 68
    1619617207dimension 20
    1619717208uid 5505,0
    1619817209)
    16199 *615 (MRCItem
    16200 litem &529
     17210*650 (MRCItem
     17211litem &561
    1620117212pos 69
    1620217213dimension 20
    1620317214uid 5601,0
    1620417215)
    16205 *616 (MRCItem
    16206 litem &530
     17216*651 (MRCItem
     17217litem &562
    1620717218pos 70
    1620817219dimension 20
    1620917220uid 5643,0
    1621017221)
    16211 *617 (MRCItem
    16212 litem &531
     17222*652 (MRCItem
     17223litem &563
    1621317224pos 71
    1621417225dimension 20
    1621517226uid 5645,0
    1621617227)
    16217 *618 (MRCItem
    16218 litem &532
     17228*653 (MRCItem
     17229litem &564
    1621917230pos 72
    1622017231dimension 20
    1622117232uid 5752,0
    1622217233)
    16223 *619 (MRCItem
    16224 litem &533
     17234*654 (MRCItem
     17235litem &565
    1622517236pos 25
    1622617237dimension 20
    1622717238uid 5868,0
    1622817239)
    16229 *620 (MRCItem
    16230 litem &534
     17240*655 (MRCItem
     17241litem &566
    1623117242pos 26
    1623217243dimension 20
    1623317244uid 5870,0
    1623417245)
    16235 *621 (MRCItem
    16236 litem &535
     17246*656 (MRCItem
     17247litem &567
    1623717248pos 27
    1623817249dimension 20
    1623917250uid 5872,0
    1624017251)
    16241 *622 (MRCItem
    16242 litem &536
     17252*657 (MRCItem
     17253litem &568
    1624317254pos 28
    1624417255dimension 20
    1624517256uid 5874,0
    1624617257)
    16247 *623 (MRCItem
    16248 litem &537
     17258*658 (MRCItem
     17259litem &569
    1624917260pos 73
    1625017261dimension 20
    1625117262uid 5967,0
    1625217263)
    16253 *624 (MRCItem
    16254 litem &538
     17264*659 (MRCItem
     17265litem &570
    1625517266pos 74
    1625617267dimension 20
    1625717268uid 5969,0
    1625817269)
    16259 *625 (MRCItem
    16260 litem &539
     17270*660 (MRCItem
     17271litem &571
    1626117272pos 75
    1626217273dimension 20
    1626317274uid 6023,0
    1626417275)
    16265 *626 (MRCItem
    16266 litem &540
     17276*661 (MRCItem
     17277litem &572
    1626717278pos 76
    1626817279dimension 20
    1626917280uid 6025,0
    1627017281)
    16271 *627 (MRCItem
    16272 litem &541
     17282*662 (MRCItem
     17283litem &573
    1627317284pos 77
    1627417285dimension 20
    1627517286uid 6027,0
    1627617287)
    16277 *628 (MRCItem
    16278 litem &542
     17288*663 (MRCItem
     17289litem &574
    1627917290pos 29
    1628017291dimension 20
    1628117292uid 6173,0
    1628217293)
    16283 *629 (MRCItem
    16284 litem &543
     17294*664 (MRCItem
     17295litem &575
    1628517296pos 30
    1628617297dimension 20
    1628717298uid 6375,0
    1628817299)
    16289 *630 (MRCItem
    16290 litem &544
     17300*665 (MRCItem
     17301litem &576
    1629117302pos 78
    1629217303dimension 20
    1629317304uid 6465,0
    1629417305)
    16295 *631 (MRCItem
    16296 litem &545
     17306*666 (MRCItem
     17307litem &577
    1629717308pos 79
    1629817309dimension 20
    1629917310uid 6555,0
    1630017311)
    16301 *632 (MRCItem
    16302 litem &546
     17312*667 (MRCItem
     17313litem &578
    1630317314pos 80
    1630417315dimension 20
    1630517316uid 8421,0
     17317)
     17318*668 (MRCItem
     17319litem &579
     17320pos 81
     17321dimension 20
     17322uid 8610,0
     17323)
     17324*669 (MRCItem
     17325litem &580
     17326pos 82
     17327dimension 20
     17328uid 8612,0
     17329)
     17330*670 (MRCItem
     17331litem &581
     17332pos 83
     17333dimension 20
     17334uid 8614,0
    1630617335)
    1630717336]
     
    1631617345uid 73,0
    1631717346optionalChildren [
    16318 *633 (MRCItem
    16319 litem &457
     17347*671 (MRCItem
     17348litem &489
    1632017349pos 0
    1632117350dimension 20
    1632217351uid 74,0
    1632317352)
    16324 *634 (MRCItem
    16325 litem &459
     17353*672 (MRCItem
     17354litem &491
    1632617355pos 1
    1632717356dimension 50
    1632817357uid 75,0
    1632917358)
    16330 *635 (MRCItem
    16331 litem &460
     17359*673 (MRCItem
     17360litem &492
    1633217361pos 2
    1633317362dimension 100
    1633417363uid 76,0
    1633517364)
    16336 *636 (MRCItem
    16337 litem &461
     17365*674 (MRCItem
     17366litem &493
    1633817367pos 3
    1633917368dimension 50
    1634017369uid 77,0
    1634117370)
    16342 *637 (MRCItem
    16343 litem &462
     17371*675 (MRCItem
     17372litem &494
    1634417373pos 4
    1634517374dimension 100
    1634617375uid 78,0
    1634717376)
    16348 *638 (MRCItem
    16349 litem &463
     17377*676 (MRCItem
     17378litem &495
    1635017379pos 5
    1635117380dimension 100
    1635217381uid 79,0
    1635317382)
    16354 *639 (MRCItem
    16355 litem &464
     17383*677 (MRCItem
     17384litem &496
    1635617385pos 6
    1635717386dimension 50
    1635817387uid 80,0
    1635917388)
    16360 *640 (MRCItem
    16361 litem &465
     17389*678 (MRCItem
     17390litem &497
    1636217391pos 7
    1636317392dimension 80
     
    1637917408genericsCommonDM (CommonDM
    1638017409ldm (LogicalDM
    16381 emptyRow *641 (LEmptyRow
     17410emptyRow *679 (LEmptyRow
    1638217411)
    1638317412uid 83,0
    1638417413optionalChildren [
    16385 *642 (RefLabelRowHdr
    16386 )
    16387 *643 (TitleRowHdr
    16388 )
    16389 *644 (FilterRowHdr
    16390 )
    16391 *645 (RefLabelColHdr
     17414*680 (RefLabelRowHdr
     17415)
     17416*681 (TitleRowHdr
     17417)
     17418*682 (FilterRowHdr
     17419)
     17420*683 (RefLabelColHdr
    1639217421tm "RefLabelColHdrMgr"
    1639317422)
    16394 *646 (RowExpandColHdr
     17423*684 (RowExpandColHdr
    1639517424tm "RowExpandColHdrMgr"
    1639617425)
    16397 *647 (GroupColHdr
     17426*685 (GroupColHdr
    1639817427tm "GroupColHdrMgr"
    1639917428)
    16400 *648 (NameColHdr
     17429*686 (NameColHdr
    1640117430tm "GenericNameColHdrMgr"
    1640217431)
    16403 *649 (TypeColHdr
     17432*687 (TypeColHdr
    1640417433tm "GenericTypeColHdrMgr"
    1640517434)
    16406 *650 (InitColHdr
     17435*688 (InitColHdr
    1640717436tm "GenericValueColHdrMgr"
    1640817437)
    16409 *651 (PragmaColHdr
     17438*689 (PragmaColHdr
    1641017439tm "GenericPragmaColHdrMgr"
    1641117440)
    16412 *652 (EolColHdr
     17441*690 (EolColHdr
    1641317442tm "GenericEolColHdrMgr"
    1641417443)
    16415 *653 (LogGeneric
     17444*691 (LogGeneric
    1641617445generic (GiElement
    1641717446name "RAMADDRWIDTH64b"
     
    1642817457uid 95,0
    1642917458optionalChildren [
    16430 *654 (Sheet
     17459*692 (Sheet
    1643117460sheetRow (SheetRow
    1643217461headerVa (MVa
     
    1644517474font "Tahoma,10,0"
    1644617475)
    16447 emptyMRCItem *655 (MRCItem
    16448 litem &641
     17476emptyMRCItem *693 (MRCItem
     17477litem &679
    1644917478pos 1
    1645017479dimension 20
     
    1645217481uid 97,0
    1645317482optionalChildren [
    16454 *656 (MRCItem
    16455 litem &642
     17483*694 (MRCItem
     17484litem &680
    1645617485pos 0
    1645717486dimension 20
    1645817487uid 98,0
    1645917488)
    16460 *657 (MRCItem
    16461 litem &643
     17489*695 (MRCItem
     17490litem &681
    1646217491pos 1
    1646317492dimension 23
    1646417493uid 99,0
    1646517494)
    16466 *658 (MRCItem
    16467 litem &644
     17495*696 (MRCItem
     17496litem &682
    1646817497pos 2
    1646917498hidden 1
     
    1647117500uid 100,0
    1647217501)
    16473 *659 (MRCItem
    16474 litem &653
     17502*697 (MRCItem
     17503litem &691
    1647517504pos 0
    1647617505dimension 20
     
    1648817517uid 101,0
    1648917518optionalChildren [
    16490 *660 (MRCItem
    16491 litem &645
     17519*698 (MRCItem
     17520litem &683
    1649217521pos 0
    1649317522dimension 20
    1649417523uid 102,0
    1649517524)
    16496 *661 (MRCItem
    16497 litem &647
     17525*699 (MRCItem
     17526litem &685
    1649817527pos 1
    1649917528dimension 50
    1650017529uid 103,0
    1650117530)
    16502 *662 (MRCItem
    16503 litem &648
     17531*700 (MRCItem
     17532litem &686
    1650417533pos 2
    1650517534dimension 186
    1650617535uid 104,0
    1650717536)
    16508 *663 (MRCItem
    16509 litem &649
     17537*701 (MRCItem
     17538litem &687
    1651017539pos 3
    1651117540dimension 96
    1651217541uid 105,0
    1651317542)
    16514 *664 (MRCItem
    16515 litem &650
     17543*702 (MRCItem
     17544litem &688
    1651617545pos 4
    1651717546dimension 50
    1651817547uid 106,0
    1651917548)
    16520 *665 (MRCItem
    16521 litem &651
     17549*703 (MRCItem
     17550litem &689
    1652217551pos 5
    1652317552dimension 50
    1652417553uid 107,0
    1652517554)
    16526 *666 (MRCItem
    16527 litem &652
     17555*704 (MRCItem
     17556litem &690
    1652817557pos 6
    1652917558dimension 80
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak

    r246 r252  
    167167(vvPair
    168168variable "HDLDir"
    169 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hdl"
     169value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    170170)
    171171(vvPair
    172172variable "HDSDir"
    173 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
     173value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    174174)
    175175(vvPair
    176176variable "SideDataDesignDir"
    177 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
     177value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
    178178)
    179179(vvPair
    180180variable "SideDataUserDir"
    181 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
     181value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
    182182)
    183183(vvPair
    184184variable "SourceDir"
    185 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
     185value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    186186)
    187187(vvPair
     
    199199(vvPair
    200200variable "d"
    201 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main"
     201value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main"
    202202)
    203203(vvPair
    204204variable "d_logical"
    205 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_main"
     205value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_main"
    206206)
    207207(vvPair
    208208variable "date"
    209 value "02.07.2010"
     209value "12.07.2010"
    210210)
    211211(vvPair
    212212variable "day"
    213 value "Fr"
     213value "Mo"
    214214)
    215215(vvPair
    216216variable "day_long"
    217 value "Freitag"
     217value "Montag"
    218218)
    219219(vvPair
    220220variable "dd"
    221 value "02"
     221value "12"
    222222)
    223223(vvPair
     
    299299(vvPair
    300300variable "p"
    301 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
     301value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
    302302)
    303303(vvPair
    304304variable "p_logical"
    305 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
     305value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
    306306)
    307307(vvPair
     
    359359(vvPair
    360360variable "time"
    361 value "10:38:34"
     361value "11:42:03"
    362362)
    363363(vvPair
     
    1274812748va (VaSet
    1274912749)
    12750 xt "-25000,74000,-20500,75000"
     12750xt "-25000,73000,-20500,74000"
    1275112751st "CLK_25_PS"
    12752 blo "-25000,74800"
     12752blo "-25000,73800"
    1275312753tm "WireNameMgr"
    1275412754)
     
    1333013330vasetType 3
    1333113331)
    13332 xt "-23000,63000,-18750,63000"
     13332xt "-27000,63000,-18750,63000"
    1333313333pts [
    13334 "-23000,63000"
     13334"-27000,63000"
    1333513335"-18750,63000"
    1333613336]
     
    1334913349va (VaSet
    1335013350)
    13351 xt "-22000,62000,-17500,63000"
     13351xt "-24000,62000,-19500,63000"
    1335213352st "CLK_25_PS"
    13353 blo "-22000,62800"
     13353blo "-24000,62800"
    1335413354tm "WireNameMgr"
    1335513355)
     
    1366113661)
    1366213662windowSize "0,0,1281,1024"
    13663 viewArea "63050,40700,132015,97575"
     13663viewArea "-62364,34906,23843,105999"
    1366413664cachedDiagramExtent "-87000,0,162300,301700"
    1366513665pageSetupInfo (PageSetupInfo
     
    1368713687hasePageBreakOrigin 1
    1368813688pageBreakOrigin "-73000,0"
    13689 lastUid 8421,0
     13689lastUid 8460,0
    1369013690defaultCommentText (CommentText
    1369113691shape (Rectangle
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/control_manager/symbol.sb

    r246 r252  
    3030)
    3131version "24.1"
    32 appVersion "2009.1 (Build 12)"
     32appVersion "2009.2 (Build 10)"
    3333model (Symbol
    3434commonDM (CommonDM
    3535ldm (LogicalDM
    3636ordering 1
    37 suid 23,0
     37suid 25,0
    3838usingSuid 1
    3939emptyRow *1 (LEmptyRow
     
    293293uid 497,0
    294294)
     295*30 (LogPort
     296port (LogicalPort
     297m 1
     298decl (Decl
     299n "drs_address"
     300t "std_logic_vector"
     301b "(3 DOWNTO 0)"
     302o 17
     303suid 24,0
     304)
     305)
     306uid 538,0
     307)
     308*31 (LogPort
     309port (LogicalPort
     310m 1
     311decl (Decl
     312n "drs_address_mode"
     313t "std_logic"
     314o 18
     315suid 25,0
     316)
     317)
     318uid 540,0
     319)
    295320]
    296321)
     
    300325uid 187,0
    301326optionalChildren [
    302 *30 (Sheet
     327*32 (Sheet
    303328sheetRow (SheetRow
    304329headerVa (MVa
     
    317342font "Tahoma,10,0"
    318343)
    319 emptyMRCItem *31 (MRCItem
     344emptyMRCItem *33 (MRCItem
    320345litem &1
    321346pos 3
     
    324349uid 189,0
    325350optionalChildren [
    326 *32 (MRCItem
     351*34 (MRCItem
    327352litem &2
    328353pos 0
     
    330355uid 190,0
    331356)
    332 *33 (MRCItem
     357*35 (MRCItem
    333358litem &3
    334359pos 1
     
    336361uid 191,0
    337362)
    338 *34 (MRCItem
     363*36 (MRCItem
    339364litem &4
    340365pos 2
     
    343368uid 192,0
    344369)
    345 *35 (MRCItem
     370*37 (MRCItem
    346371litem &14
    347372pos 0
     
    349374uid 138,0
    350375)
    351 *36 (MRCItem
     376*38 (MRCItem
    352377litem &15
    353378pos 1
     
    355380uid 142,0
    356381)
    357 *37 (MRCItem
     382*39 (MRCItem
    358383litem &16
    359384pos 2
     
    361386uid 144,0
    362387)
    363 *38 (MRCItem
     388*40 (MRCItem
    364389litem &17
    365390pos 3
     
    367392uid 146,0
    368393)
    369 *39 (MRCItem
     394*41 (MRCItem
    370395litem &18
    371396pos 4
     
    373398uid 148,0
    374399)
    375 *40 (MRCItem
     400*42 (MRCItem
    376401litem &19
    377402pos 5
     
    379404uid 150,0
    380405)
    381 *41 (MRCItem
     406*43 (MRCItem
    382407litem &20
    383408pos 6
     
    385410uid 152,0
    386411)
    387 *42 (MRCItem
     412*44 (MRCItem
    388413litem &21
    389414pos 7
     
    391416uid 154,0
    392417)
    393 *43 (MRCItem
     418*45 (MRCItem
    394419litem &22
    395420pos 8
     
    397422uid 156,0
    398423)
    399 *44 (MRCItem
     424*46 (MRCItem
    400425litem &23
    401426pos 9
     
    403428uid 166,0
    404429)
    405 *45 (MRCItem
     430*47 (MRCItem
    406431litem &24
    407432pos 10
     
    409434uid 168,0
    410435)
    411 *46 (MRCItem
     436*48 (MRCItem
    412437litem &25
    413438pos 11
     
    415440uid 278,0
    416441)
    417 *47 (MRCItem
     442*49 (MRCItem
    418443litem &26
    419444pos 12
     
    421446uid 280,0
    422447)
    423 *48 (MRCItem
     448*50 (MRCItem
    424449litem &27
    425450pos 13
     
    427452uid 316,0
    428453)
    429 *49 (MRCItem
     454*51 (MRCItem
    430455litem &28
    431456pos 14
     
    433458uid 352,0
    434459)
    435 *50 (MRCItem
     460*52 (MRCItem
    436461litem &29
    437462pos 15
    438463dimension 20
    439464uid 498,0
     465)
     466*53 (MRCItem
     467litem &30
     468pos 16
     469dimension 20
     470uid 539,0
     471)
     472*54 (MRCItem
     473litem &31
     474pos 17
     475dimension 20
     476uid 541,0
    440477)
    441478]
     
    450487uid 193,0
    451488optionalChildren [
    452 *51 (MRCItem
     489*55 (MRCItem
    453490litem &5
    454491pos 0
     
    456493uid 194,0
    457494)
    458 *52 (MRCItem
     495*56 (MRCItem
    459496litem &7
    460497pos 1
     
    462499uid 195,0
    463500)
    464 *53 (MRCItem
     501*57 (MRCItem
    465502litem &8
    466503pos 2
     
    468505uid 196,0
    469506)
    470 *54 (MRCItem
     507*58 (MRCItem
    471508litem &9
    472509pos 3
     
    474511uid 197,0
    475512)
    476 *55 (MRCItem
     513*59 (MRCItem
    477514litem &10
    478515pos 4
     
    480517uid 198,0
    481518)
    482 *56 (MRCItem
     519*60 (MRCItem
    483520litem &11
    484521pos 5
     
    486523uid 199,0
    487524)
    488 *57 (MRCItem
     525*61 (MRCItem
    489526litem &12
    490527pos 6
     
    492529uid 200,0
    493530)
    494 *58 (MRCItem
     531*62 (MRCItem
    495532litem &13
    496533pos 7
     
    513550genericsCommonDM (CommonDM
    514551ldm (LogicalDM
    515 emptyRow *59 (LEmptyRow
     552emptyRow *63 (LEmptyRow
    516553)
    517554uid 203,0
    518555optionalChildren [
    519 *60 (RefLabelRowHdr
    520 )
    521 *61 (TitleRowHdr
    522 )
    523 *62 (FilterRowHdr
    524 )
    525 *63 (RefLabelColHdr
     556*64 (RefLabelRowHdr
     557)
     558*65 (TitleRowHdr
     559)
     560*66 (FilterRowHdr
     561)
     562*67 (RefLabelColHdr
    526563tm "RefLabelColHdrMgr"
    527564)
    528 *64 (RowExpandColHdr
     565*68 (RowExpandColHdr
    529566tm "RowExpandColHdrMgr"
    530567)
    531 *65 (GroupColHdr
     568*69 (GroupColHdr
    532569tm "GroupColHdrMgr"
    533570)
    534 *66 (NameColHdr
     571*70 (NameColHdr
    535572tm "GenericNameColHdrMgr"
    536573)
    537 *67 (TypeColHdr
     574*71 (TypeColHdr
    538575tm "GenericTypeColHdrMgr"
    539576)
    540 *68 (InitColHdr
     577*72 (InitColHdr
    541578tm "GenericValueColHdrMgr"
    542579)
    543 *69 (PragmaColHdr
     580*73 (PragmaColHdr
    544581tm "GenericPragmaColHdrMgr"
    545582)
    546 *70 (EolColHdr
     583*74 (EolColHdr
    547584tm "GenericEolColHdrMgr"
    548585)
    549 *71 (LogGeneric
     586*75 (LogGeneric
    550587generic (GiElement
    551588name "NO_OF_ROI"
     
    553590value "36"
    554591)
    555 uid 499,0
    556 )
    557 *72 (LogGeneric
     592uid 542,0
     593)
     594*76 (LogGeneric
    558595generic (GiElement
    559596name "NO_OF_DAC"
     
    561598value "8"
    562599)
    563 uid 501,0
    564 )
    565 *73 (LogGeneric
     600uid 544,0
     601)
     602*77 (LogGeneric
    566603generic (GiElement
    567604name "ADDR_WIDTH"
     
    569606value "8"
    570607)
    571 uid 503,0
     608uid 546,0
    572609)
    573610]
     
    578615uid 215,0
    579616optionalChildren [
    580 *74 (Sheet
     617*78 (Sheet
    581618sheetRow (SheetRow
    582619headerVa (MVa
     
    595632font "Tahoma,10,0"
    596633)
    597 emptyMRCItem *75 (MRCItem
    598 litem &59
     634emptyMRCItem *79 (MRCItem
     635litem &63
    599636pos 3
    600637dimension 20
     
    602639uid 217,0
    603640optionalChildren [
    604 *76 (MRCItem
    605 litem &60
     641*80 (MRCItem
     642litem &64
    606643pos 0
    607644dimension 20
    608645uid 218,0
    609646)
    610 *77 (MRCItem
    611 litem &61
     647*81 (MRCItem
     648litem &65
    612649pos 1
    613650dimension 23
    614651uid 219,0
    615652)
    616 *78 (MRCItem
    617 litem &62
     653*82 (MRCItem
     654litem &66
    618655pos 2
    619656hidden 1
     
    621658uid 220,0
    622659)
    623 *79 (MRCItem
    624 litem &71
     660*83 (MRCItem
     661litem &75
    625662pos 0
    626663dimension 20
    627 uid 500,0
    628 )
    629 *80 (MRCItem
    630 litem &72
     664uid 543,0
     665)
     666*84 (MRCItem
     667litem &76
    631668pos 1
    632669dimension 20
    633 uid 502,0
    634 )
    635 *81 (MRCItem
    636 litem &73
     670uid 545,0
     671)
     672*85 (MRCItem
     673litem &77
    637674pos 2
    638675dimension 20
    639 uid 504,0
     676uid 547,0
    640677)
    641678]
     
    650687uid 221,0
    651688optionalChildren [
    652 *82 (MRCItem
    653 litem &63
     689*86 (MRCItem
     690litem &67
    654691pos 0
    655692dimension 20
    656693uid 222,0
    657694)
    658 *83 (MRCItem
    659 litem &65
     695*87 (MRCItem
     696litem &69
    660697pos 1
    661698dimension 50
    662699uid 223,0
    663700)
    664 *84 (MRCItem
    665 litem &66
     701*88 (MRCItem
     702litem &70
    666703pos 2
    667704dimension 100
    668705uid 224,0
    669706)
    670 *85 (MRCItem
    671 litem &67
     707*89 (MRCItem
     708litem &71
    672709pos 3
    673710dimension 100
    674711uid 225,0
    675712)
    676 *86 (MRCItem
    677 litem &68
     713*90 (MRCItem
     714litem &72
    678715pos 4
    679716dimension 50
    680717uid 226,0
    681718)
    682 *87 (MRCItem
    683 litem &69
     719*91 (MRCItem
     720litem &73
    684721pos 5
    685722dimension 50
    686723uid 227,0
    687724)
    688 *88 (MRCItem
    689 litem &70
     725*92 (MRCItem
     726litem &74
    690727pos 6
    691728dimension 80
     
    710747(vvPair
    711748variable "HDLDir"
    712 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hdl"
     749value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    713750)
    714751(vvPair
    715752variable "HDSDir"
    716 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds"
     753value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    717754)
    718755(vvPair
    719756variable "SideDataDesignDir"
    720 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager\\symbol.sb.info"
     757value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager\\symbol.sb.info"
    721758)
    722759(vvPair
    723760variable "SideDataUserDir"
    724 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager\\symbol.sb.user"
     761value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager\\symbol.sb.user"
    725762)
    726763(vvPair
    727764variable "SourceDir"
    728 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds"
     765value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    729766)
    730767(vvPair
     
    742779(vvPair
    743780variable "d"
    744 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager"
     781value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager"
    745782)
    746783(vvPair
    747784variable "d_logical"
    748 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager"
     785value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager"
    749786)
    750787(vvPair
    751788variable "date"
    752 value "07.05.2010"
     789value "12.07.2010"
    753790)
    754791(vvPair
    755792variable "day"
    756 value "Fr"
     793value "Mo"
    757794)
    758795(vvPair
    759796variable "day_long"
    760 value "Freitag"
     797value "Montag"
    761798)
    762799(vvPair
    763800variable "dd"
    764 value "07"
     801value "12"
    765802)
    766803(vvPair
     
    790827(vvPair
    791828variable "host"
    792 value "E5PCXX"
     829value "TU-CC4900F8C7D2"
    793830)
    794831(vvPair
     
    818855(vvPair
    819856variable "mm"
    820 value "05"
     857value "07"
    821858)
    822859(vvPair
     
    826863(vvPair
    827864variable "month"
    828 value "Mai"
     865value "Jul"
    829866)
    830867(vvPair
    831868variable "month_long"
    832 value "Mai"
     869value "Juli"
    833870)
    834871(vvPair
    835872variable "p"
    836 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager\\symbol.sb"
     873value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager\\symbol.sb"
    837874)
    838875(vvPair
    839876variable "p_logical"
    840 value "D:\\Kai\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager\\symbol.sb"
     877value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_manager\\symbol.sb"
    841878)
    842879(vvPair
     
    858895(vvPair
    859896variable "task_LeonardoPath"
    860 value "$HDS_HOME/../Exemplar/bin/win32"
     897value "<TBD>"
    861898)
    862899(vvPair
    863900variable "task_ModelSimPath"
    864 value "D:\\Programme\\FPGAdv82LSPS\\Modeltech\\win32"
     901value "<TBD>"
    865902)
    866903(vvPair
     
    870907(vvPair
    871908variable "task_PrecisionRTLPath"
    872 value "$HDS_HOME/../Precision/Mgc_home/bin"
     909value "<TBD>"
    873910)
    874911(vvPair
     
    894931(vvPair
    895932variable "time"
    896 value "12:45:37"
     933value "14:11:44"
    897934)
    898935(vvPair
     
    902939(vvPair
    903940variable "user"
    904 value "kai"
     941value "dneise"
    905942)
    906943(vvPair
    907944variable "version"
    908 value "2009.1 (Build 12)"
     945value "2009.2 (Build 10)"
    909946)
    910947(vvPair
     
    925962uid 172,0
    926963optionalChildren [
    927 *89 (SymbolBody
     964*93 (SymbolBody
    928965uid 8,0
    929966optionalChildren [
    930 *90 (CptPort
     967*94 (CptPort
    931968uid 48,0
    932969ps "OnEdgeStrategy"
     
    9741011)
    9751012)
    976 *91 (CptPort
     1013*95 (CptPort
    9771014uid 58,0
    9781015ps "OnEdgeStrategy"
     
    10231060)
    10241061)
    1025 *92 (CptPort
     1062*96 (CptPort
    10261063uid 63,0
    10271064ps "OnEdgeStrategy"
     
    10701107)
    10711108)
    1072 *93 (CptPort
     1109*97 (CptPort
    10731110uid 68,0
    10741111ps "OnEdgeStrategy"
     
    11201157)
    11211158)
    1122 *94 (CptPort
     1159*98 (CptPort
    11231160uid 73,0
    11241161ps "OnEdgeStrategy"
     
    11681205)
    11691206)
    1170 *95 (CptPort
     1207*99 (CptPort
    11711208uid 78,0
    11721209ps "OnEdgeStrategy"
     
    12151252)
    12161253)
    1217 *96 (CptPort
     1254*100 (CptPort
    12181255uid 83,0
    12191256ps "OnEdgeStrategy"
     
    12621299)
    12631300)
    1264 *97 (CptPort
     1301*101 (CptPort
    12651302uid 88,0
    12661303ps "OnEdgeStrategy"
     
    13111348)
    13121349)
    1313 *98 (CptPort
     1350*102 (CptPort
    13141351uid 93,0
    13151352ps "OnEdgeStrategy"
     
    13601397)
    13611398)
    1362 *99 (CptPort
     1399*103 (CptPort
    13631400uid 118,0
    13641401ps "OnEdgeStrategy"
     
    14081445)
    14091446)
    1410 *100 (CptPort
     1447*104 (CptPort
    14111448uid 123,0
    14121449ps "OnEdgeStrategy"
     
    14401477font "Courier New,8,0"
    14411478)
    1442 xt "2000,23000,25000,23800"
    1443 st "roi_array         : OUT    roi_array_type
     1479xt "2000,23000,26000,23800"
     1480st "roi_array         : OUT    roi_array_type  ;
    14441481"
    14451482)
     
    14561493)
    14571494)
    1458 *101 (CptPort
     1495*105 (CptPort
    14591496uid 263,0
    14601497ps "OnEdgeStrategy"
     
    15031540)
    15041541)
    1505 *102 (CptPort
     1542*106 (CptPort
    15061543uid 268,0
    15071544ps "OnEdgeStrategy"
     
    15501587)
    15511588)
    1552 *103 (CptPort
     1589*107 (CptPort
    15531590uid 310,0
    15541591ps "OnEdgeStrategy"
     
    15951632)
    15961633)
    1597 *104 (CptPort
     1634*108 (CptPort
    15981635uid 346,0
    15991636ps "OnEdgeStrategy"
     
    16421679)
    16431680)
    1644 *105 (CptPort
     1681*109 (CptPort
    16451682uid 492,0
    16461683ps "OnEdgeStrategy"
     
    16891726)
    16901727)
     1728*110 (CptPort
     1729uid 528,0
     1730ps "OnEdgeStrategy"
     1731shape (Triangle
     1732uid 529,0
     1733ro 90
     1734va (VaSet
     1735vasetType 1
     1736fg "0,65535,0"
     1737)
     1738xt "67000,32625,67750,33375"
     1739)
     1740tg (CPTG
     1741uid 530,0
     1742ps "CptPortTextPlaceStrategy"
     1743stg "RightVerticalLayoutStrategy"
     1744f (Text
     1745uid 531,0
     1746va (VaSet
     1747)
     1748xt "58800,32500,66000,33500"
     1749st "drs_address : (3:0)"
     1750ju 2
     1751blo "66000,33300"
     1752tm "CptPortNameMgr"
     1753)
     1754)
     1755dt (MLText
     1756uid 532,0
     1757va (VaSet
     1758font "Courier New,8,0"
     1759)
     1760xt "2000,23800,33000,24600"
     1761st "drs_address       : OUT    std_logic_vector (3 DOWNTO 0) ;
     1762"
     1763)
     1764thePort (LogicalPort
     1765m 1
     1766decl (Decl
     1767n "drs_address"
     1768t "std_logic_vector"
     1769b "(3 DOWNTO 0)"
     1770o 17
     1771suid 24,0
     1772)
     1773)
     1774)
     1775*111 (CptPort
     1776uid 533,0
     1777ps "OnEdgeStrategy"
     1778shape (Triangle
     1779uid 534,0
     1780ro 90
     1781va (VaSet
     1782vasetType 1
     1783fg "0,65535,0"
     1784)
     1785xt "67000,33625,67750,34375"
     1786)
     1787tg (CPTG
     1788uid 535,0
     1789ps "CptPortTextPlaceStrategy"
     1790stg "RightVerticalLayoutStrategy"
     1791f (Text
     1792uid 536,0
     1793va (VaSet
     1794)
     1795xt "58800,33500,66000,34500"
     1796st "drs_address_mode"
     1797ju 2
     1798blo "66000,34300"
     1799tm "CptPortNameMgr"
     1800)
     1801)
     1802dt (MLText
     1803uid 537,0
     1804va (VaSet
     1805font "Courier New,8,0"
     1806)
     1807xt "2000,24600,22000,25400"
     1808st "drs_address_mode  : OUT    std_logic
     1809"
     1810)
     1811thePort (LogicalPort
     1812m 1
     1813decl (Decl
     1814n "drs_address_mode"
     1815t "std_logic"
     1816o 18
     1817suid 25,0
     1818)
     1819)
     1820)
    16911821]
    16921822shape (Rectangle
     
    16981828lineWidth 2
    16991829)
    1700 xt "42000,14000,67000,33000"
     1830xt "42000,14000,67000,35000"
    17011831)
    17021832oxt "42000,14000,66000,27000"
     
    17241854)
    17251855)
    1726 gi *106 (GenericInterface
     1856gi *112 (GenericInterface
    17271857uid 13,0
    17281858ps "CenterOffsetStrategy"
     
    17691899)
    17701900)
    1771 *107 (Grouping
     1901*113 (Grouping
    17721902uid 16,0
    17731903optionalChildren [
    1774 *108 (CommentText
     1904*114 (CommentText
    17751905uid 18,0
    17761906shape (Rectangle
     
    18031933titleBlock 1
    18041934)
    1805 *109 (CommentText
     1935*115 (CommentText
    18061936uid 21,0
    18071937shape (Rectangle
     
    18341964titleBlock 1
    18351965)
    1836 *110 (CommentText
     1966*116 (CommentText
    18371967uid 24,0
    18381968shape (Rectangle
     
    18651995titleBlock 1
    18661996)
    1867 *111 (CommentText
     1997*117 (CommentText
    18681998uid 27,0
    18691999shape (Rectangle
     
    18962026titleBlock 1
    18972027)
    1898 *112 (CommentText
     2028*118 (CommentText
    18992029uid 30,0
    19002030shape (Rectangle
     
    19262056titleBlock 1
    19272057)
    1928 *113 (CommentText
     2058*119 (CommentText
    19292059uid 33,0
    19302060shape (Rectangle
     
    19572087titleBlock 1
    19582088)
    1959 *114 (CommentText
     2089*120 (CommentText
    19602090uid 36,0
    19612091shape (Rectangle
     
    19892119titleBlock 1
    19902120)
    1991 *115 (CommentText
     2121*121 (CommentText
    19922122uid 39,0
    19932123shape (Rectangle
     
    20202150titleBlock 1
    20212151)
    2022 *116 (CommentText
     2152*122 (CommentText
    20232153uid 42,0
    20242154shape (Rectangle
     
    20512181titleBlock 1
    20522182)
    2053 *117 (CommentText
     2183*123 (CommentText
    20542184uid 45,0
    20552185shape (Rectangle
     
    20952225oxt "14000,66000,55000,71000"
    20962226)
    2097 *118 (CommentText
     2227*124 (CommentText
    20982228uid 134,0
    20992229shape (Rectangle
     
    21382268color "26368,26368,26368"
    21392269)
    2140 packageList *119 (PackageList
     2270packageList *125 (PackageList
    21412271uid 169,0
    21422272stg "VerticalLayoutStrategy"
    21432273textVec [
    2144 *120 (Text
     2274*126 (Text
    21452275uid 170,0
    21462276va (VaSet
     
    21512281blo "0,1800"
    21522282)
    2153 *121 (MLText
     2283*127 (MLText
    21542284uid 171,0
    21552285va (VaSet
     
    22522382)
    22532383)
    2254 gi *122 (GenericInterface
     2384gi *128 (GenericInterface
    22552385ps "CenterOffsetStrategy"
    22562386matrix (Matrix
     
    23492479)
    23502480)
    2351 DeclarativeBlock *123 (SymDeclBlock
     2481DeclarativeBlock *129 (SymDeclBlock
    23522482uid 1,0
    23532483stg "SymDeclLayoutStrategy"
     
    23752505font "Arial,8,1"
    23762506)
    2377 xt "0,23800,2400,24800"
     2507xt "0,25400,2400,26400"
    23782508st "User:"
    2379 blo "0,24600"
     2509blo "0,26200"
    23802510)
    23812511internalLabel (Text
     
    23942524font "Courier New,8,0"
    23952525)
    2396 xt "2000,24800,2000,24800"
     2526xt "2000,26400,2000,26400"
    23972527tm "SyDeclarativeTextMgr"
    23982528)
     
    24072537)
    24082538)
    2409 lastUid 504,0
     2539lastUid 547,0
    24102540activeModelName "Symbol:CDM"
    24112541)
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/control_unit/struct.bd

    r246 r252  
    6060)
    6161version "29.1"
    62 appVersion "2009.1 (Build 12)"
     62appVersion "2009.2 (Build 10)"
    6363noEmbeddedEditors 1
    6464model (BlockDiag
     
    6767(vvPair
    6868variable "HDLDir"
    69 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hdl"
     69value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    7070)
    7171(vvPair
    7272variable "HDSDir"
    73 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds"
     73value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    7474)
    7575(vvPair
    7676variable "SideDataDesignDir"
    77 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd.info"
     77value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd.info"
    7878)
    7979(vvPair
    8080variable "SideDataUserDir"
    81 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd.user"
     81value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd.user"
    8282)
    8383(vvPair
    8484variable "SourceDir"
    85 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds"
     85value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    8686)
    8787(vvPair
     
    9999(vvPair
    100100variable "d"
    101 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
     101value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
    102102)
    103103(vvPair
    104104variable "d_logical"
    105 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
     105value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
    106106)
    107107(vvPair
    108108variable "date"
    109 value "27.05.2010"
     109value "12.07.2010"
    110110)
    111111(vvPair
    112112variable "day"
    113 value "Do"
     113value "Mo"
    114114)
    115115(vvPair
    116116variable "day_long"
    117 value "Donnerstag"
     117value "Montag"
    118118)
    119119(vvPair
    120120variable "dd"
    121 value "27"
     121value "12"
    122122)
    123123(vvPair
     
    147147(vvPair
    148148variable "host"
    149 value "IHP110"
     149value "TU-CC4900F8C7D2"
    150150)
    151151(vvPair
     
    175175(vvPair
    176176variable "mm"
    177 value "05"
     177value "07"
    178178)
    179179(vvPair
     
    183183(vvPair
    184184variable "month"
    185 value "Mai"
     185value "Jul"
    186186)
    187187(vvPair
    188188variable "month_long"
    189 value "Mai"
     189value "Juli"
    190190)
    191191(vvPair
    192192variable "p"
    193 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd"
     193value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd"
    194194)
    195195(vvPair
    196196variable "p_logical"
    197 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd"
     197value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd"
    198198)
    199199(vvPair
     
    219219(vvPair
    220220variable "task_ModelSimPath"
    221 value "D:\\modeltech_6.5e\\win32"
     221value "<TBD>"
    222222)
    223223(vvPair
     
    251251(vvPair
    252252variable "time"
    253 value "10:24:05"
     253value "14:13:34"
    254254)
    255255(vvPair
     
    259259(vvPair
    260260variable "user"
    261 value "daqct3"
     261value "dneise"
    262262)
    263263(vvPair
    264264variable "version"
    265 value "2009.1 (Build 12)"
     265value "2009.2 (Build 10)"
    266266)
    267267(vvPair
     
    303303bg "0,0,32768"
    304304)
    305 xt "16200,76000,25900,77000"
     305xt "16200,76000,25500,77000"
    306306st "
    307307by %user on %dd %month %year
     
    621621font "Courier New,8,0"
    622622)
    623 xt "22000,2000,38000,2800"
    624 st "clk               : STD_LOGIC"
     623xt "29000,2200,45000,3000"
     624st "clk               : STD_LOGIC
     625"
    625626)
    626627)
     
    639640font "Courier New,8,0"
    640641)
    641 xt "22000,15000,51500,15800"
    642 st "SIGNAL ram_wren          : std_logic_VECTOR(0 DOWNTO 0)"
     642xt "29000,16800,58500,17600"
     643st "SIGNAL ram_wren          : std_logic_VECTOR(0 DOWNTO 0)
     644"
    643645)
    644646)
     
    657659font "Courier New,8,0"
    658660)
    659 xt "22000,2800,48000,3600"
    660 st "config_addr       : std_logic_vector(7 DOWNTO 0)"
     661xt "29000,3000,55000,3800"
     662st "config_addr       : std_logic_vector(7 DOWNTO 0)
     663"
    661664)
    662665)
     
    675678font "Courier New,8,0"
    676679)
    677 xt "22000,13400,52000,14200"
    678 st "SIGNAL ram_data_in       : std_logic_VECTOR(15 DOWNTO 0)"
     680xt "29000,15200,59000,16000"
     681st "SIGNAL ram_data_in       : std_logic_VECTOR(15 DOWNTO 0)
     682"
    679683)
    680684)
     
    692696font "Courier New,8,0"
    693697)
    694 xt "22000,6800,38000,7600"
    695 st "config_data_valid : std_logic"
     698xt "29000,7000,45000,7800"
     699st "config_data_valid : std_logic
     700"
    696701)
    697702)
     
    709714font "Courier New,8,0"
    710715)
    711 xt "22000,6000,38000,6800"
    712 st "config_busy       : std_logic"
     716xt "29000,6200,45000,7000"
     717st "config_busy       : std_logic
     718"
    713719)
    714720)
     
    727733font "Courier New,8,0"
    728734)
    729 xt "22000,10800,48500,11600"
    730 st "config_data       : std_logic_vector(15 DOWNTO 0)"
     735xt "29000,12600,55500,13400"
     736st "config_data       : std_logic_vector(15 DOWNTO 0)
     737"
    731738)
    732739)
     
    744751font "Courier New,8,0"
    745752)
    746 xt "22000,10000,40500,10800"
    747 st "roi_array         : roi_array_type"
     753xt "29000,11800,47500,12600"
     754st "roi_array         : roi_array_type
     755"
    748756)
    749757)
     
    762770font "Courier New,8,0"
    763771)
    764 xt "22000,12600,51500,13400"
    765 st "SIGNAL ram_addr          : std_logic_VECTOR(7 DOWNTO 0)"
     772xt "29000,14400,58500,15200"
     773st "SIGNAL ram_addr          : std_logic_VECTOR(7 DOWNTO 0)
     774"
    766775)
    767776)
     
    779788font "Courier New,8,0"
    780789)
    781 xt "22000,5200,38000,6000"
    782 st "config_wr_en      : std_logic"
     790xt "29000,5400,45000,6200"
     791st "config_wr_en      : std_logic
     792"
    783793)
    784794)
     
    797807font "Courier New,8,0"
    798808)
    799 xt "22000,14200,52000,15000"
    800 st "SIGNAL ram_data_out      : std_logic_VECTOR(15 DOWNTO 0)"
     809xt "29000,16000,59000,16800"
     810st "SIGNAL ram_data_out      : std_logic_VECTOR(15 DOWNTO 0)
     811"
    801812)
    802813)
     
    814825font "Courier New,8,0"
    815826)
    816 xt "22000,9200,40500,10000"
    817 st "dac_array         : dac_array_type"
     827xt "29000,9400,47500,10200"
     828st "dac_array         : dac_array_type
     829"
    818830)
    819831)
     
    831843font "Courier New,8,0"
    832844)
    833 xt "22000,3600,38000,4400"
    834 st "config_rd_en      : std_logic"
     845xt "29000,3800,45000,4600"
     846st "config_rd_en      : std_logic
     847"
    835848)
    836849)
     
    848861font "Courier New,8,0"
    849862)
    850 xt "22000,4400,38000,5200"
    851 st "config_start      : std_logic"
     863xt "29000,4600,45000,5400"
     864st "config_start      : std_logic
     865"
    852866)
    853867)
     
    865879font "Courier New,8,0"
    866880)
    867 xt "22000,7600,38000,8400"
    868 st "config_ready      : std_logic"
     881xt "29000,7800,45000,8600"
     882st "config_ready      : std_logic
     883"
    869884)
    870885)
     
    882897sl 0
    883898ro 270
    884 xt "3000,23625,4500,24375"
     899xt "2000,19625,3500,20375"
    885900)
    886901(Line
     
    888903sl 0
    889904ro 270
    890 xt "4500,24000,5000,24000"
     905xt "3500,20000,4000,20000"
    891906pts [
    892 "4500,24000"
    893 "5000,24000"
     907"3500,20000"
     908"4000,20000"
    894909]
    895910)
     
    906921va (VaSet
    907922)
    908 xt "700,23500,2000,24500"
     923xt "700,19500,2000,20500"
    909924st "clk"
    910925ju 2
    911 blo "2000,24300"
     926blo "2000,20300"
    912927tm "WireNameMgr"
    913928)
     
    927942sl 0
    928943ro 270
    929 xt "42500,28625,44000,29375"
     944xt "36500,28625,38000,29375"
    930945)
    931946(Line
     
    933948sl 0
    934949ro 270
    935 xt "42000,29000,42500,29000"
     950xt "36000,29000,36500,29000"
    936951pts [
    937 "42000,29000"
    938 "42500,29000"
     952"36000,29000"
     953"36500,29000"
    939954]
    940955)
     
    951966va (VaSet
    952967)
    953 xt "45000,28500,50100,29500"
     968xt "39000,28500,44100,29500"
    954969st "config_ready"
    955 blo "45000,29300"
     970blo "39000,29300"
    956971tm "WireNameMgr"
    957972)
     
    971986sl 0
    972987ro 90
    973 xt "42500,29625,44000,30375"
     988xt "36500,29625,38000,30375"
    974989)
    975990(Line
     
    977992sl 0
    978993ro 90
    979 xt "42000,30000,42500,30000"
     994xt "36000,30000,36500,30000"
    980995pts [
    981 "42500,30000"
    982 "42000,30000"
     996"36500,30000"
     997"36000,30000"
    983998]
    984999)
     
    9951010va (VaSet
    9961011)
    997 xt "45000,29500,49800,30500"
     1012xt "39000,29500,43800,30500"
    9981013st "config_start"
    999 blo "45000,30300"
     1014blo "39000,30300"
    10001015tm "WireNameMgr"
    10011016)
     
    10141029uid 381,0
    10151030sl 0
    1016 xt "42500,24625,44000,25375"
     1031xt "36500,24625,38000,25375"
    10171032)
    10181033(Line
    10191034uid 382,0
    10201035sl 0
    1021 xt "42000,25000,42500,25000"
     1036xt "36000,25000,36500,25000"
    10221037pts [
    1023 "42000,25000"
    1024 "42500,25000"
     1038"36000,25000"
     1039"36500,25000"
    10251040]
    10261041)
     
    10371052va (VaSet
    10381053)
    1039 xt "45000,24500,49700,25500"
     1054xt "39000,24500,43700,25500"
    10401055st "config_data"
    1041 blo "45000,25300"
     1056blo "39000,25300"
    10421057tm "WireNameMgr"
    10431058)
     
    10571072sl 0
    10581073ro 90
    1059 xt "42500,23625,44000,24375"
     1074xt "36500,23625,38000,24375"
    10601075)
    10611076(Line
     
    10631078sl 0
    10641079ro 90
    1065 xt "42000,24000,42500,24000"
     1080xt "36000,24000,36500,24000"
    10661081pts [
    1067 "42500,24000"
    1068 "42000,24000"
     1082"36500,24000"
     1083"36000,24000"
    10691084]
    10701085)
     
    10811096va (VaSet
    10821097)
    1083 xt "45000,23500,49800,24500"
     1098xt "39000,23500,43800,24500"
    10841099st "config_addr"
    1085 blo "45000,24300"
     1100blo "39000,24300"
    10861101tm "WireNameMgr"
    10871102)
     
    11011116sl 0
    11021117ro 90
    1103 xt "42500,26625,44000,27375"
     1118xt "36500,26625,38000,27375"
    11041119)
    11051120(Line
     
    11071122sl 0
    11081123ro 90
    1109 xt "42000,27000,42500,27000"
     1124xt "36000,27000,36500,27000"
    11101125pts [
    1111 "42500,27000"
    1112 "42000,27000"
     1126"36500,27000"
     1127"36000,27000"
    11131128]
    11141129)
     
    11251140va (VaSet
    11261141)
    1127 xt "45000,26500,50300,27500"
     1142xt "39000,26500,44300,27500"
    11281143st "config_wr_en"
    1129 blo "45000,27300"
     1144blo "39000,27300"
    11301145tm "WireNameMgr"
    11311146)
     
    11451160sl 0
    11461161ro 90
    1147 xt "42500,27625,44000,28375"
     1162xt "36500,27625,38000,28375"
    11481163)
    11491164(Line
     
    11511166sl 0
    11521167ro 90
    1153 xt "42000,28000,42500,28000"
     1168xt "36000,28000,36500,28000"
    11541169pts [
    1155 "42500,28000"
    1156 "42000,28000"
     1170"36500,28000"
     1171"36000,28000"
    11571172]
    11581173)
     
    11691184va (VaSet
    11701185)
    1171 xt "45000,27500,50200,28500"
     1186xt "39000,27500,44200,28500"
    11721187st "config_rd_en"
    1173 blo "45000,28300"
     1188blo "39000,28300"
    11741189tm "WireNameMgr"
    11751190)
     
    11891204sl 0
    11901205ro 270
    1191 xt "42500,33625,44000,34375"
     1206xt "36500,33625,38000,34375"
    11921207)
    11931208(Line
     
    11951210sl 0
    11961211ro 270
    1197 xt "42000,34000,42500,34000"
     1212xt "36000,34000,36500,34000"
    11981213pts [
    1199 "42000,34000"
    1200 "42500,34000"
     1214"36000,34000"
     1215"36500,34000"
    12011216]
    12021217)
     
    12131228va (VaSet
    12141229)
    1215 xt "45000,33500,48700,34500"
     1230xt "39000,33500,42700,34500"
    12161231st "dac_array"
    1217 blo "45000,34300"
     1232blo "39000,34300"
    12181233tm "WireNameMgr"
    12191234)
     
    12331248sl 0
    12341249ro 270
    1235 xt "42500,34625,44000,35375"
     1250xt "36500,34625,38000,35375"
    12361251)
    12371252(Line
     
    12391254sl 0
    12401255ro 270
    1241 xt "42000,35000,42500,35000"
     1256xt "36000,35000,36500,35000"
    12421257pts [
    1243 "42000,35000"
    1244 "42500,35000"
     1258"36000,35000"
     1259"36500,35000"
    12451260]
    12461261)
     
    12571272va (VaSet
    12581273)
    1259 xt "45000,34500,48400,35500"
     1274xt "39000,34500,42400,35500"
    12601275st "roi_array"
    1261 blo "45000,35300"
     1276blo "39000,35300"
    12621277tm "WireNameMgr"
    12631278)
     
    12771292sl 0
    12781293ro 270
    1279 xt "42500,31625,44000,32375"
     1294xt "36500,31625,38000,32375"
    12801295)
    12811296(Line
     
    12831298sl 0
    12841299ro 270
    1285 xt "42000,32000,42500,32000"
     1300xt "36000,32000,36500,32000"
    12861301pts [
    1287 "42000,32000"
    1288 "42500,32000"
     1302"36000,32000"
     1303"36500,32000"
    12891304]
    12901305)
     
    13011316va (VaSet
    13021317)
    1303 xt "45000,31500,51600,32500"
     1318xt "39000,31500,45600,32500"
    13041319st "config_data_valid"
    1305 blo "45000,32300"
     1320blo "39000,32300"
    13061321tm "WireNameMgr"
    13071322)
     
    13211336sl 0
    13221337ro 270
    1323 xt "42500,32625,44000,33375"
     1338xt "36500,32625,38000,33375"
    13241339)
    13251340(Line
     
    13271342sl 0
    13281343ro 270
    1329 xt "42000,33000,42500,33000"
     1344xt "36000,33000,36500,33000"
    13301345pts [
    1331 "42000,33000"
    1332 "42500,33000"
     1346"36000,33000"
     1347"36500,33000"
    13331348]
    13341349)
     
    13451360va (VaSet
    13461361)
    1347 xt "45000,32500,49800,33500"
     1362xt "39000,32500,43800,33500"
    13481363st "config_busy"
    1349 blo "45000,33300"
     1364blo "39000,33300"
    13501365tm "WireNameMgr"
    13511366)
     
    13651380fg "0,65535,0"
    13661381)
    1367 xt "12250,23625,13000,24375"
     1382xt "6250,23625,7000,24375"
    13681383)
    13691384tg (CPTG
     
    13751390va (VaSet
    13761391)
    1377 xt "14000,23500,15300,24500"
     1392xt "8000,23500,9300,24500"
    13781393st "clk"
    1379 blo "14000,24300"
     1394blo "8000,24300"
    13801395)
    13811396)
     
    14011416fg "0,65535,0"
    14021417)
    1403 xt "38000,28625,38750,29375"
     1418xt "32000,28625,32750,29375"
    14041419)
    14051420tg (CPTG
     
    14111426va (VaSet
    14121427)
    1413 xt "31900,28500,37000,29500"
     1428xt "25900,28500,31000,29500"
    14141429st "config_ready"
    14151430ju 2
    1416 blo "37000,29300"
     1431blo "31000,29300"
    14171432)
    14181433)
     
    14401455fg "0,65535,0"
    14411456)
    1442 xt "38000,29625,38750,30375"
     1457xt "32000,29625,32750,30375"
    14431458)
    14441459tg (CPTG
     
    14501465va (VaSet
    14511466)
    1452 xt "32200,29500,37000,30500"
     1467xt "26200,29500,31000,30500"
    14531468st "config_start"
    14541469ju 2
    1455 blo "37000,30300"
     1470blo "31000,30300"
    14561471)
    14571472)
     
    14771492fg "0,65535,0"
    14781493)
    1479 xt "38000,24625,38750,25375"
     1494xt "32000,24625,32750,25375"
    14801495)
    14811496tg (CPTG
     
    14871502va (VaSet
    14881503)
    1489 xt "29300,24500,37000,25500"
     1504xt "23300,24500,31000,25500"
    14901505st "config_data : (15:0)"
    14911506ju 2
    1492 blo "37000,25300"
     1507blo "31000,25300"
    14931508)
    14941509)
     
    15171532fg "0,65535,0"
    15181533)
    1519 xt "38000,23625,38750,24375"
     1534xt "32000,23625,32750,24375"
    15201535)
    15211536tg (CPTG
     
    15271542va (VaSet
    15281543)
    1529 xt "23600,23500,37000,24500"
     1544xt "17600,23500,31000,24500"
    15301545st "config_addr : (ADDR_WIDTH - 1:0)"
    15311546ju 2
    1532 blo "37000,24300"
     1547blo "31000,24300"
    15331548)
    15341549)
     
    15551570fg "0,65535,0"
    15561571)
    1557 xt "38000,26625,38750,27375"
     1572xt "32000,26625,32750,27375"
    15581573)
    15591574tg (CPTG
     
    15651580va (VaSet
    15661581)
    1567 xt "31700,26500,37000,27500"
     1582xt "25700,26500,31000,27500"
    15681583st "config_wr_en"
    15691584ju 2
    1570 blo "37000,27300"
     1585blo "31000,27300"
    15711586)
    15721587)
     
    15921607fg "0,65535,0"
    15931608)
    1594 xt "38000,27625,38750,28375"
     1609xt "32000,27625,32750,28375"
    15951610)
    15961611tg (CPTG
     
    16021617va (VaSet
    16031618)
    1604 xt "31800,27500,37000,28500"
     1619xt "25800,27500,31000,28500"
    16051620st "config_rd_en"
    16061621ju 2
    1607 blo "37000,28300"
     1622blo "31000,28300"
    16081623)
    16091624)
     
    16291644fg "0,65535,0"
    16301645)
    1631 xt "38000,31625,38750,32375"
     1646xt "32000,31625,32750,32375"
    16321647)
    16331648tg (CPTG
     
    16391654va (VaSet
    16401655)
    1641 xt "30400,31500,37000,32500"
     1656xt "24400,31500,31000,32500"
    16421657st "config_data_valid"
    16431658ju 2
    1644 blo "37000,32300"
     1659blo "31000,32300"
    16451660)
    16461661)
     
    16681683fg "0,65535,0"
    16691684)
    1670 xt "38000,32625,38750,33375"
     1685xt "32000,32625,32750,33375"
    16711686)
    16721687tg (CPTG
     
    16781693va (VaSet
    16791694)
    1680 xt "32200,32500,37000,33500"
     1695xt "26200,32500,31000,33500"
    16811696st "config_busy"
    16821697ju 2
    1683 blo "37000,33300"
     1698blo "31000,33300"
    16841699)
    16851700)
     
    17071722fg "0,65535,0"
    17081723)
    1709 xt "38000,33625,38750,34375"
     1724xt "32000,33625,32750,34375"
    17101725)
    17111726tg (CPTG
     
    17171732va (VaSet
    17181733)
    1719 xt "33300,33500,37000,34500"
     1734xt "27300,33500,31000,34500"
    17201735st "dac_array"
    17211736ju 2
    1722 blo "37000,34300"
     1737blo "31000,34300"
    17231738)
    17241739)
     
    17451760fg "0,65535,0"
    17461761)
    1747 xt "38000,34625,38750,35375"
     1762xt "32000,34625,32750,35375"
    17481763)
    17491764tg (CPTG
     
    17551770va (VaSet
    17561771)
    1757 xt "33600,34500,37000,35500"
     1772xt "27600,34500,31000,35500"
    17581773st "roi_array"
    17591774ju 2
    1760 blo "37000,35300"
     1775blo "31000,35300"
    17611776)
    17621777)
     
    17831798fg "0,65535,0"
    17841799)
    1785 xt "38000,37625,38750,38375"
     1800xt "32000,37625,32750,38375"
    17861801)
    17871802tg (CPTG
     
    17931808va (VaSet
    17941809)
    1795 xt "29100,37500,37000,38500"
     1810xt "23100,37500,31000,38500"
    17961811st "ram_data_in : (15:0)"
    17971812ju 2
    1798 blo "37000,38300"
     1813blo "31000,38300"
    17991814)
    18001815)
     
    18201835fg "0,65535,0"
    18211836)
    1822 xt "38000,38625,38750,39375"
     1837xt "32000,38625,32750,39375"
    18231838)
    18241839tg (CPTG
     
    18301845va (VaSet
    18311846)
    1832 xt "29100,38500,37000,39500"
     1847xt "23100,38500,31000,39500"
    18331848st "ram_write_en : (0:0)"
    18341849ju 2
    1835 blo "37000,39300"
     1850blo "31000,39300"
    18361851)
    18371852)
     
    18571872fg "0,65535,0"
    18581873)
    1859 xt "12250,24625,13000,25375"
     1874xt "6250,38625,7000,39375"
    18601875)
    18611876tg (CPTG
     
    18671882va (VaSet
    18681883)
    1869 xt "14000,24500,22300,25500"
     1884xt "8000,38500,16300,39500"
    18701885st "ram_data_out : (15:0)"
    1871 blo "14000,25300"
     1886blo "8000,39300"
    18721887)
    18731888)
     
    18921907fg "0,65535,0"
    18931908)
    1894 xt "38000,39625,38750,40375"
     1909xt "32000,39625,32750,40375"
    18951910)
    18961911tg (CPTG
     
    19021917va (VaSet
    19031918)
    1904 xt "24400,39500,37000,40500"
     1919xt "18400,39500,31000,40500"
    19051920st "ram_addr : (ADDR_WIDTH - 1:0)"
    19061921ju 2
    1907 blo "37000,40300"
     1922blo "31000,40300"
    19081923)
    19091924)
     
    19291944fg "0,65535,0"
    19301945)
    1931 xt "38000,30625,38750,31375"
     1946xt "32000,30625,32750,31375"
    19321947)
    19331948tg (CPTG
     
    19391954va (VaSet
    19401955)
    1941 xt "31400,30500,37000,31500"
     1956xt "25400,30500,31000,31500"
    19421957st "config_started"
    19431958ju 2
    1944 blo "37000,31300"
     1959blo "31000,31300"
    19451960)
    19461961)
     
    19561971)
    19571972)
     1973*55 (CptPort
     1974uid 1198,0
     1975ps "OnEdgeStrategy"
     1976shape (Triangle
     1977uid 1199,0
     1978ro 90
     1979va (VaSet
     1980vasetType 1
     1981fg "0,65535,0"
     1982)
     1983xt "32000,21625,32750,22375"
     1984)
     1985tg (CPTG
     1986uid 1200,0
     1987ps "CptPortTextPlaceStrategy"
     1988stg "RightVerticalLayoutStrategy"
     1989f (Text
     1990uid 1201,0
     1991va (VaSet
     1992)
     1993xt "23800,21500,31000,22500"
     1994st "drs_address : (3:0)"
     1995ju 2
     1996blo "31000,22300"
     1997)
     1998)
     1999thePort (LogicalPort
     2000m 1
     2001decl (Decl
     2002n "drs_address"
     2003t "std_logic_vector"
     2004b "(3 DOWNTO 0)"
     2005o 17
     2006suid 24,0
     2007)
     2008)
     2009)
     2010*56 (CptPort
     2011uid 1202,0
     2012ps "OnEdgeStrategy"
     2013shape (Triangle
     2014uid 1203,0
     2015ro 90
     2016va (VaSet
     2017vasetType 1
     2018fg "0,65535,0"
     2019)
     2020xt "32000,20625,32750,21375"
     2021)
     2022tg (CPTG
     2023uid 1204,0
     2024ps "CptPortTextPlaceStrategy"
     2025stg "RightVerticalLayoutStrategy"
     2026f (Text
     2027uid 1205,0
     2028va (VaSet
     2029)
     2030xt "23800,20500,31000,21500"
     2031st "drs_address_mode"
     2032ju 2
     2033blo "31000,21300"
     2034)
     2035)
     2036thePort (LogicalPort
     2037m 1
     2038decl (Decl
     2039n "drs_address_mode"
     2040t "std_logic"
     2041o 18
     2042suid 25,0
     2043)
     2044)
     2045)
    19582046]
    19592047shape (Rectangle
     
    19652053lineWidth 2
    19662054)
    1967 xt "13000,23000,38000,42000"
     2055xt "7000,20000,32000,41000"
    19682056)
    19692057oxt "42000,14000,67000,32000"
     
    19732061stg "VerticalLayoutStrategy"
    19742062textVec [
    1975 *55 (Text
     2063*57 (Text
    19762064uid 963,0
    19772065va (VaSet
    19782066font "Arial,8,1"
    19792067)
    1980 xt "12950,42000,19150,43000"
     2068xt "6950,42000,13150,43000"
    19812069st "FACT_FAD_lib"
    1982 blo "12950,42800"
     2070blo "6950,42800"
    19832071tm "BdLibraryNameMgr"
    19842072)
    1985 *56 (Text
     2073*58 (Text
    19862074uid 964,0
    19872075va (VaSet
    19882076font "Arial,8,1"
    19892077)
    1990 xt "12950,43000,20050,44000"
     2078xt "6950,43000,14050,44000"
    19912079st "control_manager"
    1992 blo "12950,43800"
     2080blo "6950,43800"
    19932081tm "CptNameMgr"
    19942082)
    1995 *57 (Text
     2083*59 (Text
    19962084uid 965,0
    19972085va (VaSet
    19982086font "Arial,8,1"
    19992087)
    2000 xt "12950,44000,20650,45000"
     2088xt "6950,44000,14650,45000"
    20012089st "I_control_manager"
    2002 blo "12950,44800"
     2090blo "6950,44800"
    20032091tm "InstanceNameMgr"
    20042092)
     
    20152103font "Courier New,8,0"
    20162104)
    2017 xt "12500,10600,30000,13000"
     2105xt "10000,17600,27500,20000"
    20182106st "NO_OF_ROI  = 36    ( integer ) 
    20192107NO_OF_DAC  = 8     ( integer ) 
     
    20472135fg "49152,49152,49152"
    20482136)
    2049 xt "13250,40250,14750,41750"
     2137xt "7250,39250,8750,40750"
    20502138iconName "VhdlFileViewIcon.png"
    20512139iconMaskName "VhdlFileViewIcon.msk"
     
    20582146archFileType "UNKNOWN"
    20592147)
    2060 *58 (SaComponent
     2148*60 (SaComponent
    20612149uid 993,0
    20622150optionalChildren [
    2063 *59 (CptPort
     2151*61 (CptPort
    20642152uid 970,0
    20652153ps "OnEdgeStrategy"
    20662154shape (Triangle
    20672155uid 971,0
    2068 ro 90
     2156ro 180
    20692157va (VaSet
    20702158vasetType 1
    20712159fg "0,65535,0"
    20722160)
    2073 xt "19250,50625,20000,51375"
     2161xt "42625,41250,43375,42000"
    20742162)
    20752163tg (CPTG
    20762164uid 972,0
    20772165ps "CptPortTextPlaceStrategy"
    2078 stg "VerticalLayoutStrategy"
     2166stg "RightVerticalLayoutStrategy"
    20792167f (Text
    20802168uid 973,0
    2081 va (VaSet
    2082 )
    2083 xt "21000,50500,22700,51500"
     2169ro 270
     2170va (VaSet
     2171)
     2172xt "42500,43000,43500,44700"
    20842173st "clka"
    2085 blo "21000,51300"
     2174ju 2
     2175blo "43300,43000"
    20862176)
    20872177)
     
    20972187)
    20982188)
    2099 *60 (CptPort
     2189*62 (CptPort
    21002190uid 974,0
    21012191ps "OnEdgeStrategy"
     
    21072197fg "0,65535,0"
    21082198)
    2109 xt "19250,52625,20000,53375"
     2199xt "39250,45625,40000,46375"
    21102200)
    21112201tg (CPTG
     
    21172207va (VaSet
    21182208)
    2119 xt "21000,52500,25800,53500"
     2209xt "41000,45500,45800,46500"
    21202210st "dina : (15:0)"
    2121 blo "21000,53300"
     2211blo "41000,46300"
    21222212)
    21232213)
     
    21342224)
    21352225)
    2136 *61 (CptPort
     2226*63 (CptPort
    21372227uid 978,0
    21382228ps "OnEdgeStrategy"
     
    21442234fg "0,65535,0"
    21452235)
    2146 xt "19250,54625,20000,55375"
     2236xt "39250,47625,40000,48375"
    21472237)
    21482238tg (CPTG
     
    21542244va (VaSet
    21552245)
    2156 xt "21000,54500,25900,55500"
     2246xt "41000,47500,45900,48500"
    21572247st "addra : (7:0)"
    2158 blo "21000,55300"
     2248blo "41000,48300"
    21592249)
    21602250)
     
    21712261)
    21722262)
    2173 *62 (CptPort
     2263*64 (CptPort
    21742264uid 982,0
    21752265ps "OnEdgeStrategy"
     
    21812271fg "0,65535,0"
    21822272)
    2183 xt "19250,53625,20000,54375"
     2273xt "39250,46625,40000,47375"
    21842274)
    21852275tg (CPTG
     
    21912281va (VaSet
    21922282)
    2193 xt "21000,53500,25300,54500"
     2283xt "41000,46500,45300,47500"
    21942284st "wea : (0:0)"
    2195 blo "21000,54300"
     2285blo "41000,47300"
    21962286)
    21972287)
     
    22082298)
    22092299)
    2210 *63 (CptPort
     2300*65 (CptPort
    22112301uid 986,0
    22122302ps "OnEdgeStrategy"
     
    22182308fg "0,65535,0"
    22192309)
    2220 xt "30000,50625,30750,51375"
     2310xt "50000,43625,50750,44375"
    22212311)
    22222312tg (CPTG
     
    22282318va (VaSet
    22292319)
    2230 xt "23800,50500,29000,51500"
     2320xt "43800,43500,49000,44500"
    22312321st "douta : (15:0)"
    22322322ju 2
    2233 blo "29000,51300"
     2323blo "49000,44300"
    22342324)
    22352325)
     
    22562346lineWidth 2
    22572347)
    2258 xt "20000,49000,30000,59000"
     2348xt "40000,42000,50000,52000"
    22592349)
    22602350oxt "30000,7000,40000,17000"
     
    22642354stg "VerticalLayoutStrategy"
    22652355textVec [
    2266 *64 (Text
     2356*66 (Text
    22672357uid 996,0
    22682358va (VaSet
    22692359font "Arial,8,1"
    22702360)
    2271 xt "20200,59000,26400,60000"
     2361xt "40200,52000,46400,53000"
    22722362st "FACT_FAD_lib"
    2273 blo "20200,59800"
     2363blo "40200,52800"
    22742364tm "BdLibraryNameMgr"
    22752365)
    2276 *65 (Text
     2366*67 (Text
    22772367uid 997,0
    22782368va (VaSet
    22792369font "Arial,8,1"
    22802370)
    2281 xt "20200,60000,30100,61000"
     2371xt "40200,53000,50100,54000"
    22822372st "controlRAM_16bit_x256"
    2283 blo "20200,60800"
     2373blo "40200,53800"
    22842374tm "CptNameMgr"
    22852375)
    2286 *66 (Text
     2376*68 (Text
    22872377uid 998,0
    22882378va (VaSet
    22892379font "Arial,8,1"
    22902380)
    2291 xt "20200,61000,26100,62000"
     2381xt "40200,54000,46100,55000"
    22922382st "I_control_ram"
    2293 blo "20200,61800"
     2383blo "40200,54800"
    22942384tm "InstanceNameMgr"
    22952385)
     
    23062396font "Courier New,8,0"
    23072397)
    2308 xt "19500,48000,19500,48000"
     2398xt "39500,41000,39500,41000"
    23092399)
    23102400header ""
     
    23202410fg "49152,49152,49152"
    23212411)
    2322 xt "20250,57250,21750,58750"
     2412xt "40250,50250,41750,51750"
    23232413iconName "VhdlFileViewIcon.png"
    23242414iconMaskName "VhdlFileViewIcon.msk"
     
    23322422archFileType "UNKNOWN"
    23332423)
    2334 *67 (Net
     2424*69 (Net
    23352425uid 1082,0
    23362426decl (Decl
     
    23462436font "Courier New,8,0"
    23472437)
    2348 xt "22000,8400,41500,9200"
    2349 st "config_started    : std_logic := '0'"
    2350 )
    2351 )
    2352 *68 (PortIoOut
     2438xt "29000,8600,48500,9400"
     2439st "config_started    : std_logic := '0'
     2440"
     2441)
     2442)
     2443*70 (PortIoOut
    23532444uid 1090,0
    23542445shape (CompositeShape
     
    23632454sl 0
    23642455ro 270
    2365 xt "42500,30625,44000,31375"
     2456xt "36500,30625,38000,31375"
    23662457)
    23672458(Line
     
    23692460sl 0
    23702461ro 270
    2371 xt "42000,31000,42500,31000"
     2462xt "36000,31000,36500,31000"
    23722463pts [
    2373 "42000,31000"
    2374 "42500,31000"
     2464"36000,31000"
     2465"36500,31000"
    23752466]
    23762467)
     
    23872478va (VaSet
    23882479)
    2389 xt "45000,30500,50600,31500"
     2480xt "39000,30500,44600,31500"
    23902481st "config_started"
    2391 blo "45000,31300"
     2482blo "39000,31300"
    23922483tm "WireNameMgr"
    23932484)
    23942485)
    23952486)
    2396 *69 (Wire
     2487*71 (Net
     2488uid 1206,0
     2489decl (Decl
     2490n "drs_address"
     2491t "std_logic_vector"
     2492b "(3 DOWNTO 0)"
     2493o 17
     2494suid 19,0
     2495)
     2496declText (MLText
     2497uid 1207,0
     2498va (VaSet
     2499font "Courier New,8,0"
     2500)
     2501xt "29000,10200,55000,11000"
     2502st "drs_address       : std_logic_vector(3 DOWNTO 0)
     2503"
     2504)
     2505)
     2506*72 (PortIoOut
     2507uid 1214,0
     2508shape (CompositeShape
     2509uid 1215,0
     2510va (VaSet
     2511vasetType 1
     2512fg "0,0,32768"
     2513)
     2514optionalChildren [
     2515(Pentagon
     2516uid 1216,0
     2517sl 0
     2518ro 270
     2519xt "36500,21625,38000,22375"
     2520)
     2521(Line
     2522uid 1217,0
     2523sl 0
     2524ro 270
     2525xt "36000,22000,36500,22000"
     2526pts [
     2527"36000,22000"
     2528"36500,22000"
     2529]
     2530)
     2531]
     2532)
     2533stc 0
     2534sf 1
     2535tg (WTG
     2536uid 1218,0
     2537ps "PortIoTextPlaceStrategy"
     2538stg "STSignalDisplayStrategy"
     2539f (Text
     2540uid 1219,0
     2541va (VaSet
     2542)
     2543xt "39000,21500,44000,22500"
     2544st "drs_address"
     2545blo "39000,22300"
     2546tm "WireNameMgr"
     2547)
     2548)
     2549)
     2550*73 (Net
     2551uid 1220,0
     2552decl (Decl
     2553n "drs_address_mode"
     2554t "std_logic"
     2555o 18
     2556suid 20,0
     2557)
     2558declText (MLText
     2559uid 1221,0
     2560va (VaSet
     2561font "Courier New,8,0"
     2562)
     2563xt "29000,11000,45000,11800"
     2564st "drs_address_mode  : std_logic
     2565"
     2566)
     2567)
     2568*74 (PortIoOut
     2569uid 1228,0
     2570shape (CompositeShape
     2571uid 1229,0
     2572va (VaSet
     2573vasetType 1
     2574fg "0,0,32768"
     2575)
     2576optionalChildren [
     2577(Pentagon
     2578uid 1230,0
     2579sl 0
     2580ro 270
     2581xt "36500,20625,38000,21375"
     2582)
     2583(Line
     2584uid 1231,0
     2585sl 0
     2586ro 270
     2587xt "36000,21000,36500,21000"
     2588pts [
     2589"36000,21000"
     2590"36500,21000"
     2591]
     2592)
     2593]
     2594)
     2595stc 0
     2596sf 1
     2597tg (WTG
     2598uid 1232,0
     2599ps "PortIoTextPlaceStrategy"
     2600stg "STSignalDisplayStrategy"
     2601f (Text
     2602uid 1233,0
     2603va (VaSet
     2604)
     2605xt "39000,20500,46200,21500"
     2606st "drs_address_mode"
     2607blo "39000,21300"
     2608tm "WireNameMgr"
     2609)
     2610)
     2611)
     2612*75 (Wire
    23972613uid 227,0
    23982614shape (OrthoPolyLine
     
    24022618lineWidth 2
    24032619)
    2404 xt "38750,24000,42000,24000"
     2620xt "32750,24000,36000,24000"
    24052621pts [
    2406 "42000,24000"
    2407 "40000,24000"
    2408 "38750,24000"
     2622"36000,24000"
     2623"32750,24000"
    24092624]
    24102625)
     
    24272642isHidden 1
    24282643)
    2429 xt "45000,23000,49800,24000"
     2644xt "39000,23000,43800,24000"
    24302645st "config_addr"
    2431 blo "45000,23800"
     2646blo "39000,23800"
    24322647tm "WireNameMgr"
    24332648)
     
    24352650on &14
    24362651)
    2437 *70 (Wire
     2652*76 (Wire
    24382653uid 233,0
    24392654shape (OrthoPolyLine
     
    24432658lineWidth 2
    24442659)
    2445 xt "13000,39000,43000,54000"
     2660xt "32750,39000,39250,47000"
    24462661pts [
    2447 "19250,54000"
    2448 "13000,54000"
    2449 "13000,47000"
    2450 "43000,47000"
    2451 "43000,39000"
    2452 "38750,39000"
    2453 ]
    2454 )
    2455 start &62
     2662"39250,47000"
     2663"34000,47000"
     2664"34000,39000"
     2665"32750,39000"
     2666]
     2667)
     2668start &64
    24562669end &51
    24572670sat 32
     
    24692682va (VaSet
    24702683)
    2471 xt "23000,46000,29300,47000"
     2684xt "34000,46000,40300,47000"
    24722685st "ram_wren : (0:0)"
    2473 blo "23000,46800"
     2686blo "34000,46800"
    24742687tm "WireNameMgr"
    24752688)
     
    24772690on &13
    24782691)
    2479 *71 (Wire
     2692*77 (Wire
    24802693uid 237,0
    24812694shape (OrthoPolyLine
     
    24852698lineWidth 2
    24862699)
    2487 xt "14000,38000,44000,53000"
     2700xt "32750,38000,39250,46000"
    24882701pts [
    2489 "19250,53000"
    2490 "14000,53000"
    2491 "14000,48000"
    2492 "44000,48000"
    2493 "44000,38000"
    2494 "38750,38000"
    2495 ]
    2496 )
    2497 start &60
     2702"39250,46000"
     2703"35000,46000"
     2704"35000,38000"
     2705"32750,38000"
     2706]
     2707)
     2708start &62
    24982709end &50
    24992710sat 32
     
    25112722va (VaSet
    25122723)
    2513 xt "23000,47000,30900,48000"
     2724xt "33000,37000,40900,38000"
    25142725st "ram_data_in : (15:0)"
    2515 blo "23000,47800"
     2726blo "33000,37800"
    25162727tm "WireNameMgr"
    25172728)
     
    25192730on &15
    25202731)
    2521 *72 (Wire
     2732*78 (Wire
    25222733uid 241,0
    25232734shape (OrthoPolyLine
     
    25262737vasetType 3
    25272738)
    2528 xt "5000,24000,12250,24000"
     2739xt "4000,20000,6250,24000"
    25292740pts [
     2741"4000,20000"
     2742"5000,20000"
    25302743"5000,24000"
    2531 "12250,24000"
     2744"6250,24000"
    25322745]
    25332746)
     
    25492762isHidden 1
    25502763)
    2551 xt "7000,23000,8300,24000"
     2764xt "6000,19000,7300,20000"
    25522765st "clk"
    2553 blo "7000,23800"
     2766blo "6000,19800"
    25542767tm "WireNameMgr"
    25552768)
     
    25572770on &12
    25582771)
    2559 *73 (Wire
     2772*79 (Wire
    25602773uid 255,0
    25612774shape (OrthoPolyLine
     
    25642777vasetType 3
    25652778)
    2566 xt "38750,32000,42000,32000"
     2779xt "32750,32000,36000,32000"
    25672780pts [
    2568 "38750,32000"
    2569 "42000,32000"
     2781"32750,32000"
     2782"36000,32000"
    25702783]
    25712784)
     
    25872800isHidden 1
    25882801)
    2589 xt "45000,30000,51600,31000"
     2802xt "39000,30000,45600,31000"
    25902803st "config_data_valid"
    2591 blo "45000,30800"
     2804blo "39000,30800"
    25922805tm "WireNameMgr"
    25932806)
     
    25952808on &16
    25962809)
    2597 *74 (Wire
     2810*80 (Wire
    25982811uid 261,0
    25992812shape (OrthoPolyLine
     
    26022815vasetType 3
    26032816)
    2604 xt "38750,33000,42000,33000"
     2817xt "32750,33000,36000,33000"
    26052818pts [
    2606 "38750,33000"
    2607 "42000,33000"
     2819"32750,33000"
     2820"36000,33000"
    26082821]
    26092822)
     
    26252838isHidden 1
    26262839)
    2627 xt "45000,31000,49800,32000"
     2840xt "39000,31000,43800,32000"
    26282841st "config_busy"
    2629 blo "45000,31800"
     2842blo "39000,31800"
    26302843tm "WireNameMgr"
    26312844)
     
    26332846on &17
    26342847)
    2635 *75 (Wire
     2848*81 (Wire
    26362849uid 267,0
    26372850shape (OrthoPolyLine
     
    26412854lineWidth 2
    26422855)
    2643 xt "38750,25000,42000,25000"
     2856xt "32750,25000,36000,25000"
    26442857pts [
    2645 "42000,25000"
    2646 "40000,25000"
    2647 "38750,25000"
     2858"36000,25000"
     2859"32750,25000"
    26482860]
    26492861)
     
    26662878isHidden 1
    26672879)
    2668 xt "45000,24000,49700,25000"
     2880xt "39000,24000,43700,25000"
    26692881st "config_data"
    2670 blo "45000,24800"
     2882blo "39000,24800"
    26712883tm "WireNameMgr"
    26722884)
     
    26742886on &18
    26752887)
    2676 *76 (Wire
     2888*82 (Wire
    26772889uid 273,0
    26782890shape (OrthoPolyLine
     
    26812893vasetType 3
    26822894)
    2683 xt "38750,35000,42000,35000"
     2895xt "32750,35000,36000,35000"
    26842896pts [
    2685 "38750,35000"
    2686 "40000,35000"
    2687 "42000,35000"
     2897"32750,35000"
     2898"36000,35000"
    26882899]
    26892900)
     
    27052916isHidden 1
    27062917)
    2707 xt "45000,34000,48400,35000"
     2918xt "39000,34000,42400,35000"
    27082919st "roi_array"
    2709 blo "45000,34800"
     2920blo "39000,34800"
    27102921tm "WireNameMgr"
    27112922)
     
    27132924on &19
    27142925)
    2715 *77 (Wire
     2926*83 (Wire
    27162927uid 279,0
    27172928shape (OrthoPolyLine
     
    27202931vasetType 3
    27212932)
    2722 xt "17000,51000,19250,51000"
     2933xt "43000,38000,43000,41250"
    27232934pts [
    2724 "17000,51000"
    2725 "19250,51000"
    2726 ]
    2727 )
    2728 end &59
     2935"43000,38000"
     2936"43000,41250"
     2937]
     2938)
     2939end &61
    27292940sat 16
    27302941eat 32
     
    27402951va (VaSet
    27412952)
    2742 xt "18000,50000,19300,51000"
     2953xt "44000,37000,45300,38000"
    27432954st "clk"
    2744 blo "18000,50800"
     2955blo "44000,37800"
    27452956tm "WireNameMgr"
    27462957)
     
    27482959on &12
    27492960)
    2750 *78 (Wire
     2961*84 (Wire
    27512962uid 285,0
    27522963shape (OrthoPolyLine
     
    27562967lineWidth 2
    27572968)
    2758 xt "12000,40000,42000,55000"
     2969xt "32750,40000,39250,48000"
    27592970pts [
    2760 "38750,40000"
    2761 "42000,40000"
    2762 "42000,46000"
    2763 "12000,46000"
    2764 "12000,55000"
    2765 "19250,55000"
     2971"32750,40000"
     2972"33000,40000"
     2973"33000,48000"
     2974"39250,48000"
    27662975]
    27672976)
    27682977start &53
    2769 end &61
     2978end &63
    27702979sat 32
    27712980eat 32
     
    27822991va (VaSet
    27832992)
    2784 xt "23000,45000,29200,46000"
     2993xt "33000,47000,39200,48000"
    27852994st "ram_addr : (7:0)"
    2786 blo "23000,45800"
     2995blo "33000,47800"
    27872996tm "WireNameMgr"
    27882997)
     
    27902999on &20
    27913000)
    2792 *79 (Wire
     3001*85 (Wire
    27933002uid 289,0
    27943003shape (OrthoPolyLine
     
    27973006vasetType 3
    27983007)
    2799 xt "38750,30000,42000,30000"
     3008xt "32750,30000,36000,30000"
    28003009pts [
    2801 "42000,30000"
    2802 "40000,30000"
    2803 "38750,30000"
     3010"36000,30000"
     3011"32750,30000"
    28043012]
    28053013)
     
    28213029isHidden 1
    28223030)
    2823 xt "45000,29000,49800,30000"
     3031xt "39000,29000,43800,30000"
    28243032st "config_start"
    2825 blo "45000,29800"
     3033blo "39000,29800"
    28263034tm "WireNameMgr"
    28273035)
     
    28293037on &25
    28303038)
    2831 *80 (Wire
     3039*86 (Wire
    28323040uid 295,0
    28333041shape (OrthoPolyLine
     
    28363044vasetType 3
    28373045)
    2838 xt "38750,27000,42000,27000"
     3046xt "32750,27000,36000,27000"
    28393047pts [
    2840 "42000,27000"
    2841 "40000,27000"
    2842 "38750,27000"
     3048"36000,27000"
     3049"32750,27000"
    28433050]
    28443051)
     
    28603067isHidden 1
    28613068)
    2862 xt "45000,26000,50300,27000"
     3069xt "39000,26000,44300,27000"
    28633070st "config_wr_en"
    2864 blo "45000,26800"
     3071blo "39000,26800"
    28653072tm "WireNameMgr"
    28663073)
     
    28683075on &21
    28693076)
    2870 *81 (Wire
     3077*87 (Wire
    28713078uid 301,0
    28723079shape (OrthoPolyLine
     
    28763083lineWidth 2
    28773084)
    2878 xt "9000,25000,34000,64000"
     3085xt "3000,39000,52000,56000"
    28793086pts [
    2880 "12250,25000"
    2881 "9000,25000"
    2882 "9000,64000"
    2883 "34000,64000"
    2884 "34000,51000"
    2885 "30750,51000"
     3087"6250,39000"
     3088"3000,39000"
     3089"3000,56000"
     3090"52000,56000"
     3091"52000,44000"
     3092"50750,44000"
    28863093]
    28873094)
    28883095start &52
    2889 end &63
     3096end &65
    28903097sat 32
    28913098eat 32
     
    29023109va (VaSet
    29033110)
    2904 xt "20000,63000,28300,64000"
     3111xt "33000,55000,41300,56000"
    29053112st "ram_data_out : (15:0)"
    2906 blo "20000,63800"
     3113blo "33000,55800"
    29073114tm "WireNameMgr"
    29083115)
     
    29103117on &22
    29113118)
    2912 *82 (Wire
     3119*88 (Wire
    29133120uid 305,0
    29143121shape (OrthoPolyLine
     
    29173124vasetType 3
    29183125)
    2919 xt "38750,34000,42000,34000"
     3126xt "32750,34000,36000,34000"
    29203127pts [
    2921 "38750,34000"
    2922 "40000,34000"
    2923 "42000,34000"
     3128"32750,34000"
     3129"36000,34000"
    29243130]
    29253131)
     
    29413147isHidden 1
    29423148)
    2943 xt "45000,33000,48700,34000"
     3149xt "39000,33000,42700,34000"
    29443150st "dac_array"
    2945 blo "45000,33800"
     3151blo "39000,33800"
    29463152tm "WireNameMgr"
    29473153)
     
    29493155on &23
    29503156)
    2951 *83 (Wire
     3157*89 (Wire
    29523158uid 311,0
    29533159shape (OrthoPolyLine
     
    29563162vasetType 3
    29573163)
    2958 xt "38750,28000,42000,28000"
     3164xt "32750,28000,36000,28000"
    29593165pts [
    2960 "42000,28000"
    2961 "40000,28000"
    2962 "38750,28000"
     3166"36000,28000"
     3167"32750,28000"
    29633168]
    29643169)
     
    29803185isHidden 1
    29813186)
    2982 xt "45000,27000,50200,28000"
     3187xt "39000,27000,44200,28000"
    29833188st "config_rd_en"
    2984 blo "45000,27800"
     3189blo "39000,27800"
    29853190tm "WireNameMgr"
    29863191)
     
    29883193on &24
    29893194)
    2990 *84 (Wire
     3195*90 (Wire
    29913196uid 321,0
    29923197shape (OrthoPolyLine
     
    29953200vasetType 3
    29963201)
    2997 xt "38750,29000,42000,29000"
     3202xt "32750,29000,36000,29000"
    29983203pts [
    2999 "38750,29000"
    3000 "40000,29000"
    3001 "42000,29000"
     3204"32750,29000"
     3205"36000,29000"
    30023206]
    30033207)
     
    30193223isHidden 1
    30203224)
    3021 xt "45000,28000,50100,29000"
     3225xt "39000,28000,44100,29000"
    30223226st "config_ready"
    3023 blo "45000,28800"
     3227blo "39000,28800"
    30243228tm "WireNameMgr"
    30253229)
     
    30273231on &26
    30283232)
    3029 *85 (Wire
     3233*91 (Wire
    30303234uid 1084,0
    30313235shape (OrthoPolyLine
     
    30343238vasetType 3
    30353239)
    3036 xt "38750,31000,42000,31000"
     3240xt "32750,31000,36000,31000"
    30373241pts [
    3038 "38750,31000"
    3039 "42000,31000"
     3242"32750,31000"
     3243"36000,31000"
    30403244]
    30413245)
    30423246start &54
    3043 end &68
     3247end &70
    30443248sat 32
    30453249eat 32
     
    30573261isHidden 1
    30583262)
    3059 xt "40000,30000,45600,31000"
     3263xt "34000,30000,39600,31000"
    30603264st "config_started"
    3061 blo "40000,30800"
     3265blo "34000,30800"
    30623266tm "WireNameMgr"
    30633267)
    30643268)
    3065 on &67
     3269on &69
     3270)
     3271*92 (Wire
     3272uid 1208,0
     3273shape (OrthoPolyLine
     3274uid 1209,0
     3275va (VaSet
     3276vasetType 3
     3277lineWidth 2
     3278)
     3279xt "32750,22000,36000,22000"
     3280pts [
     3281"32750,22000"
     3282"36000,22000"
     3283]
     3284)
     3285start &55
     3286end &72
     3287sat 32
     3288eat 32
     3289sty 1
     3290stc 0
     3291st 0
     3292sf 1
     3293si 0
     3294tg (WTG
     3295uid 1212,0
     3296ps "ConnStartEndStrategy"
     3297stg "STSignalDisplayStrategy"
     3298f (Text
     3299uid 1213,0
     3300va (VaSet
     3301isHidden 1
     3302)
     3303xt "34000,21000,39000,22000"
     3304st "drs_address"
     3305blo "34000,21800"
     3306tm "WireNameMgr"
     3307)
     3308)
     3309on &71
     3310)
     3311*93 (Wire
     3312uid 1222,0
     3313shape (OrthoPolyLine
     3314uid 1223,0
     3315va (VaSet
     3316vasetType 3
     3317)
     3318xt "32750,21000,36000,21000"
     3319pts [
     3320"32750,21000"
     3321"36000,21000"
     3322]
     3323)
     3324start &56
     3325end &74
     3326sat 32
     3327eat 32
     3328stc 0
     3329st 0
     3330sf 1
     3331si 0
     3332tg (WTG
     3333uid 1226,0
     3334ps "ConnStartEndStrategy"
     3335stg "STSignalDisplayStrategy"
     3336f (Text
     3337uid 1227,0
     3338va (VaSet
     3339isHidden 1
     3340)
     3341xt "34000,20000,41200,21000"
     3342st "drs_address_mode"
     3343blo "34000,20800"
     3344tm "WireNameMgr"
     3345)
     3346)
     3347on &73
    30663348)
    30673349]
     
    30773359color "26368,26368,26368"
    30783360)
    3079 packageList *86 (PackageList
     3361packageList *94 (PackageList
    30803362uid 41,0
    30813363stg "VerticalLayoutStrategy"
    30823364textVec [
    3083 *87 (Text
     3365*95 (Text
    30843366uid 42,0
    30853367va (VaSet
    30863368font "arial,8,1"
    30873369)
    3088 xt "0,0,5400,1000"
     3370xt "1000,1000,6400,2000"
    30893371st "Package List"
    3090 blo "0,800"
    3091 )
    3092 *88 (MLText
     3372blo "1000,1800"
     3373)
     3374*96 (MLText
    30933375uid 43,0
    30943376va (VaSet
    30953377)
    3096 xt "0,1000,15300,6000"
     3378xt "1000,2000,16300,7000"
    30973379st "LIBRARY ieee;
    30983380USE ieee.std_logic_1164.ALL;
     
    31083390stg "VerticalLayoutStrategy"
    31093391textVec [
    3110 *89 (Text
     3392*97 (Text
    31113393uid 45,0
    31123394va (VaSet
     
    31183400blo "20000,800"
    31193401)
    3120 *90 (Text
     3402*98 (Text
    31213403uid 46,0
    31223404va (VaSet
     
    31283410blo "20000,1800"
    31293411)
    3130 *91 (MLText
     3412*99 (MLText
    31313413uid 47,0
    31323414va (VaSet
     
    31383420tm "BdCompilerDirectivesTextMgr"
    31393421)
    3140 *92 (Text
     3422*100 (Text
    31413423uid 48,0
    31423424va (VaSet
     
    31483430blo "20000,4800"
    31493431)
    3150 *93 (MLText
     3432*101 (MLText
    31513433uid 49,0
    31523434va (VaSet
     
    31563438tm "BdCompilerDirectivesTextMgr"
    31573439)
    3158 *94 (Text
     3440*102 (Text
    31593441uid 50,0
    31603442va (VaSet
     
    31663448blo "20000,5800"
    31673449)
    3168 *95 (MLText
     3450*103 (MLText
    31693451uid 51,0
    31703452va (VaSet
     
    31773459associable 1
    31783460)
    3179 windowSize "0,22,1286,1024"
    3180 viewArea "834,29654,54098,72685"
    3181 cachedDiagramExtent "0,0,53000,77000"
     3461windowSize "0,0,1281,1002"
     3462viewArea "-6400,12000,60443,65739"
     3463cachedDiagramExtent "700,0,59000,77000"
    31823464pageSetupInfo (PageSetupInfo
    31833465ptrCmd "Brother HL-5270DN series,winspool,"
     
    32053487hasePageBreakOrigin 1
    32063488pageBreakOrigin "0,0"
    3207 lastUid 1122,0
     3489lastUid 1237,0
    32083490defaultCommentText (CommentText
    32093491shape (Rectangle
     
    32673549stg "VerticalLayoutStrategy"
    32683550textVec [
    3269 *96 (Text
     3551*104 (Text
    32703552va (VaSet
    32713553font "Arial,8,1"
     
    32763558tm "BdLibraryNameMgr"
    32773559)
    3278 *97 (Text
     3560*105 (Text
    32793561va (VaSet
    32803562font "Arial,8,1"
     
    32853567tm "BlkNameMgr"
    32863568)
    3287 *98 (Text
     3569*106 (Text
    32883570va (VaSet
    32893571font "Arial,8,1"
     
    33363618stg "VerticalLayoutStrategy"
    33373619textVec [
    3338 *99 (Text
     3620*107 (Text
    33393621va (VaSet
    33403622font "Arial,8,1"
     
    33443626blo "550,4300"
    33453627)
    3346 *100 (Text
     3628*108 (Text
    33473629va (VaSet
    33483630font "Arial,8,1"
     
    33523634blo "550,5300"
    33533635)
    3354 *101 (Text
     3636*109 (Text
    33553637va (VaSet
    33563638font "Arial,8,1"
     
    34013683stg "VerticalLayoutStrategy"
    34023684textVec [
    3403 *102 (Text
     3685*110 (Text
    34043686va (VaSet
    34053687font "Arial,8,1"
     
    34103692tm "BdLibraryNameMgr"
    34113693)
    3412 *103 (Text
     3694*111 (Text
    34133695va (VaSet
    34143696font "Arial,8,1"
     
    34193701tm "CptNameMgr"
    34203702)
    3421 *104 (Text
     3703*112 (Text
    34223704va (VaSet
    34233705font "Arial,8,1"
     
    34733755stg "VerticalLayoutStrategy"
    34743756textVec [
    3475 *105 (Text
     3757*113 (Text
    34763758va (VaSet
    34773759font "Arial,8,1"
     
    34813763blo "500,4300"
    34823764)
    3483 *106 (Text
     3765*114 (Text
    34843766va (VaSet
    34853767font "Arial,8,1"
     
    34893771blo "500,5300"
    34903772)
    3491 *107 (Text
     3773*115 (Text
    34923774va (VaSet
    34933775font "Arial,8,1"
     
    35343816stg "VerticalLayoutStrategy"
    35353817textVec [
    3536 *108 (Text
     3818*116 (Text
    35373819va (VaSet
    35383820font "Arial,8,1"
     
    35423824blo "50,4300"
    35433825)
    3544 *109 (Text
     3826*117 (Text
    35453827va (VaSet
    35463828font "Arial,8,1"
     
    35503832blo "50,5300"
    35513833)
    3552 *110 (Text
     3834*118 (Text
    35533835va (VaSet
    35543836font "Arial,8,1"
     
    35913873stg "VerticalLayoutStrategy"
    35923874textVec [
    3593 *111 (Text
     3875*119 (Text
    35943876va (VaSet
    35953877font "Arial,8,1"
     
    36003882tm "HdlTextNameMgr"
    36013883)
    3602 *112 (Text
     3884*120 (Text
    36033885va (VaSet
    36043886font "Arial,8,1"
     
    40034285stg "VerticalLayoutStrategy"
    40044286textVec [
    4005 *113 (Text
     4287*121 (Text
    40064288va (VaSet
    40074289font "Arial,8,1"
     
    40114293blo "14100,20800"
    40124294)
    4013 *114 (MLText
     4295*122 (MLText
    40144296va (VaSet
    40154297)
     
    40634345stg "VerticalLayoutStrategy"
    40644346textVec [
    4065 *115 (Text
     4347*123 (Text
    40664348va (VaSet
    40674349font "Arial,8,1"
     
    40714353blo "14100,20800"
    40724354)
    4073 *116 (MLText
     4355*124 (MLText
    40744356va (VaSet
    40754357)
     
    41524434font "Arial,8,1"
    41534435)
    4154 xt "20000,0,25400,1000"
     4436xt "27000,200,32400,1200"
    41554437st "Declarations"
    4156 blo "20000,800"
     4438blo "27000,1000"
    41574439)
    41584440portLabel (Text
     
    41614443font "Arial,8,1"
    41624444)
    4163 xt "20000,1000,22700,2000"
     4445xt "27000,1200,29700,2200"
    41644446st "Ports:"
    4165 blo "20000,1800"
     4447blo "27000,2000"
    41664448)
    41674449preUserLabel (Text
     
    41714453font "Arial,8,1"
    41724454)
    4173 xt "20000,0,23800,1000"
     4455xt "27000,200,30800,1200"
    41744456st "Pre User:"
    4175 blo "20000,800"
     4457blo "27000,1000"
    41764458)
    41774459preUserText (MLText
     
    41814463font "Courier New,8,0"
    41824464)
    4183 xt "20000,0,20000,0"
     4465xt "27000,200,27000,200"
    41844466tm "BdDeclarativeTextMgr"
    41854467)
     
    41894471font "Arial,8,1"
    41904472)
    4191 xt "20000,11600,27100,12600"
     4473xt "27000,13400,34100,14400"
    41924474st "Diagram Signals:"
    4193 blo "20000,12400"
     4475blo "27000,14200"
    41944476)
    41954477postUserLabel (Text
     
    41994481font "Arial,8,1"
    42004482)
    4201 xt "20000,0,24700,1000"
     4483xt "27000,200,31700,1200"
    42024484st "Post User:"
    4203 blo "20000,800"
     4485blo "27000,1000"
    42044486)
    42054487postUserText (MLText
     
    42094491font "Courier New,8,0"
    42104492)
    4211 xt "20000,0,20000,0"
     4493xt "27000,200,27000,200"
    42124494tm "BdDeclarativeTextMgr"
    42134495)
     
    42154497commonDM (CommonDM
    42164498ldm (LogicalDM
    4217 suid 18,0
     4499suid 20,0
    42184500usingSuid 1
    4219 emptyRow *117 (LEmptyRow
     4501emptyRow *125 (LEmptyRow
    42204502)
    42214503uid 54,0
    42224504optionalChildren [
    4223 *118 (RefLabelRowHdr
    4224 )
    4225 *119 (TitleRowHdr
    4226 )
    4227 *120 (FilterRowHdr
    4228 )
    4229 *121 (RefLabelColHdr
     4505*126 (RefLabelRowHdr
     4506)
     4507*127 (TitleRowHdr
     4508)
     4509*128 (FilterRowHdr
     4510)
     4511*129 (RefLabelColHdr
    42304512tm "RefLabelColHdrMgr"
    42314513)
    4232 *122 (RowExpandColHdr
     4514*130 (RowExpandColHdr
    42334515tm "RowExpandColHdrMgr"
    42344516)
    4235 *123 (GroupColHdr
     4517*131 (GroupColHdr
    42364518tm "GroupColHdrMgr"
    42374519)
    4238 *124 (NameColHdr
     4520*132 (NameColHdr
    42394521tm "BlockDiagramNameColHdrMgr"
    42404522)
    4241 *125 (ModeColHdr
     4523*133 (ModeColHdr
    42424524tm "BlockDiagramModeColHdrMgr"
    42434525)
    4244 *126 (TypeColHdr
     4526*134 (TypeColHdr
    42454527tm "BlockDiagramTypeColHdrMgr"
    42464528)
    4247 *127 (BoundsColHdr
     4529*135 (BoundsColHdr
    42484530tm "BlockDiagramBoundsColHdrMgr"
    42494531)
    4250 *128 (InitColHdr
     4532*136 (InitColHdr
    42514533tm "BlockDiagramInitColHdrMgr"
    42524534)
    4253 *129 (EolColHdr
     4535*137 (EolColHdr
    42544536tm "BlockDiagramEolColHdrMgr"
    42554537)
    4256 *130 (LeafLogPort
     4538*138 (LeafLogPort
    42574539port (LogicalPort
    42584540decl (Decl
     
    42654547uid 427,0
    42664548)
    4267 *131 (LeafLogPort
     4549*139 (LeafLogPort
    42684550port (LogicalPort
    42694551m 4
     
    42784560uid 429,0
    42794561)
    4280 *132 (LeafLogPort
     4562*140 (LeafLogPort
    42814563port (LogicalPort
    42824564decl (Decl
     
    42904572uid 431,0
    42914573)
    4292 *133 (LeafLogPort
     4574*141 (LeafLogPort
    42934575port (LogicalPort
    42944576m 4
     
    43034585uid 433,0
    43044586)
    4305 *134 (LeafLogPort
     4587*142 (LeafLogPort
    43064588port (LogicalPort
    43074589m 1
     
    43154597uid 435,0
    43164598)
    4317 *135 (LeafLogPort
     4599*143 (LeafLogPort
    43184600port (LogicalPort
    43194601m 1
     
    43274609uid 437,0
    43284610)
    4329 *136 (LeafLogPort
     4611*144 (LeafLogPort
    43304612port (LogicalPort
    43314613m 2
     
    43404622uid 439,0
    43414623)
    4342 *137 (LeafLogPort
     4624*145 (LeafLogPort
    43434625port (LogicalPort
    43444626m 1
     
    43524634uid 441,0
    43534635)
    4354 *138 (LeafLogPort
     4636*146 (LeafLogPort
    43554637port (LogicalPort
    43564638m 4
     
    43654647uid 443,0
    43664648)
    4367 *139 (LeafLogPort
     4649*147 (LeafLogPort
    43684650port (LogicalPort
    43694651decl (Decl
     
    43764658uid 445,0
    43774659)
    4378 *140 (LeafLogPort
     4660*148 (LeafLogPort
    43794661port (LogicalPort
    43804662m 4
     
    43894671uid 447,0
    43904672)
    4391 *141 (LeafLogPort
     4673*149 (LeafLogPort
    43924674port (LogicalPort
    43934675m 1
     
    44014683uid 449,0
    44024684)
    4403 *142 (LeafLogPort
     4685*150 (LeafLogPort
    44044686port (LogicalPort
    44054687decl (Decl
     
    44124694uid 451,0
    44134695)
    4414 *143 (LeafLogPort
     4696*151 (LeafLogPort
    44154697port (LogicalPort
    44164698decl (Decl
     
    44234705uid 453,0
    44244706)
    4425 *144 (LeafLogPort
     4707*152 (LeafLogPort
    44264708port (LogicalPort
    44274709m 1
     
    44354717uid 457,0
    44364718)
    4437 *145 (LeafLogPort
     4719*153 (LeafLogPort
    44384720port (LogicalPort
    44394721m 1
     
    44484730uid 1096,0
    44494731)
     4732*154 (LeafLogPort
     4733port (LogicalPort
     4734m 1
     4735decl (Decl
     4736n "drs_address"
     4737t "std_logic_vector"
     4738b "(3 DOWNTO 0)"
     4739o 17
     4740suid 19,0
     4741)
     4742)
     4743uid 1234,0
     4744)
     4745*155 (LeafLogPort
     4746port (LogicalPort
     4747m 1
     4748decl (Decl
     4749n "drs_address_mode"
     4750t "std_logic"
     4751o 18
     4752suid 20,0
     4753)
     4754)
     4755uid 1236,0
     4756)
    44504757]
    44514758)
     
    44554762uid 67,0
    44564763optionalChildren [
    4457 *146 (Sheet
     4764*156 (Sheet
    44584765sheetRow (SheetRow
    44594766headerVa (MVa
     
    44724779font "Tahoma,10,0"
    44734780)
    4474 emptyMRCItem *147 (MRCItem
    4475 litem &117
    4476 pos 16
     4781emptyMRCItem *157 (MRCItem
     4782litem &125
     4783pos 18
    44774784dimension 20
    44784785)
    44794786uid 69,0
    44804787optionalChildren [
    4481 *148 (MRCItem
    4482 litem &118
     4788*158 (MRCItem
     4789litem &126
    44834790pos 0
    44844791dimension 20
    44854792uid 70,0
    44864793)
    4487 *149 (MRCItem
    4488 litem &119
     4794*159 (MRCItem
     4795litem &127
    44894796pos 1
    44904797dimension 23
    44914798uid 71,0
    44924799)
    4493 *150 (MRCItem
    4494 litem &120
     4800*160 (MRCItem
     4801litem &128
    44954802pos 2
    44964803hidden 1
     
    44984805uid 72,0
    44994806)
    4500 *151 (MRCItem
    4501 litem &130
     4807*161 (MRCItem
     4808litem &138
    45024809pos 0
    45034810dimension 20
    45044811uid 428,0
    45054812)
    4506 *152 (MRCItem
    4507 litem &131
     4813*162 (MRCItem
     4814litem &139
    45084815pos 11
    45094816dimension 20
    45104817uid 430,0
    45114818)
    4512 *153 (MRCItem
    4513 litem &132
     4819*163 (MRCItem
     4820litem &140
    45144821pos 1
    45154822dimension 20
    45164823uid 432,0
    45174824)
    4518 *154 (MRCItem
    4519 litem &133
     4825*164 (MRCItem
     4826litem &141
    45204827pos 12
    45214828dimension 20
    45224829uid 434,0
    45234830)
    4524 *155 (MRCItem
    4525 litem &134
     4831*165 (MRCItem
     4832litem &142
    45264833pos 2
    45274834dimension 20
    45284835uid 436,0
    45294836)
    4530 *156 (MRCItem
    4531 litem &135
     4837*166 (MRCItem
     4838litem &143
    45324839pos 3
    45334840dimension 20
    45344841uid 438,0
    45354842)
    4536 *157 (MRCItem
    4537 litem &136
     4843*167 (MRCItem
     4844litem &144
    45384845pos 4
    45394846dimension 20
    45404847uid 440,0
    45414848)
    4542 *158 (MRCItem
    4543 litem &137
     4849*168 (MRCItem
     4850litem &145
    45444851pos 5
    45454852dimension 20
    45464853uid 442,0
    45474854)
    4548 *159 (MRCItem
    4549 litem &138
     4855*169 (MRCItem
     4856litem &146
    45504857pos 13
    45514858dimension 20
    45524859uid 444,0
    45534860)
    4554 *160 (MRCItem
    4555 litem &139
     4861*170 (MRCItem
     4862litem &147
    45564863pos 6
    45574864dimension 20
    45584865uid 446,0
    45594866)
    4560 *161 (MRCItem
    4561 litem &140
     4867*171 (MRCItem
     4868litem &148
    45624869pos 14
    45634870dimension 20
    45644871uid 448,0
    45654872)
    4566 *162 (MRCItem
    4567 litem &141
     4873*172 (MRCItem
     4874litem &149
    45684875pos 7
    45694876dimension 20
    45704877uid 450,0
    45714878)
    4572 *163 (MRCItem
    4573 litem &142
     4879*173 (MRCItem
     4880litem &150
    45744881pos 8
    45754882dimension 20
    45764883uid 452,0
    45774884)
    4578 *164 (MRCItem
    4579 litem &143
     4885*174 (MRCItem
     4886litem &151
    45804887pos 9
    45814888dimension 20
    45824889uid 454,0
    45834890)
    4584 *165 (MRCItem
    4585 litem &144
     4891*175 (MRCItem
     4892litem &152
    45864893pos 10
    45874894dimension 20
    45884895uid 458,0
    45894896)
    4590 *166 (MRCItem
    4591 litem &145
     4897*176 (MRCItem
     4898litem &153
    45924899pos 15
    45934900dimension 20
    45944901uid 1097,0
     4902)
     4903*177 (MRCItem
     4904litem &154
     4905pos 16
     4906dimension 20
     4907uid 1235,0
     4908)
     4909*178 (MRCItem
     4910litem &155
     4911pos 17
     4912dimension 20
     4913uid 1237,0
    45954914)
    45964915]
     
    46054924uid 73,0
    46064925optionalChildren [
    4607 *167 (MRCItem
    4608 litem &121
     4926*179 (MRCItem
     4927litem &129
    46094928pos 0
    46104929dimension 20
    46114930uid 74,0
    46124931)
    4613 *168 (MRCItem
    4614 litem &123
     4932*180 (MRCItem
     4933litem &131
    46154934pos 1
    46164935dimension 50
    46174936uid 75,0
    46184937)
    4619 *169 (MRCItem
    4620 litem &124
     4938*181 (MRCItem
     4939litem &132
    46214940pos 2
    46224941dimension 100
    46234942uid 76,0
    46244943)
    4625 *170 (MRCItem
    4626 litem &125
     4944*182 (MRCItem
     4945litem &133
    46274946pos 3
    46284947dimension 50
    46294948uid 77,0
    46304949)
    4631 *171 (MRCItem
    4632 litem &126
     4950*183 (MRCItem
     4951litem &134
    46334952pos 4
    46344953dimension 100
    46354954uid 78,0
    46364955)
    4637 *172 (MRCItem
    4638 litem &127
     4956*184 (MRCItem
     4957litem &135
    46394958pos 5
    46404959dimension 100
    46414960uid 79,0
    46424961)
    4643 *173 (MRCItem
    4644 litem &128
     4962*185 (MRCItem
     4963litem &136
    46454964pos 6
    46464965dimension 50
    46474966uid 80,0
    46484967)
    4649 *174 (MRCItem
    4650 litem &129
     4968*186 (MRCItem
     4969litem &137
    46514970pos 7
    46524971dimension 80
     
    46684987genericsCommonDM (CommonDM
    46694988ldm (LogicalDM
    4670 emptyRow *175 (LEmptyRow
     4989emptyRow *187 (LEmptyRow
    46714990)
    46724991uid 83,0
    46734992optionalChildren [
    4674 *176 (RefLabelRowHdr
    4675 )
    4676 *177 (TitleRowHdr
    4677 )
    4678 *178 (FilterRowHdr
    4679 )
    4680 *179 (RefLabelColHdr
     4993*188 (RefLabelRowHdr
     4994)
     4995*189 (TitleRowHdr
     4996)
     4997*190 (FilterRowHdr
     4998)
     4999*191 (RefLabelColHdr
    46815000tm "RefLabelColHdrMgr"
    46825001)
    4683 *180 (RowExpandColHdr
     5002*192 (RowExpandColHdr
    46845003tm "RowExpandColHdrMgr"
    46855004)
    4686 *181 (GroupColHdr
     5005*193 (GroupColHdr
    46875006tm "GroupColHdrMgr"
    46885007)
    4689 *182 (NameColHdr
     5008*194 (NameColHdr
    46905009tm "GenericNameColHdrMgr"
    46915010)
    4692 *183 (TypeColHdr
     5011*195 (TypeColHdr
    46935012tm "GenericTypeColHdrMgr"
    46945013)
    4695 *184 (InitColHdr
     5014*196 (InitColHdr
    46965015tm "GenericValueColHdrMgr"
    46975016)
    4698 *185 (PragmaColHdr
     5017*197 (PragmaColHdr
    46995018tm "GenericPragmaColHdrMgr"
    47005019)
    4701 *186 (EolColHdr
     5020*198 (EolColHdr
    47025021tm "GenericEolColHdrMgr"
    47035022)
     
    47095028uid 95,0
    47105029optionalChildren [
    4711 *187 (Sheet
     5030*199 (Sheet
    47125031sheetRow (SheetRow
    47135032headerVa (MVa
     
    47265045font "Tahoma,10,0"
    47275046)
    4728 emptyMRCItem *188 (MRCItem
    4729 litem &175
     5047emptyMRCItem *200 (MRCItem
     5048litem &187
    47305049pos 0
    47315050dimension 20
     
    47335052uid 97,0
    47345053optionalChildren [
    4735 *189 (MRCItem
    4736 litem &176
     5054*201 (MRCItem
     5055litem &188
    47375056pos 0
    47385057dimension 20
    47395058uid 98,0
    47405059)
    4741 *190 (MRCItem
    4742 litem &177
     5060*202 (MRCItem
     5061litem &189
    47435062pos 1
    47445063dimension 23
    47455064uid 99,0
    47465065)
    4747 *191 (MRCItem
    4748 litem &178
     5066*203 (MRCItem
     5067litem &190
    47495068pos 2
    47505069hidden 1
     
    47635082uid 101,0
    47645083optionalChildren [
    4765 *192 (MRCItem
    4766 litem &179
     5084*204 (MRCItem
     5085litem &191
    47675086pos 0
    47685087dimension 20
    47695088uid 102,0
    47705089)
    4771 *193 (MRCItem
    4772 litem &181
     5090*205 (MRCItem
     5091litem &193
    47735092pos 1
    47745093dimension 50
    47755094uid 103,0
    47765095)
    4777 *194 (MRCItem
    4778 litem &182
     5096*206 (MRCItem
     5097litem &194
    47795098pos 2
    47805099dimension 100
    47815100uid 104,0
    47825101)
    4783 *195 (MRCItem
    4784 litem &183
     5102*207 (MRCItem
     5103litem &195
    47855104pos 3
    47865105dimension 100
    47875106uid 105,0
    47885107)
    4789 *196 (MRCItem
    4790 litem &184
     5108*208 (MRCItem
     5109litem &196
    47915110pos 4
    47925111dimension 50
    47935112uid 106,0
    47945113)
    4795 *197 (MRCItem
    4796 litem &185
     5114*209 (MRCItem
     5115litem &197
    47975116pos 5
    47985117dimension 50
    47995118uid 107,0
    48005119)
    4801 *198 (MRCItem
    4802 litem &186
     5120*210 (MRCItem
     5121litem &198
    48035122pos 6
    48045123dimension 80
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/control_unit/struct.bd.bak

    r246 r252  
    6060)
    6161version "29.1"
    62 appVersion "2009.1 (Build 12)"
     62appVersion "2009.2 (Build 10)"
    6363noEmbeddedEditors 1
    6464model (BlockDiag
     
    6767(vvPair
    6868variable "HDLDir"
    69 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hdl"
     69value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    7070)
    7171(vvPair
    7272variable "HDSDir"
    73 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds"
     73value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    7474)
    7575(vvPair
    7676variable "SideDataDesignDir"
    77 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd.info"
     77value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd.info"
    7878)
    7979(vvPair
    8080variable "SideDataUserDir"
    81 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd.user"
     81value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd.user"
    8282)
    8383(vvPair
    8484variable "SourceDir"
    85 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds"
     85value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    8686)
    8787(vvPair
     
    9999(vvPair
    100100variable "d"
    101 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
     101value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
    102102)
    103103(vvPair
    104104variable "d_logical"
    105 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
     105value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
    106106)
    107107(vvPair
    108108variable "date"
    109 value "27.05.2010"
     109value "12.07.2010"
    110110)
    111111(vvPair
    112112variable "day"
    113 value "Do"
     113value "Mo"
    114114)
    115115(vvPair
    116116variable "day_long"
    117 value "Donnerstag"
     117value "Montag"
    118118)
    119119(vvPair
    120120variable "dd"
    121 value "27"
     121value "12"
    122122)
    123123(vvPair
     
    147147(vvPair
    148148variable "host"
    149 value "IHP110"
     149value "TU-CC4900F8C7D2"
    150150)
    151151(vvPair
     
    175175(vvPair
    176176variable "mm"
    177 value "05"
     177value "07"
    178178)
    179179(vvPair
     
    183183(vvPair
    184184variable "month"
    185 value "Mai"
     185value "Jul"
    186186)
    187187(vvPair
    188188variable "month_long"
    189 value "Mai"
     189value "Juli"
    190190)
    191191(vvPair
    192192variable "p"
    193 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd"
     193value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd"
    194194)
    195195(vvPair
    196196variable "p_logical"
    197 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd"
     197value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\struct.bd"
    198198)
    199199(vvPair
     
    219219(vvPair
    220220variable "task_ModelSimPath"
    221 value "D:\\modeltech_6.5e\\win32"
     221value "<TBD>"
    222222)
    223223(vvPair
     
    251251(vvPair
    252252variable "time"
    253 value "10:24:01"
     253value "13:47:38"
    254254)
    255255(vvPair
     
    259259(vvPair
    260260variable "user"
    261 value "daqct3"
     261value "dneise"
    262262)
    263263(vvPair
    264264variable "version"
    265 value "2009.1 (Build 12)"
     265value "2009.2 (Build 10)"
    266266)
    267267(vvPair
     
    303303bg "0,0,32768"
    304304)
    305 xt "16200,76000,24500,77000"
     305xt "16200,76000,25500,77000"
    306306st "
    307307by %user on %dd %month %year
     
    621621font "Courier New,8,0"
    622622)
    623 xt "22000,2000,38000,2800"
    624 st "clk               : STD_LOGIC
    625 "
     623xt "29000,2200,45000,3000"
     624st "clk               : STD_LOGIC"
    626625)
    627626)
     
    640639font "Courier New,8,0"
    641640)
    642 xt "22000,15000,51500,15800"
    643 st "SIGNAL ram_wren          : std_logic_VECTOR(0 DOWNTO 0)
    644 "
     641xt "29000,15200,58500,16000"
     642st "SIGNAL ram_wren          : std_logic_VECTOR(0 DOWNTO 0)"
    645643)
    646644)
     
    659657font "Courier New,8,0"
    660658)
    661 xt "22000,2800,48000,3600"
    662 st "config_addr       : std_logic_vector(7 DOWNTO 0)
    663 "
     659xt "29000,3000,55000,3800"
     660st "config_addr       : std_logic_vector(7 DOWNTO 0)"
    664661)
    665662)
     
    678675font "Courier New,8,0"
    679676)
    680 xt "22000,13400,52000,14200"
    681 st "SIGNAL ram_data_in       : std_logic_VECTOR(15 DOWNTO 0)
    682 "
     677xt "29000,13600,59000,14400"
     678st "SIGNAL ram_data_in       : std_logic_VECTOR(15 DOWNTO 0)"
    683679)
    684680)
     
    696692font "Courier New,8,0"
    697693)
    698 xt "22000,6800,38000,7600"
    699 st "config_data_valid : std_logic
    700 "
     694xt "29000,7000,45000,7800"
     695st "config_data_valid : std_logic"
    701696)
    702697)
     
    714709font "Courier New,8,0"
    715710)
    716 xt "22000,6000,38000,6800"
    717 st "config_busy       : std_logic
    718 "
     711xt "29000,6200,45000,7000"
     712st "config_busy       : std_logic"
    719713)
    720714)
     
    733727font "Courier New,8,0"
    734728)
    735 xt "22000,10800,48500,11600"
    736 st "config_data       : std_logic_vector(15 DOWNTO 0)
    737 "
     729xt "29000,11000,55500,11800"
     730st "config_data       : std_logic_vector(15 DOWNTO 0)"
    738731)
    739732)
     
    751744font "Courier New,8,0"
    752745)
    753 xt "22000,10000,40500,10800"
    754 st "roi_array         : roi_array_type
    755 "
     746xt "29000,10200,47500,11000"
     747st "roi_array         : roi_array_type"
    756748)
    757749)
     
    770762font "Courier New,8,0"
    771763)
    772 xt "22000,12600,51500,13400"
    773 st "SIGNAL ram_addr          : std_logic_VECTOR(7 DOWNTO 0)
    774 "
     764xt "29000,12800,58500,13600"
     765st "SIGNAL ram_addr          : std_logic_VECTOR(7 DOWNTO 0)"
    775766)
    776767)
     
    788779font "Courier New,8,0"
    789780)
    790 xt "22000,5200,38000,6000"
    791 st "config_wr_en      : std_logic
    792 "
     781xt "29000,5400,45000,6200"
     782st "config_wr_en      : std_logic"
    793783)
    794784)
     
    807797font "Courier New,8,0"
    808798)
    809 xt "22000,14200,52000,15000"
    810 st "SIGNAL ram_data_out      : std_logic_VECTOR(15 DOWNTO 0)
    811 "
     799xt "29000,14400,59000,15200"
     800st "SIGNAL ram_data_out      : std_logic_VECTOR(15 DOWNTO 0)"
    812801)
    813802)
     
    825814font "Courier New,8,0"
    826815)
    827 xt "22000,9200,40500,10000"
    828 st "dac_array         : dac_array_type
    829 "
     816xt "29000,9400,47500,10200"
     817st "dac_array         : dac_array_type"
    830818)
    831819)
     
    843831font "Courier New,8,0"
    844832)
    845 xt "22000,3600,38000,4400"
    846 st "config_rd_en      : std_logic
    847 "
     833xt "29000,3800,45000,4600"
     834st "config_rd_en      : std_logic"
    848835)
    849836)
     
    861848font "Courier New,8,0"
    862849)
    863 xt "22000,4400,38000,5200"
    864 st "config_start      : std_logic
    865 "
     850xt "29000,4600,45000,5400"
     851st "config_start      : std_logic"
    866852)
    867853)
     
    879865font "Courier New,8,0"
    880866)
    881 xt "22000,7600,38000,8400"
    882 st "config_ready      : std_logic
    883 "
     867xt "29000,7800,45000,8600"
     868st "config_ready      : std_logic"
    884869)
    885870)
     
    897882sl 0
    898883ro 270
    899 xt "3000,23625,4500,24375"
     884xt "2000,19625,3500,20375"
    900885)
    901886(Line
     
    903888sl 0
    904889ro 270
    905 xt "4500,24000,5000,24000"
     890xt "3500,20000,4000,20000"
    906891pts [
    907 "4500,24000"
    908 "5000,24000"
     892"3500,20000"
     893"4000,20000"
    909894]
    910895)
     
    921906va (VaSet
    922907)
    923 xt "700,23500,2000,24500"
     908xt "700,19500,2000,20500"
    924909st "clk"
    925910ju 2
    926 blo "2000,24300"
     911blo "2000,20300"
    927912tm "WireNameMgr"
    928913)
     
    942927sl 0
    943928ro 270
    944 xt "42500,28625,44000,29375"
     929xt "36500,28625,38000,29375"
    945930)
    946931(Line
     
    948933sl 0
    949934ro 270
    950 xt "42000,29000,42500,29000"
     935xt "36000,29000,36500,29000"
    951936pts [
    952 "42000,29000"
    953 "42500,29000"
     937"36000,29000"
     938"36500,29000"
    954939]
    955940)
     
    966951va (VaSet
    967952)
    968 xt "45000,28500,50100,29500"
     953xt "39000,28500,44100,29500"
    969954st "config_ready"
    970 blo "45000,29300"
     955blo "39000,29300"
    971956tm "WireNameMgr"
    972957)
     
    986971sl 0
    987972ro 90
    988 xt "42500,29625,44000,30375"
     973xt "36500,29625,38000,30375"
    989974)
    990975(Line
     
    992977sl 0
    993978ro 90
    994 xt "42000,30000,42500,30000"
     979xt "36000,30000,36500,30000"
    995980pts [
    996 "42500,30000"
    997 "42000,30000"
     981"36500,30000"
     982"36000,30000"
    998983]
    999984)
     
    1010995va (VaSet
    1011996)
    1012 xt "45000,29500,49800,30500"
     997xt "39000,29500,43800,30500"
    1013998st "config_start"
    1014 blo "45000,30300"
     999blo "39000,30300"
    10151000tm "WireNameMgr"
    10161001)
     
    10291014uid 381,0
    10301015sl 0
    1031 xt "42500,24625,44000,25375"
     1016xt "36500,24625,38000,25375"
    10321017)
    10331018(Line
    10341019uid 382,0
    10351020sl 0
    1036 xt "42000,25000,42500,25000"
     1021xt "36000,25000,36500,25000"
    10371022pts [
    1038 "42000,25000"
    1039 "42500,25000"
     1023"36000,25000"
     1024"36500,25000"
    10401025]
    10411026)
     
    10521037va (VaSet
    10531038)
    1054 xt "45000,24500,49700,25500"
     1039xt "39000,24500,43700,25500"
    10551040st "config_data"
    1056 blo "45000,25300"
     1041blo "39000,25300"
    10571042tm "WireNameMgr"
    10581043)
     
    10721057sl 0
    10731058ro 90
    1074 xt "42500,23625,44000,24375"
     1059xt "36500,23625,38000,24375"
    10751060)
    10761061(Line
     
    10781063sl 0
    10791064ro 90
    1080 xt "42000,24000,42500,24000"
     1065xt "36000,24000,36500,24000"
    10811066pts [
    1082 "42500,24000"
    1083 "42000,24000"
     1067"36500,24000"
     1068"36000,24000"
    10841069]
    10851070)
     
    10961081va (VaSet
    10971082)
    1098 xt "45000,23500,49800,24500"
     1083xt "39000,23500,43800,24500"
    10991084st "config_addr"
    1100 blo "45000,24300"
     1085blo "39000,24300"
    11011086tm "WireNameMgr"
    11021087)
     
    11161101sl 0
    11171102ro 90
    1118 xt "42500,26625,44000,27375"
     1103xt "36500,26625,38000,27375"
    11191104)
    11201105(Line
     
    11221107sl 0
    11231108ro 90
    1124 xt "42000,27000,42500,27000"
     1109xt "36000,27000,36500,27000"
    11251110pts [
    1126 "42500,27000"
    1127 "42000,27000"
     1111"36500,27000"
     1112"36000,27000"
    11281113]
    11291114)
     
    11401125va (VaSet
    11411126)
    1142 xt "45000,26500,50300,27500"
     1127xt "39000,26500,44300,27500"
    11431128st "config_wr_en"
    1144 blo "45000,27300"
     1129blo "39000,27300"
    11451130tm "WireNameMgr"
    11461131)
     
    11601145sl 0
    11611146ro 90
    1162 xt "42500,27625,44000,28375"
     1147xt "36500,27625,38000,28375"
    11631148)
    11641149(Line
     
    11661151sl 0
    11671152ro 90
    1168 xt "42000,28000,42500,28000"
     1153xt "36000,28000,36500,28000"
    11691154pts [
    1170 "42500,28000"
    1171 "42000,28000"
     1155"36500,28000"
     1156"36000,28000"
    11721157]
    11731158)
     
    11841169va (VaSet
    11851170)
    1186 xt "45000,27500,50200,28500"
     1171xt "39000,27500,44200,28500"
    11871172st "config_rd_en"
    1188 blo "45000,28300"
     1173blo "39000,28300"
    11891174tm "WireNameMgr"
    11901175)
     
    12041189sl 0
    12051190ro 270
    1206 xt "42500,33625,44000,34375"
     1191xt "36500,33625,38000,34375"
    12071192)
    12081193(Line
     
    12101195sl 0
    12111196ro 270
    1212 xt "42000,34000,42500,34000"
     1197xt "36000,34000,36500,34000"
    12131198pts [
    1214 "42000,34000"
    1215 "42500,34000"
     1199"36000,34000"
     1200"36500,34000"
    12161201]
    12171202)
     
    12281213va (VaSet
    12291214)
    1230 xt "45000,33500,48700,34500"
     1215xt "39000,33500,42700,34500"
    12311216st "dac_array"
    1232 blo "45000,34300"
     1217blo "39000,34300"
    12331218tm "WireNameMgr"
    12341219)
     
    12481233sl 0
    12491234ro 270
    1250 xt "42500,34625,44000,35375"
     1235xt "36500,34625,38000,35375"
    12511236)
    12521237(Line
     
    12541239sl 0
    12551240ro 270
    1256 xt "42000,35000,42500,35000"
     1241xt "36000,35000,36500,35000"
    12571242pts [
    1258 "42000,35000"
    1259 "42500,35000"
     1243"36000,35000"
     1244"36500,35000"
    12601245]
    12611246)
     
    12721257va (VaSet
    12731258)
    1274 xt "45000,34500,48400,35500"
     1259xt "39000,34500,42400,35500"
    12751260st "roi_array"
    1276 blo "45000,35300"
     1261blo "39000,35300"
    12771262tm "WireNameMgr"
    12781263)
     
    12921277sl 0
    12931278ro 270
    1294 xt "42500,31625,44000,32375"
     1279xt "36500,31625,38000,32375"
    12951280)
    12961281(Line
     
    12981283sl 0
    12991284ro 270
    1300 xt "42000,32000,42500,32000"
     1285xt "36000,32000,36500,32000"
    13011286pts [
    1302 "42000,32000"
    1303 "42500,32000"
     1287"36000,32000"
     1288"36500,32000"
    13041289]
    13051290)
     
    13161301va (VaSet
    13171302)
    1318 xt "45000,31500,51600,32500"
     1303xt "39000,31500,45600,32500"
    13191304st "config_data_valid"
    1320 blo "45000,32300"
     1305blo "39000,32300"
    13211306tm "WireNameMgr"
    13221307)
     
    13361321sl 0
    13371322ro 270
    1338 xt "42500,32625,44000,33375"
     1323xt "36500,32625,38000,33375"
    13391324)
    13401325(Line
     
    13421327sl 0
    13431328ro 270
    1344 xt "42000,33000,42500,33000"
     1329xt "36000,33000,36500,33000"
    13451330pts [
    1346 "42000,33000"
    1347 "42500,33000"
     1331"36000,33000"
     1332"36500,33000"
    13481333]
    13491334)
     
    13601345va (VaSet
    13611346)
    1362 xt "45000,32500,49800,33500"
     1347xt "39000,32500,43800,33500"
    13631348st "config_busy"
    1364 blo "45000,33300"
     1349blo "39000,33300"
    13651350tm "WireNameMgr"
    13661351)
     
    13801365fg "0,65535,0"
    13811366)
    1382 xt "12250,23625,13000,24375"
     1367xt "6250,23625,7000,24375"
    13831368)
    13841369tg (CPTG
     
    13901375va (VaSet
    13911376)
    1392 xt "14000,23500,15300,24500"
     1377xt "8000,23500,9300,24500"
    13931378st "clk"
    1394 blo "14000,24300"
     1379blo "8000,24300"
    13951380)
    13961381)
     
    14161401fg "0,65535,0"
    14171402)
    1418 xt "38000,28625,38750,29375"
     1403xt "32000,28625,32750,29375"
    14191404)
    14201405tg (CPTG
     
    14261411va (VaSet
    14271412)
    1428 xt "31900,28500,37000,29500"
     1413xt "25900,28500,31000,29500"
    14291414st "config_ready"
    14301415ju 2
    1431 blo "37000,29300"
     1416blo "31000,29300"
    14321417)
    14331418)
     
    14551440fg "0,65535,0"
    14561441)
    1457 xt "38000,29625,38750,30375"
     1442xt "32000,29625,32750,30375"
    14581443)
    14591444tg (CPTG
     
    14651450va (VaSet
    14661451)
    1467 xt "32200,29500,37000,30500"
     1452xt "26200,29500,31000,30500"
    14681453st "config_start"
    14691454ju 2
    1470 blo "37000,30300"
     1455blo "31000,30300"
    14711456)
    14721457)
     
    14921477fg "0,65535,0"
    14931478)
    1494 xt "38000,24625,38750,25375"
     1479xt "32000,24625,32750,25375"
    14951480)
    14961481tg (CPTG
     
    15021487va (VaSet
    15031488)
    1504 xt "29300,24500,37000,25500"
     1489xt "23300,24500,31000,25500"
    15051490st "config_data : (15:0)"
    15061491ju 2
    1507 blo "37000,25300"
     1492blo "31000,25300"
    15081493)
    15091494)
     
    15321517fg "0,65535,0"
    15331518)
    1534 xt "38000,23625,38750,24375"
     1519xt "32000,23625,32750,24375"
    15351520)
    15361521tg (CPTG
     
    15421527va (VaSet
    15431528)
    1544 xt "23600,23500,37000,24500"
     1529xt "17600,23500,31000,24500"
    15451530st "config_addr : (ADDR_WIDTH - 1:0)"
    15461531ju 2
    1547 blo "37000,24300"
     1532blo "31000,24300"
    15481533)
    15491534)
     
    15701555fg "0,65535,0"
    15711556)
    1572 xt "38000,26625,38750,27375"
     1557xt "32000,26625,32750,27375"
    15731558)
    15741559tg (CPTG
     
    15801565va (VaSet
    15811566)
    1582 xt "31700,26500,37000,27500"
     1567xt "25700,26500,31000,27500"
    15831568st "config_wr_en"
    15841569ju 2
    1585 blo "37000,27300"
     1570blo "31000,27300"
    15861571)
    15871572)
     
    16071592fg "0,65535,0"
    16081593)
    1609 xt "38000,27625,38750,28375"
     1594xt "32000,27625,32750,28375"
    16101595)
    16111596tg (CPTG
     
    16171602va (VaSet
    16181603)
    1619 xt "31800,27500,37000,28500"
     1604xt "25800,27500,31000,28500"
    16201605st "config_rd_en"
    16211606ju 2
    1622 blo "37000,28300"
     1607blo "31000,28300"
    16231608)
    16241609)
     
    16441629fg "0,65535,0"
    16451630)
    1646 xt "38000,31625,38750,32375"
     1631xt "32000,31625,32750,32375"
    16471632)
    16481633tg (CPTG
     
    16541639va (VaSet
    16551640)
    1656 xt "30400,31500,37000,32500"
     1641xt "24400,31500,31000,32500"
    16571642st "config_data_valid"
    16581643ju 2
    1659 blo "37000,32300"
     1644blo "31000,32300"
    16601645)
    16611646)
     
    16831668fg "0,65535,0"
    16841669)
    1685 xt "38000,32625,38750,33375"
     1670xt "32000,32625,32750,33375"
    16861671)
    16871672tg (CPTG
     
    16931678va (VaSet
    16941679)
    1695 xt "32200,32500,37000,33500"
     1680xt "26200,32500,31000,33500"
    16961681st "config_busy"
    16971682ju 2
    1698 blo "37000,33300"
     1683blo "31000,33300"
    16991684)
    17001685)
     
    17221707fg "0,65535,0"
    17231708)
    1724 xt "38000,33625,38750,34375"
     1709xt "32000,33625,32750,34375"
    17251710)
    17261711tg (CPTG
     
    17321717va (VaSet
    17331718)
    1734 xt "33300,33500,37000,34500"
     1719xt "27300,33500,31000,34500"
    17351720st "dac_array"
    17361721ju 2
    1737 blo "37000,34300"
     1722blo "31000,34300"
    17381723)
    17391724)
     
    17601745fg "0,65535,0"
    17611746)
    1762 xt "38000,34625,38750,35375"
     1747xt "32000,34625,32750,35375"
    17631748)
    17641749tg (CPTG
     
    17701755va (VaSet
    17711756)
    1772 xt "33600,34500,37000,35500"
     1757xt "27600,34500,31000,35500"
    17731758st "roi_array"
    17741759ju 2
    1775 blo "37000,35300"
     1760blo "31000,35300"
    17761761)
    17771762)
     
    17981783fg "0,65535,0"
    17991784)
    1800 xt "38000,37625,38750,38375"
     1785xt "32000,37625,32750,38375"
    18011786)
    18021787tg (CPTG
     
    18081793va (VaSet
    18091794)
    1810 xt "29100,37500,37000,38500"
     1795xt "23100,37500,31000,38500"
    18111796st "ram_data_in : (15:0)"
    18121797ju 2
    1813 blo "37000,38300"
     1798blo "31000,38300"
    18141799)
    18151800)
     
    18351820fg "0,65535,0"
    18361821)
    1837 xt "38000,38625,38750,39375"
     1822xt "32000,38625,32750,39375"
    18381823)
    18391824tg (CPTG
     
    18451830va (VaSet
    18461831)
    1847 xt "29100,38500,37000,39500"
     1832xt "23100,38500,31000,39500"
    18481833st "ram_write_en : (0:0)"
    18491834ju 2
    1850 blo "37000,39300"
     1835blo "31000,39300"
    18511836)
    18521837)
     
    18721857fg "0,65535,0"
    18731858)
    1874 xt "12250,24625,13000,25375"
     1859xt "6250,38625,7000,39375"
    18751860)
    18761861tg (CPTG
     
    18821867va (VaSet
    18831868)
    1884 xt "14000,24500,22300,25500"
     1869xt "8000,38500,16300,39500"
    18851870st "ram_data_out : (15:0)"
    1886 blo "14000,25300"
     1871blo "8000,39300"
    18871872)
    18881873)
     
    19071892fg "0,65535,0"
    19081893)
    1909 xt "38000,39625,38750,40375"
     1894xt "32000,39625,32750,40375"
    19101895)
    19111896tg (CPTG
     
    19171902va (VaSet
    19181903)
    1919 xt "24400,39500,37000,40500"
     1904xt "18400,39500,31000,40500"
    19201905st "ram_addr : (ADDR_WIDTH - 1:0)"
    19211906ju 2
    1922 blo "37000,40300"
     1907blo "31000,40300"
    19231908)
    19241909)
     
    19441929fg "0,65535,0"
    19451930)
    1946 xt "38000,30625,38750,31375"
     1931xt "32000,30625,32750,31375"
    19471932)
    19481933tg (CPTG
     
    19541939va (VaSet
    19551940)
    1956 xt "31400,30500,37000,31500"
     1941xt "25400,30500,31000,31500"
    19571942st "config_started"
    19581943ju 2
    1959 blo "37000,31300"
     1944blo "31000,31300"
    19601945)
    19611946)
     
    19801965lineWidth 2
    19811966)
    1982 xt "13000,23000,38000,42000"
     1967xt "7000,23000,32000,42000"
    19831968)
    19841969oxt "42000,14000,67000,32000"
     
    19931978font "Arial,8,1"
    19941979)
    1995 xt "12950,42000,19150,43000"
     1980xt "6950,42000,13150,43000"
    19961981st "FACT_FAD_lib"
    1997 blo "12950,42800"
     1982blo "6950,42800"
    19981983tm "BdLibraryNameMgr"
    19991984)
     
    20031988font "Arial,8,1"
    20041989)
    2005 xt "12950,43000,20050,44000"
     1990xt "6950,43000,14050,44000"
    20061991st "control_manager"
    2007 blo "12950,43800"
     1992blo "6950,43800"
    20081993tm "CptNameMgr"
    20091994)
     
    20131998font "Arial,8,1"
    20141999)
    2015 xt "12950,44000,20650,45000"
     2000xt "6950,44000,14650,45000"
    20162001st "I_control_manager"
    2017 blo "12950,44800"
     2002blo "6950,44800"
    20182003tm "InstanceNameMgr"
    20192004)
     
    20302015font "Courier New,8,0"
    20312016)
    2032 xt "12500,10600,30000,13000"
     2017xt "10000,20600,27500,23000"
    20332018st "NO_OF_ROI  = 36    ( integer ) 
    20342019NO_OF_DAC  = 8     ( integer ) 
     
    20622047fg "49152,49152,49152"
    20632048)
    2064 xt "13250,40250,14750,41750"
     2049xt "7250,40250,8750,41750"
    20652050iconName "VhdlFileViewIcon.png"
    20662051iconMaskName "VhdlFileViewIcon.msk"
     
    20812066shape (Triangle
    20822067uid 971,0
    2083 ro 90
     2068ro 180
    20842069va (VaSet
    20852070vasetType 1
    20862071fg "0,65535,0"
    20872072)
    2088 xt "19250,50625,20000,51375"
     2073xt "42625,41250,43375,42000"
    20892074)
    20902075tg (CPTG
    20912076uid 972,0
    20922077ps "CptPortTextPlaceStrategy"
    2093 stg "VerticalLayoutStrategy"
     2078stg "RightVerticalLayoutStrategy"
    20942079f (Text
    20952080uid 973,0
    2096 va (VaSet
    2097 )
    2098 xt "21000,50500,22700,51500"
     2081ro 270
     2082va (VaSet
     2083)
     2084xt "42500,43000,43500,44700"
    20992085st "clka"
    2100 blo "21000,51300"
     2086ju 2
     2087blo "43300,43000"
    21012088)
    21022089)
     
    21222109fg "0,65535,0"
    21232110)
    2124 xt "19250,52625,20000,53375"
     2111xt "39250,45625,40000,46375"
    21252112)
    21262113tg (CPTG
     
    21322119va (VaSet
    21332120)
    2134 xt "21000,52500,25800,53500"
     2121xt "41000,45500,45800,46500"
    21352122st "dina : (15:0)"
    2136 blo "21000,53300"
     2123blo "41000,46300"
    21372124)
    21382125)
     
    21592146fg "0,65535,0"
    21602147)
    2161 xt "19250,54625,20000,55375"
     2148xt "39250,47625,40000,48375"
    21622149)
    21632150tg (CPTG
     
    21692156va (VaSet
    21702157)
    2171 xt "21000,54500,25900,55500"
     2158xt "41000,47500,45900,48500"
    21722159st "addra : (7:0)"
    2173 blo "21000,55300"
     2160blo "41000,48300"
    21742161)
    21752162)
     
    21962183fg "0,65535,0"
    21972184)
    2198 xt "19250,53625,20000,54375"
     2185xt "39250,46625,40000,47375"
    21992186)
    22002187tg (CPTG
     
    22062193va (VaSet
    22072194)
    2208 xt "21000,53500,25300,54500"
     2195xt "41000,46500,45300,47500"
    22092196st "wea : (0:0)"
    2210 blo "21000,54300"
     2197blo "41000,47300"
    22112198)
    22122199)
     
    22332220fg "0,65535,0"
    22342221)
    2235 xt "30000,50625,30750,51375"
     2222xt "50000,43625,50750,44375"
    22362223)
    22372224tg (CPTG
     
    22432230va (VaSet
    22442231)
    2245 xt "23800,50500,29000,51500"
     2232xt "43800,43500,49000,44500"
    22462233st "douta : (15:0)"
    22472234ju 2
    2248 blo "29000,51300"
     2235blo "49000,44300"
    22492236)
    22502237)
     
    22712258lineWidth 2
    22722259)
    2273 xt "20000,49000,30000,59000"
     2260xt "40000,42000,50000,52000"
    22742261)
    22752262oxt "30000,7000,40000,17000"
     
    22842271font "Arial,8,1"
    22852272)
    2286 xt "20200,59000,26400,60000"
     2273xt "40200,52000,46400,53000"
    22872274st "FACT_FAD_lib"
    2288 blo "20200,59800"
     2275blo "40200,52800"
    22892276tm "BdLibraryNameMgr"
    22902277)
     
    22942281font "Arial,8,1"
    22952282)
    2296 xt "20200,60000,30100,61000"
     2283xt "40200,53000,50100,54000"
    22972284st "controlRAM_16bit_x256"
    2298 blo "20200,60800"
     2285blo "40200,53800"
    22992286tm "CptNameMgr"
    23002287)
     
    23042291font "Arial,8,1"
    23052292)
    2306 xt "20200,61000,26100,62000"
     2293xt "40200,54000,46100,55000"
    23072294st "I_control_ram"
    2308 blo "20200,61800"
     2295blo "40200,54800"
    23092296tm "InstanceNameMgr"
    23102297)
     
    23212308font "Courier New,8,0"
    23222309)
    2323 xt "19500,48000,19500,48000"
     2310xt "39500,41000,39500,41000"
    23242311)
    23252312header ""
     
    23352322fg "49152,49152,49152"
    23362323)
    2337 xt "20250,57250,21750,58750"
    2338 iconName "UnknownFile.png"
    2339 iconMaskName "UnknownFile.msk"
     2324xt "40250,50250,41750,51750"
     2325iconName "VhdlFileViewIcon.png"
     2326iconMaskName "VhdlFileViewIcon.msk"
     2327ftype 10
    23402328)
    23412329ordering 1
     
    23602348font "Courier New,8,0"
    23612349)
    2362 xt "22000,8400,41500,9200"
    2363 st "config_started    : std_logic := '0'
    2364 "
     2350xt "29000,8600,48500,9400"
     2351st "config_started    : std_logic := '0'"
    23652352)
    23662353)
     
    23782365sl 0
    23792366ro 270
    2380 xt "42500,30625,44000,31375"
     2367xt "36500,30625,38000,31375"
    23812368)
    23822369(Line
     
    23842371sl 0
    23852372ro 270
    2386 xt "42000,31000,42500,31000"
     2373xt "36000,31000,36500,31000"
    23872374pts [
    2388 "42000,31000"
    2389 "42500,31000"
     2375"36000,31000"
     2376"36500,31000"
    23902377]
    23912378)
     
    24022389va (VaSet
    24032390)
    2404 xt "45000,30500,50600,31500"
     2391xt "39000,30500,44600,31500"
    24052392st "config_started"
    2406 blo "45000,31300"
     2393blo "39000,31300"
    24072394tm "WireNameMgr"
    24082395)
     
    24172404lineWidth 2
    24182405)
    2419 xt "38750,24000,42000,24000"
     2406xt "32750,24000,36000,24000"
    24202407pts [
    2421 "42000,24000"
    2422 "40000,24000"
    2423 "38750,24000"
     2408"36000,24000"
     2409"32750,24000"
    24242410]
    24252411)
     
    24422428isHidden 1
    24432429)
    2444 xt "45000,23000,49800,24000"
     2430xt "39000,23000,43800,24000"
    24452431st "config_addr"
    2446 blo "45000,23800"
     2432blo "39000,23800"
    24472433tm "WireNameMgr"
    24482434)
     
    24582444lineWidth 2
    24592445)
    2460 xt "13000,39000,43000,54000"
     2446xt "32750,39000,39250,47000"
    24612447pts [
    2462 "19250,54000"
    2463 "13000,54000"
    2464 "13000,47000"
    2465 "43000,47000"
    2466 "43000,39000"
    2467 "38750,39000"
     2448"39250,47000"
     2449"34000,47000"
     2450"34000,39000"
     2451"32750,39000"
    24682452]
    24692453)
     
    24842468va (VaSet
    24852469)
    2486 xt "23000,46000,29300,47000"
     2470xt "34000,46000,40300,47000"
    24872471st "ram_wren : (0:0)"
    2488 blo "23000,46800"
     2472blo "34000,46800"
    24892473tm "WireNameMgr"
    24902474)
     
    25002484lineWidth 2
    25012485)
    2502 xt "14000,38000,44000,53000"
     2486xt "32750,38000,39250,46000"
    25032487pts [
    2504 "19250,53000"
    2505 "14000,53000"
    2506 "14000,48000"
    2507 "44000,48000"
    2508 "44000,38000"
    2509 "38750,38000"
     2488"39250,46000"
     2489"35000,46000"
     2490"35000,38000"
     2491"32750,38000"
    25102492]
    25112493)
     
    25262508va (VaSet
    25272509)
    2528 xt "23000,47000,30900,48000"
     2510xt "33000,37000,40900,38000"
    25292511st "ram_data_in : (15:0)"
    2530 blo "23000,47800"
     2512blo "33000,37800"
    25312513tm "WireNameMgr"
    25322514)
     
    25412523vasetType 3
    25422524)
    2543 xt "5000,24000,12250,24000"
     2525xt "4000,20000,6250,24000"
    25442526pts [
     2527"4000,20000"
     2528"5000,20000"
    25452529"5000,24000"
    2546 "12250,24000"
     2530"6250,24000"
    25472531]
    25482532)
     
    25642548isHidden 1
    25652549)
    2566 xt "7000,23000,8300,24000"
     2550xt "6000,19000,7300,20000"
    25672551st "clk"
    2568 blo "7000,23800"
     2552blo "6000,19800"
    25692553tm "WireNameMgr"
    25702554)
     
    25792563vasetType 3
    25802564)
    2581 xt "38750,32000,42000,32000"
     2565xt "32750,32000,36000,32000"
    25822566pts [
    2583 "38750,32000"
    2584 "42000,32000"
     2567"32750,32000"
     2568"36000,32000"
    25852569]
    25862570)
     
    26022586isHidden 1
    26032587)
    2604 xt "45000,30000,51600,31000"
     2588xt "39000,30000,45600,31000"
    26052589st "config_data_valid"
    2606 blo "45000,30800"
     2590blo "39000,30800"
    26072591tm "WireNameMgr"
    26082592)
     
    26172601vasetType 3
    26182602)
    2619 xt "38750,33000,42000,33000"
     2603xt "32750,33000,36000,33000"
    26202604pts [
    2621 "38750,33000"
    2622 "42000,33000"
     2605"32750,33000"
     2606"36000,33000"
    26232607]
    26242608)
     
    26402624isHidden 1
    26412625)
    2642 xt "45000,31000,49800,32000"
     2626xt "39000,31000,43800,32000"
    26432627st "config_busy"
    2644 blo "45000,31800"
     2628blo "39000,31800"
    26452629tm "WireNameMgr"
    26462630)
     
    26562640lineWidth 2
    26572641)
    2658 xt "38750,25000,42000,25000"
     2642xt "32750,25000,36000,25000"
    26592643pts [
    2660 "42000,25000"
    2661 "40000,25000"
    2662 "38750,25000"
     2644"36000,25000"
     2645"32750,25000"
    26632646]
    26642647)
     
    26812664isHidden 1
    26822665)
    2683 xt "45000,24000,49700,25000"
     2666xt "39000,24000,43700,25000"
    26842667st "config_data"
    2685 blo "45000,24800"
     2668blo "39000,24800"
    26862669tm "WireNameMgr"
    26872670)
     
    26962679vasetType 3
    26972680)
    2698 xt "38750,35000,42000,35000"
     2681xt "32750,35000,36000,35000"
    26992682pts [
    2700 "38750,35000"
    2701 "40000,35000"
    2702 "42000,35000"
     2683"32750,35000"
     2684"36000,35000"
    27032685]
    27042686)
     
    27202702isHidden 1
    27212703)
    2722 xt "45000,34000,48400,35000"
     2704xt "39000,34000,42400,35000"
    27232705st "roi_array"
    2724 blo "45000,34800"
     2706blo "39000,34800"
    27252707tm "WireNameMgr"
    27262708)
     
    27352717vasetType 3
    27362718)
    2737 xt "17000,51000,19250,51000"
     2719xt "43000,38000,43000,41250"
    27382720pts [
    2739 "17000,51000"
    2740 "19250,51000"
     2721"43000,38000"
     2722"43000,41250"
    27412723]
    27422724)
     
    27552737va (VaSet
    27562738)
    2757 xt "18000,50000,19300,51000"
     2739xt "44000,37000,45300,38000"
    27582740st "clk"
    2759 blo "18000,50800"
     2741blo "44000,37800"
    27602742tm "WireNameMgr"
    27612743)
     
    27712753lineWidth 2
    27722754)
    2773 xt "12000,40000,42000,55000"
     2755xt "32750,40000,39250,48000"
    27742756pts [
    2775 "38750,40000"
    2776 "42000,40000"
    2777 "42000,46000"
    2778 "12000,46000"
    2779 "12000,55000"
    2780 "19250,55000"
     2757"32750,40000"
     2758"33000,40000"
     2759"33000,48000"
     2760"39250,48000"
    27812761]
    27822762)
     
    27972777va (VaSet
    27982778)
    2799 xt "23000,45000,29200,46000"
     2779xt "33000,47000,39200,48000"
    28002780st "ram_addr : (7:0)"
    2801 blo "23000,45800"
     2781blo "33000,47800"
    28022782tm "WireNameMgr"
    28032783)
     
    28122792vasetType 3
    28132793)
    2814 xt "38750,30000,42000,30000"
     2794xt "32750,30000,36000,30000"
    28152795pts [
    2816 "42000,30000"
    2817 "40000,30000"
    2818 "38750,30000"
     2796"36000,30000"
     2797"32750,30000"
    28192798]
    28202799)
     
    28362815isHidden 1
    28372816)
    2838 xt "45000,29000,49800,30000"
     2817xt "39000,29000,43800,30000"
    28392818st "config_start"
    2840 blo "45000,29800"
     2819blo "39000,29800"
    28412820tm "WireNameMgr"
    28422821)
     
    28512830vasetType 3
    28522831)
    2853 xt "38750,27000,42000,27000"
     2832xt "32750,27000,36000,27000"
    28542833pts [
    2855 "42000,27000"
    2856 "40000,27000"
    2857 "38750,27000"
     2834"36000,27000"
     2835"32750,27000"
    28582836]
    28592837)
     
    28752853isHidden 1
    28762854)
    2877 xt "45000,26000,50300,27000"
     2855xt "39000,26000,44300,27000"
    28782856st "config_wr_en"
    2879 blo "45000,26800"
     2857blo "39000,26800"
    28802858tm "WireNameMgr"
    28812859)
     
    28912869lineWidth 2
    28922870)
    2893 xt "9000,25000,34000,64000"
     2871xt "3000,39000,52000,56000"
    28942872pts [
    2895 "12250,25000"
    2896 "9000,25000"
    2897 "9000,64000"
    2898 "34000,64000"
    2899 "34000,51000"
    2900 "30750,51000"
     2873"6250,39000"
     2874"3000,39000"
     2875"3000,56000"
     2876"52000,56000"
     2877"52000,44000"
     2878"50750,44000"
    29012879]
    29022880)
     
    29172895va (VaSet
    29182896)
    2919 xt "20000,63000,28300,64000"
     2897xt "33000,55000,41300,56000"
    29202898st "ram_data_out : (15:0)"
    2921 blo "20000,63800"
     2899blo "33000,55800"
    29222900tm "WireNameMgr"
    29232901)
     
    29322910vasetType 3
    29332911)
    2934 xt "38750,34000,42000,34000"
     2912xt "32750,34000,36000,34000"
    29352913pts [
    2936 "38750,34000"
    2937 "40000,34000"
    2938 "42000,34000"
     2914"32750,34000"
     2915"36000,34000"
    29392916]
    29402917)
     
    29562933isHidden 1
    29572934)
    2958 xt "45000,33000,48700,34000"
     2935xt "39000,33000,42700,34000"
    29592936st "dac_array"
    2960 blo "45000,33800"
     2937blo "39000,33800"
    29612938tm "WireNameMgr"
    29622939)
     
    29712948vasetType 3
    29722949)
    2973 xt "38750,28000,42000,28000"
     2950xt "32750,28000,36000,28000"
    29742951pts [
    2975 "42000,28000"
    2976 "40000,28000"
    2977 "38750,28000"
     2952"36000,28000"
     2953"32750,28000"
    29782954]
    29792955)
     
    29952971isHidden 1
    29962972)
    2997 xt "45000,27000,50200,28000"
     2973xt "39000,27000,44200,28000"
    29982974st "config_rd_en"
    2999 blo "45000,27800"
     2975blo "39000,27800"
    30002976tm "WireNameMgr"
    30012977)
     
    30102986vasetType 3
    30112987)
    3012 xt "38750,29000,42000,29000"
     2988xt "32750,29000,36000,29000"
    30132989pts [
    3014 "38750,29000"
    3015 "40000,29000"
    3016 "42000,29000"
     2990"32750,29000"
     2991"36000,29000"
    30172992]
    30182993)
     
    30343009isHidden 1
    30353010)
    3036 xt "45000,28000,50100,29000"
     3011xt "39000,28000,44100,29000"
    30373012st "config_ready"
    3038 blo "45000,28800"
     3013blo "39000,28800"
    30393014tm "WireNameMgr"
    30403015)
     
    30493024vasetType 3
    30503025)
    3051 xt "38750,31000,42000,31000"
     3026xt "32750,31000,36000,31000"
    30523027pts [
    3053 "38750,31000"
    3054 "42000,31000"
     3028"32750,31000"
     3029"36000,31000"
    30553030]
    30563031)
     
    30723047isHidden 1
    30733048)
    3074 xt "40000,30000,45600,31000"
     3049xt "34000,30000,39600,31000"
    30753050st "config_started"
    3076 blo "40000,30800"
     3051blo "34000,30800"
    30773052tm "WireNameMgr"
    30783053)
     
    31013076font "arial,8,1"
    31023077)
    3103 xt "0,0,5400,1000"
     3078xt "1000,1000,6400,2000"
    31043079st "Package List"
    3105 blo "0,800"
     3080blo "1000,1800"
    31063081)
    31073082*88 (MLText
     
    31093084va (VaSet
    31103085)
    3111 xt "0,1000,15300,6000"
     3086xt "1000,2000,16300,7000"
    31123087st "LIBRARY ieee;
    31133088USE ieee.std_logic_1164.ALL;
     
    31923167associable 1
    31933168)
    3194 windowSize "0,22,1286,1024"
    3195 viewArea "834,16774,54098,59806"
    3196 cachedDiagramExtent "0,0,53000,77000"
     3169windowSize "0,0,1281,1002"
     3170viewArea "-6400,12035,60443,65774"
     3171cachedDiagramExtent "700,0,59000,77000"
    31973172pageSetupInfo (PageSetupInfo
    31983173ptrCmd "Brother HL-5270DN series,winspool,"
     
    32203195hasePageBreakOrigin 1
    32213196pageBreakOrigin "0,0"
    3222 lastUid 1122,0
     3197lastUid 1172,0
    32233198defaultCommentText (CommentText
    32243199shape (Rectangle
     
    41674142font "Arial,8,1"
    41684143)
    4169 xt "20000,0,25400,1000"
     4144xt "27000,200,32400,1200"
    41704145st "Declarations"
    4171 blo "20000,800"
     4146blo "27000,1000"
    41724147)
    41734148portLabel (Text
     
    41764151font "Arial,8,1"
    41774152)
    4178 xt "20000,1000,22700,2000"
     4153xt "27000,1200,29700,2200"
    41794154st "Ports:"
    4180 blo "20000,1800"
     4155blo "27000,2000"
    41814156)
    41824157preUserLabel (Text
     
    41864161font "Arial,8,1"
    41874162)
    4188 xt "20000,0,23800,1000"
     4163xt "27000,200,30800,1200"
    41894164st "Pre User:"
    4190 blo "20000,800"
     4165blo "27000,1000"
    41914166)
    41924167preUserText (MLText
     
    41964171font "Courier New,8,0"
    41974172)
    4198 xt "20000,0,20000,0"
     4173xt "27000,200,27000,200"
    41994174tm "BdDeclarativeTextMgr"
    42004175)
     
    42044179font "Arial,8,1"
    42054180)
    4206 xt "20000,11600,27100,12600"
     4181xt "27000,11800,34100,12800"
    42074182st "Diagram Signals:"
    4208 blo "20000,12400"
     4183blo "27000,12600"
    42094184)
    42104185postUserLabel (Text
     
    42144189font "Arial,8,1"
    42154190)
    4216 xt "20000,0,24700,1000"
     4191xt "27000,200,31700,1200"
    42174192st "Post User:"
    4218 blo "20000,800"
     4193blo "27000,1000"
    42194194)
    42204195postUserText (MLText
     
    42244199font "Courier New,8,0"
    42254200)
    4226 xt "20000,0,20000,0"
     4201xt "27000,200,27000,200"
    42274202tm "BdDeclarativeTextMgr"
    42284203)
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/control_unit/symbol.sb

    r246 r252  
    2525)
    2626version "24.1"
    27 appVersion "2009.1 (Build 12)"
     27appVersion "2009.2 (Build 10)"
    2828model (Symbol
    2929commonDM (CommonDM
    3030ldm (LogicalDM
    31 suid 12,0
     31suid 14,0
    3232usingSuid 1
    3333emptyRow *1 (LEmptyRow
     
    122122t "std_logic_vector"
    123123b "(15 DOWNTO 0)"
    124 o 12
     124o 14
    125125suid 5,0
    126126)
     
    134134n "roi_array"
    135135t "roi_array_type"
    136 o 11
     136o 13
    137137suid 6,0
    138138)
     
    210210uid 349,0
    211211)
     212*26 (LogPort
     213port (LogicalPort
     214m 1
     215decl (Decl
     216n "drs_address"
     217t "std_logic_vector"
     218b "(3 DOWNTO 0)"
     219o 11
     220suid 13,0
     221)
     222)
     223uid 518,0
     224)
     225*27 (LogPort
     226port (LogicalPort
     227m 1
     228decl (Decl
     229n "drs_address_mode"
     230t "std_logic"
     231o 12
     232suid 14,0
     233)
     234)
     235uid 520,0
     236)
    212237]
    213238)
     
    217242uid 66,0
    218243optionalChildren [
    219 *26 (Sheet
     244*28 (Sheet
    220245sheetRow (SheetRow
    221246headerVa (MVa
     
    234259font "Tahoma,10,0"
    235260)
    236 emptyMRCItem *27 (MRCItem
     261emptyMRCItem *29 (MRCItem
    237262litem &1
    238263pos 11
     
    241266uid 68,0
    242267optionalChildren [
    243 *28 (MRCItem
     268*30 (MRCItem
    244269litem &2
    245270pos 0
     
    247272uid 69,0
    248273)
    249 *29 (MRCItem
     274*31 (MRCItem
    250275litem &3
    251276pos 1
     
    253278uid 70,0
    254279)
    255 *30 (MRCItem
     280*32 (MRCItem
    256281litem &4
    257282pos 2
     
    260285uid 71,0
    261286)
    262 *31 (MRCItem
     287*33 (MRCItem
    263288litem &14
    264289pos 0
     
    266291uid 108,0
    267292)
    268 *32 (MRCItem
     293*34 (MRCItem
    269294litem &15
    270295pos 1
     
    272297uid 110,0
    273298)
    274 *33 (MRCItem
     299*35 (MRCItem
    275300litem &16
    276301pos 2
     
    278303uid 112,0
    279304)
    280 *34 (MRCItem
     305*36 (MRCItem
    281306litem &17
    282307pos 3
     
    284309uid 114,0
    285310)
    286 *35 (MRCItem
     311*37 (MRCItem
    287312litem &18
    288313pos 4
     
    290315uid 116,0
    291316)
    292 *36 (MRCItem
     317*38 (MRCItem
    293318litem &19
    294319pos 5
     
    296321uid 118,0
    297322)
    298 *37 (MRCItem
     323*39 (MRCItem
    299324litem &20
    300325pos 6
     
    302327uid 120,0
    303328)
    304 *38 (MRCItem
     329*40 (MRCItem
    305330litem &21
    306331pos 7
     
    308333uid 122,0
    309334)
    310 *39 (MRCItem
     335*41 (MRCItem
    311336litem &22
    312337pos 8
     
    314339uid 124,0
    315340)
    316 *40 (MRCItem
     341*42 (MRCItem
    317342litem &23
    318343pos 9
     
    320345uid 126,0
    321346)
    322 *41 (MRCItem
     347*43 (MRCItem
    323348litem &24
    324349pos 10
     
    326351uid 128,0
    327352)
    328 *42 (MRCItem
     353*44 (MRCItem
    329354litem &25
    330355pos 11
    331356dimension 20
    332357uid 348,0
     358)
     359*45 (MRCItem
     360litem &26
     361pos 12
     362dimension 20
     363uid 517,0
     364)
     365*46 (MRCItem
     366litem &27
     367pos 13
     368dimension 20
     369uid 519,0
    333370)
    334371]
     
    343380uid 72,0
    344381optionalChildren [
    345 *43 (MRCItem
     382*47 (MRCItem
    346383litem &5
    347384pos 0
     
    349386uid 73,0
    350387)
    351 *44 (MRCItem
     388*48 (MRCItem
    352389litem &7
    353390pos 1
     
    355392uid 74,0
    356393)
    357 *45 (MRCItem
     394*49 (MRCItem
    358395litem &8
    359396pos 2
     
    361398uid 75,0
    362399)
    363 *46 (MRCItem
     400*50 (MRCItem
    364401litem &9
    365402pos 3
     
    367404uid 76,0
    368405)
    369 *47 (MRCItem
     406*51 (MRCItem
    370407litem &10
    371408pos 4
     
    373410uid 77,0
    374411)
    375 *48 (MRCItem
     412*52 (MRCItem
    376413litem &11
    377414pos 5
     
    379416uid 78,0
    380417)
    381 *49 (MRCItem
     418*53 (MRCItem
    382419litem &12
    383420pos 6
     
    385422uid 79,0
    386423)
    387 *50 (MRCItem
     424*54 (MRCItem
    388425litem &13
    389426pos 7
     
    406443genericsCommonDM (CommonDM
    407444ldm (LogicalDM
    408 emptyRow *51 (LEmptyRow
     445emptyRow *55 (LEmptyRow
    409446)
    410447uid 82,0
    411448optionalChildren [
    412 *52 (RefLabelRowHdr
    413 )
    414 *53 (TitleRowHdr
    415 )
    416 *54 (FilterRowHdr
    417 )
    418 *55 (RefLabelColHdr
     449*56 (RefLabelRowHdr
     450)
     451*57 (TitleRowHdr
     452)
     453*58 (FilterRowHdr
     454)
     455*59 (RefLabelColHdr
    419456tm "RefLabelColHdrMgr"
    420457)
    421 *56 (RowExpandColHdr
     458*60 (RowExpandColHdr
    422459tm "RowExpandColHdrMgr"
    423460)
    424 *57 (GroupColHdr
     461*61 (GroupColHdr
    425462tm "GroupColHdrMgr"
    426463)
    427 *58 (NameColHdr
     464*62 (NameColHdr
    428465tm "GenericNameColHdrMgr"
    429466)
    430 *59 (TypeColHdr
     467*63 (TypeColHdr
    431468tm "GenericTypeColHdrMgr"
    432469)
    433 *60 (InitColHdr
     470*64 (InitColHdr
    434471tm "GenericValueColHdrMgr"
    435472)
    436 *61 (PragmaColHdr
     473*65 (PragmaColHdr
    437474tm "GenericPragmaColHdrMgr"
    438475)
    439 *62 (EolColHdr
     476*66 (EolColHdr
    440477tm "GenericEolColHdrMgr"
    441478)
     
    447484uid 94,0
    448485optionalChildren [
    449 *63 (Sheet
     486*67 (Sheet
    450487sheetRow (SheetRow
    451488headerVa (MVa
     
    464501font "Tahoma,10,0"
    465502)
    466 emptyMRCItem *64 (MRCItem
    467 litem &51
     503emptyMRCItem *68 (MRCItem
     504litem &55
    468505pos 0
    469506dimension 20
     
    471508uid 96,0
    472509optionalChildren [
    473 *65 (MRCItem
    474 litem &52
     510*69 (MRCItem
     511litem &56
    475512pos 0
    476513dimension 20
    477514uid 97,0
    478515)
    479 *66 (MRCItem
    480 litem &53
     516*70 (MRCItem
     517litem &57
    481518pos 1
    482519dimension 23
    483520uid 98,0
    484521)
    485 *67 (MRCItem
    486 litem &54
     522*71 (MRCItem
     523litem &58
    487524pos 2
    488525hidden 1
     
    501538uid 100,0
    502539optionalChildren [
    503 *68 (MRCItem
    504 litem &55
     540*72 (MRCItem
     541litem &59
    505542pos 0
    506543dimension 20
    507544uid 101,0
    508545)
    509 *69 (MRCItem
    510 litem &57
     546*73 (MRCItem
     547litem &61
    511548pos 1
    512549dimension 50
    513550uid 102,0
    514551)
    515 *70 (MRCItem
    516 litem &58
     552*74 (MRCItem
     553litem &62
    517554pos 2
    518555dimension 100
    519556uid 103,0
    520557)
    521 *71 (MRCItem
    522 litem &59
     558*75 (MRCItem
     559litem &63
    523560pos 3
    524561dimension 100
    525562uid 104,0
    526563)
    527 *72 (MRCItem
    528 litem &60
     564*76 (MRCItem
     565litem &64
    529566pos 4
    530567dimension 50
    531568uid 105,0
    532569)
    533 *73 (MRCItem
    534 litem &61
     570*77 (MRCItem
     571litem &65
    535572pos 5
    536573dimension 50
    537574uid 106,0
    538575)
    539 *74 (MRCItem
    540 litem &62
     576*78 (MRCItem
     577litem &66
    541578pos 6
    542579dimension 80
     
    561598(vvPair
    562599variable "HDLDir"
    563 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hdl"
     600value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    564601)
    565602(vvPair
    566603variable "HDSDir"
    567 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds"
     604value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    568605)
    569606(vvPair
    570607variable "SideDataDesignDir"
    571 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\symbol.sb.info"
     608value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\symbol.sb.info"
    572609)
    573610(vvPair
    574611variable "SideDataUserDir"
    575 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\symbol.sb.user"
     612value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\symbol.sb.user"
    576613)
    577614(vvPair
    578615variable "SourceDir"
    579 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds"
     616value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    580617)
    581618(vvPair
     
    593630(vvPair
    594631variable "d"
    595 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
     632value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
    596633)
    597634(vvPair
    598635variable "d_logical"
    599 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
     636value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit"
    600637)
    601638(vvPair
    602639variable "date"
    603 value "27.05.2010"
     640value "12.07.2010"
    604641)
    605642(vvPair
    606643variable "day"
    607 value "Do"
     644value "Mo"
    608645)
    609646(vvPair
    610647variable "day_long"
    611 value "Donnerstag"
     648value "Montag"
    612649)
    613650(vvPair
    614651variable "dd"
    615 value "27"
     652value "12"
    616653)
    617654(vvPair
     
    641678(vvPair
    642679variable "host"
    643 value "IHP110"
     680value "TU-CC4900F8C7D2"
    644681)
    645682(vvPair
     
    669706(vvPair
    670707variable "mm"
    671 value "05"
     708value "07"
    672709)
    673710(vvPair
     
    677714(vvPair
    678715variable "month"
    679 value "Mai"
     716value "Jul"
    680717)
    681718(vvPair
    682719variable "month_long"
    683 value "Mai"
     720value "Juli"
    684721)
    685722(vvPair
    686723variable "p"
    687 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\symbol.sb"
     724value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\symbol.sb"
    688725)
    689726(vvPair
    690727variable "p_logical"
    691 value "D:\\FAD_Firmware\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\symbol.sb"
     728value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\control_unit\\symbol.sb"
    692729)
    693730(vvPair
     
    713750(vvPair
    714751variable "task_ModelSimPath"
    715 value "D:\\modeltech_6.5e\\win32"
     752value "<TBD>"
    716753)
    717754(vvPair
     
    745782(vvPair
    746783variable "time"
    747 value "10:24:05"
     784value "14:13:34"
    748785)
    749786(vvPair
     
    753790(vvPair
    754791variable "user"
    755 value "daqct3"
     792value "dneise"
    756793)
    757794(vvPair
    758795variable "version"
    759 value "2009.1 (Build 12)"
     796value "2009.2 (Build 10)"
    760797)
    761798(vvPair
     
    776813uid 51,0
    777814optionalChildren [
    778 *75 (SymbolBody
     815*79 (SymbolBody
    779816uid 8,0
    780817optionalChildren [
    781 *76 (CptPort
     818*80 (CptPort
    782819uid 130,0
    783820ps "OnEdgeStrategy"
     
    811848)
    812849xt "44000,2000,65000,2800"
    813 st "clk               : IN     STD_LOGIC  ;"
     850st "clk               : IN     STD_LOGIC  ;
     851"
    814852)
    815853thePort (LogicalPort
     
    822860)
    823861)
    824 *77 (CptPort
     862*81 (CptPort
    825863uid 135,0
    826864ps "OnEdgeStrategy"
     
    855893)
    856894xt "44000,2800,75000,3600"
    857 st "config_addr       : IN     std_logic_vector (7 DOWNTO 0) ;"
     895st "config_addr       : IN     std_logic_vector (7 DOWNTO 0) ;
     896"
    858897)
    859898thePort (LogicalPort
     
    867906)
    868907)
    869 *78 (CptPort
     908*82 (CptPort
    870909uid 140,0
    871910ps "OnEdgeStrategy"
     
    900939)
    901940xt "44000,6800,65000,7600"
    902 st "config_data_valid : OUT    std_logic  ;"
     941st "config_data_valid : OUT    std_logic  ;
     942"
    903943)
    904944thePort (LogicalPort
     
    912952)
    913953)
    914 *79 (CptPort
     954*83 (CptPort
    915955uid 145,0
    916956ps "OnEdgeStrategy"
     
    945985)
    946986xt "44000,6000,65000,6800"
    947 st "config_busy       : OUT    std_logic  ;"
     987st "config_busy       : OUT    std_logic  ;
     988"
    948989)
    949990thePort (LogicalPort
     
    957998)
    958999)
    959 *80 (CptPort
     1000*84 (CptPort
    9601001uid 150,0
    9611002ps "OnEdgeStrategy"
     
    9891030font "Courier New,8,0"
    9901031)
    991 xt "44000,10800,74500,11600"
    992 st "config_data       : INOUT  std_logic_vector (15 DOWNTO 0)"
     1032xt "44000,12400,74500,13200"
     1033st "config_data       : INOUT  std_logic_vector (15 DOWNTO 0)
     1034"
    9931035)
    9941036thePort (LogicalPort
     
    9981040t "std_logic_vector"
    9991041b "(15 DOWNTO 0)"
    1000 o 12
     1042o 14
    10011043suid 5,0
    10021044)
    10031045)
    10041046)
    1005 *81 (CptPort
     1047*85 (CptPort
    10061048uid 155,0
    10071049ps "OnEdgeStrategy"
     
    10351077font "Courier New,8,0"
    10361078)
    1037 xt "44000,10000,68000,10800"
    1038 st "roi_array         : OUT    roi_array_type  ;"
     1079xt "44000,11600,68000,12400"
     1080st "roi_array         : OUT    roi_array_type  ;
     1081"
    10391082)
    10401083thePort (LogicalPort
     
    10431086n "roi_array"
    10441087t "roi_array_type"
    1045 o 11
     1088o 13
    10461089suid 6,0
    10471090)
    10481091)
    10491092)
    1050 *82 (CptPort
     1093*86 (CptPort
    10511094uid 160,0
    10521095ps "OnEdgeStrategy"
     
    10811124)
    10821125xt "44000,5200,65000,6000"
    1083 st "config_wr_en      : IN     std_logic  ;"
     1126st "config_wr_en      : IN     std_logic  ;
     1127"
    10841128)
    10851129thePort (LogicalPort
     
    10921136)
    10931137)
    1094 *83 (CptPort
     1138*87 (CptPort
    10951139uid 165,0
    10961140ps "OnEdgeStrategy"
     
    11251169)
    11261170xt "44000,9200,68000,10000"
    1127 st "dac_array         : OUT    dac_array_type  ;"
     1171st "dac_array         : OUT    dac_array_type  ;
     1172"
    11281173)
    11291174thePort (LogicalPort
     
    11371182)
    11381183)
    1139 *84 (CptPort
     1184*88 (CptPort
    11401185uid 170,0
    11411186ps "OnEdgeStrategy"
     
    11701215)
    11711216xt "44000,3600,65000,4400"
    1172 st "config_rd_en      : IN     std_logic  ;"
     1217st "config_rd_en      : IN     std_logic  ;
     1218"
    11731219)
    11741220thePort (LogicalPort
     
    11811227)
    11821228)
    1183 *85 (CptPort
     1229*89 (CptPort
    11841230uid 175,0
    11851231ps "OnEdgeStrategy"
     
    12141260)
    12151261xt "44000,4400,65000,5200"
    1216 st "config_start      : IN     std_logic  ;"
     1262st "config_start      : IN     std_logic  ;
     1263"
    12171264)
    12181265thePort (LogicalPort
     
    12251272)
    12261273)
    1227 *86 (CptPort
     1274*90 (CptPort
    12281275uid 180,0
    12291276ps "OnEdgeStrategy"
     
    12581305)
    12591306xt "44000,7600,65000,8400"
    1260 st "config_ready      : OUT    std_logic  ;"
     1307st "config_ready      : OUT    std_logic  ;
     1308"
    12611309)
    12621310thePort (LogicalPort
     
    12701318)
    12711319)
    1272 *87 (CptPort
     1320*91 (CptPort
    12731321uid 350,0
    12741322ps "OnEdgeStrategy"
     
    13131361)
    13141362xt "44000,8400,69000,9200"
    1315 st "config_started    : OUT    std_logic  := '0' ;"
     1363st "config_started    : OUT    std_logic  := '0' ;
     1364"
    13161365)
    13171366thePort (LogicalPort
     
    13261375)
    13271376)
     1377*92 (CptPort
     1378uid 521,0
     1379ps "OnEdgeStrategy"
     1380shape (Triangle
     1381uid 522,0
     1382ro 90
     1383va (VaSet
     1384vasetType 1
     1385fg "0,65535,0"
     1386)
     1387xt "33000,28625,33750,29375"
     1388)
     1389tg (CPTG
     1390uid 523,0
     1391ps "CptPortTextPlaceStrategy"
     1392stg "RightVerticalLayoutStrategy"
     1393f (Text
     1394uid 524,0
     1395va (VaSet
     1396)
     1397xt "24800,28500,32000,29500"
     1398st "drs_address : (3:0)"
     1399ju 2
     1400blo "32000,29300"
     1401tm "CptPortNameMgr"
     1402)
     1403)
     1404dt (MLText
     1405uid 525,0
     1406va (VaSet
     1407font "Courier New,8,0"
     1408)
     1409xt "44000,10000,75000,10800"
     1410st "drs_address       : OUT    std_logic_vector (3 DOWNTO 0) ;
     1411"
     1412)
     1413thePort (LogicalPort
     1414m 1
     1415decl (Decl
     1416n "drs_address"
     1417t "std_logic_vector"
     1418b "(3 DOWNTO 0)"
     1419o 11
     1420suid 13,0
     1421)
     1422)
     1423)
     1424*93 (CptPort
     1425uid 526,0
     1426ps "OnEdgeStrategy"
     1427shape (Triangle
     1428uid 527,0
     1429ro 90
     1430va (VaSet
     1431vasetType 1
     1432fg "0,65535,0"
     1433)
     1434xt "33000,30625,33750,31375"
     1435)
     1436tg (CPTG
     1437uid 528,0
     1438ps "CptPortTextPlaceStrategy"
     1439stg "RightVerticalLayoutStrategy"
     1440f (Text
     1441uid 529,0
     1442va (VaSet
     1443)
     1444xt "24800,30500,32000,31500"
     1445st "drs_address_mode"
     1446ju 2
     1447blo "32000,31300"
     1448tm "CptPortNameMgr"
     1449)
     1450)
     1451dt (MLText
     1452uid 530,0
     1453va (VaSet
     1454font "Courier New,8,0"
     1455)
     1456xt "44000,10800,65000,11600"
     1457st "drs_address_mode  : OUT    std_logic  ;
     1458"
     1459)
     1460thePort (LogicalPort
     1461m 1
     1462decl (Decl
     1463n "drs_address_mode"
     1464t "std_logic"
     1465o 12
     1466suid 14,0
     1467)
     1468)
     1469)
    13281470]
    13291471shape (Rectangle
     
    13351477lineWidth 2
    13361478)
    1337 xt "15000,13000,33000,28000"
     1479xt "15000,13000,33000,32000"
    13381480)
    13391481oxt "15000,13000,33000,26000"
     
    13611503)
    13621504)
    1363 gi *88 (GenericInterface
     1505gi *94 (GenericInterface
    13641506uid 13,0
    13651507ps "CenterOffsetStrategy"
     
    13881530)
    13891531)
    1390 *89 (Grouping
     1532*95 (Grouping
    13911533uid 16,0
    13921534optionalChildren [
    1393 *90 (CommentText
     1535*96 (CommentText
    13941536uid 18,0
    13951537shape (Rectangle
     
    14091551bg "0,0,32768"
    14101552)
    1411 xt "36200,48000,45900,49000"
     1553xt "36200,48000,45500,49000"
    14121554st "
    14131555by %user on %dd %month %year
     
    14221564titleBlock 1
    14231565)
    1424 *91 (CommentText
     1566*97 (CommentText
    14251567uid 21,0
    14261568shape (Rectangle
     
    14531595titleBlock 1
    14541596)
    1455 *92 (CommentText
     1597*98 (CommentText
    14561598uid 24,0
    14571599shape (Rectangle
     
    14841626titleBlock 1
    14851627)
    1486 *93 (CommentText
     1628*99 (CommentText
    14871629uid 27,0
    14881630shape (Rectangle
     
    15151657titleBlock 1
    15161658)
    1517 *94 (CommentText
     1659*100 (CommentText
    15181660uid 30,0
    15191661shape (Rectangle
     
    15451687titleBlock 1
    15461688)
    1547 *95 (CommentText
     1689*101 (CommentText
    15481690uid 33,0
    15491691shape (Rectangle
     
    15761718titleBlock 1
    15771719)
    1578 *96 (CommentText
     1720*102 (CommentText
    15791721uid 36,0
    15801722shape (Rectangle
     
    16081750titleBlock 1
    16091751)
    1610 *97 (CommentText
     1752*103 (CommentText
    16111753uid 39,0
    16121754shape (Rectangle
     
    16391781titleBlock 1
    16401782)
    1641 *98 (CommentText
     1783*104 (CommentText
    16421784uid 42,0
    16431785shape (Rectangle
     
    16701812titleBlock 1
    16711813)
    1672 *99 (CommentText
     1814*105 (CommentText
    16731815uid 45,0
    16741816shape (Rectangle
     
    17261868color "26368,26368,26368"
    17271869)
    1728 packageList *100 (PackageList
     1870packageList *106 (PackageList
    17291871uid 48,0
    17301872stg "VerticalLayoutStrategy"
    17311873textVec [
    1732 *101 (Text
     1874*107 (Text
    17331875uid 49,0
    17341876va (VaSet
     
    17391881blo "0,800"
    17401882)
    1741 *102 (MLText
     1883*108 (MLText
    17421884uid 50,0
    17431885va (VaSet
     
    18401982)
    18411983)
    1842 gi *103 (GenericInterface
     1984gi *109 (GenericInterface
    18431985ps "CenterOffsetStrategy"
    18441986matrix (Matrix
     
    19372079)
    19382080)
    1939 DeclarativeBlock *104 (SymDeclBlock
     2081DeclarativeBlock *110 (SymDeclBlock
    19402082uid 1,0
    19412083stg "SymDeclLayoutStrategy"
     
    19632105font "Arial,8,1"
    19642106)
    1965 xt "42000,11600,44400,12600"
     2107xt "42000,13200,44400,14200"
    19662108st "User:"
    1967 blo "42000,12400"
     2109blo "42000,14000"
    19682110)
    19692111internalLabel (Text
     
    19822124font "Courier New,8,0"
    19832125)
    1984 xt "44000,12600,44000,12600"
     2126xt "44000,14200,44000,14200"
    19852127tm "SyDeclarativeTextMgr"
    19862128)
     
    19952137)
    19962138)
    1997 lastUid 401,0
     2139lastUid 530,0
    19982140okToSyncOnLoad 1
    19992141OkToSyncGenericsOnLoad 1
Note: See TracChangeset for help on using the changeset viewer.