Changeset 260 for FPGA


Ignore:
Timestamp:
07/22/10 10:33:08 (14 years ago)
Author:
dneise
Message:
possible to switch off SPI SCLK now.
see new revision of simple_daq in tools/FAD/
Location:
FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib
Files:
11 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r252 r260  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 15:25:14 14.07.2010
     5--          at - 11:40:21 21.07.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    7373-- Created:
    7474--          by - dneise.UNKNOWN (E5B-LABOR6)
    75 --          at - 15:25:14 14.07.2010
     75--          at - 11:40:21 21.07.2010
    7676--
    7777-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_definitions.vhd

    r252 r260  
    124124  constant CMD_DWRITE_RUN : std_logic_vector  := X"08";
    125125  constant CMD_DWRITE_STOP : std_logic_vector := X"09";
     126  constant CMD_SCLK_ON : std_logic_vector     := X"10";
     127  constant CMD_SCLK_OFF : std_logic_vector     := X"11";
     128 
     129 
    126130-- Declare functions and procedure
    127131
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_definitions.vhd.bak

    r252 r260  
    105105  --constant DEFAULT_DAC : dac_array_type := (others => 0);
    106106 
    107   constant DEFAULT_DRSADDR : std_logic_vector (3 downto 0):= X"0";
     107  constant DEFAULT_DRSADDR : std_logic_vector (3 downto 0):= "0000";
    108108  constant DEFAULT_DRSADDR_MODE : std_logic := '0';
    109109
     
    124124  constant CMD_DWRITE_RUN : std_logic_vector  := X"08";
    125125  constant CMD_DWRITE_STOP : std_logic_vector := X"09";
     126  constant CMD_SCLK_ON : std_logic_vector     := X"10";
     127  constant CMD_SCLK_ON : std_logic_vector     := X"11";
     128 
     129 
    126130-- Declare functions and procedure
    127131
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r252 r260  
    22--
    33-- Created:
    4 --          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    5 --          at - 14:46:38 12.07.2010
     4--          by - dneise.UNKNOWN (E5B-LABOR6)
     5--          at - 11:40:17 21.07.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    5959--
    6060-- Created:
    61 --          by - dneise.UNKNOWN (TU-CC4900F8C7D2)
    62 --          at - 14:46:38 12.07.2010
     61--          by - dneise.UNKNOWN (E5B-LABOR6)
     62--          at - 11:40:18 21.07.2010
    6363--
    6464-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    125125   SIGNAL roi_max                : roi_max_type;
    126126   SIGNAL s_trigger              : std_logic                                    := '0';
     127   SIGNAL sclk1                  : std_logic;
     128   SIGNAL sclk_enable            : std_logic;
    127129   SIGNAL sensor_array           : sensor_array_type;
    128130   SIGNAL sensor_ready           : std_logic;
     
    332334      config_busy       : IN     std_logic ;
    333335      denable           : OUT    std_logic                      := '0';           -- default domino wave off
    334       dwrite_enable     : OUT    std_logic                      := '0'            -- default DWRITE low.
     336      dwrite_enable     : OUT    std_logic                      := '0';           -- default DWRITE low.
     337      sclk_enable       : OUT    std_logic                      := '1'            -- default DWRITE HIGH.
    335338   );
    336339   END COMPONENT;
     
    355358   -- ModuleWare code(v1.9) for instance 'I5' of 'and'
    356359   drs_dwrite <= dwrite AND dwrite_enable;
     360
     361   -- ModuleWare code(v1.9) for instance 'U_1' of 'and'
     362   sclk <= sclk_enable AND sclk1;
    357363
    358364   -- ModuleWare code(v1.9) for instance 'U_0' of 'mux'
     
    502508         dac_cs         => dac_cs,
    503509         mosi           => mosi,
    504          sclk           => sclk,
     510         sclk           => sclk1,
    505511         sensor_array   => sensor_array,
    506512         sensor_cs      => sensor_cs,
     
    547553         config_busy       => config_busy,
    548554         denable           => denable,
    549          dwrite_enable     => dwrite_enable
     555         dwrite_enable     => dwrite_enable,
     556         sclk_enable       => sclk_enable
    550557      );
    551558
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/w5300_modul.vhd

    r246 r260  
    6565     
    6666      denable : out std_logic := '0'; -- default domino wave off
    67       dwrite_enable : out std_logic := '0' -- default DWRITE low.
     67      dwrite_enable : out std_logic := '0'; -- default DWRITE low.
     68      sclk_enable : out std_logic := '1' -- default DWRITE HIGH.
    6869   );
    6970
     
    521522                    when CMD_DWRITE_STOP =>
    522523                      dwrite_enable <= '0';
     524                      state_read_data <= RD_WAIT;
     525                    when CMD_SCLK_ON =>
     526                      sclk_enable <= '1';
     527                      state_read_data <= RD_WAIT;
     528                    when CMD_SCLK_OFF =>
     529                      sclk_enable <= '0';
    523530                      state_read_data <= RD_WAIT;
    524531                    when CMD_DENABLE =>
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hdl/w5300_modul.vhd.bak

    r246 r260  
    4949      ram_addr       : OUT    std_logic_vector (RAM_ADDR_WIDTH-1 DOWNTO 0);
    5050      data_valid     : IN     std_logic;
     51          data_valid_ack : OUT    std_logic := '0';
    5152      busy           : OUT    std_logic                     := '1';
    5253      write_header_flag, write_end_flag : IN std_logic;
     
    6465     
    6566      denable : out std_logic := '0'; -- default domino wave off
    66       dwrite_enable : out std_logic := '0' -- default DWRITE low.
     67      dwrite_enable : out std_logic := '0'; -- default DWRITE low.
     68      sclk_enable : out std_logic := '1' -- default DWRITE HIGH.
    6769   );
    6870
     
    7476
    7577type state_init_type is (INTERRUPT, RESET, WRITE_REG, READ_REG, WRITE_DATA,
    76                          INIT, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1,
     78                         INIT, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
    7779                         SI, SI1, SI2, SI3, SI4, SI5, SI6,      ESTABLISH, EST1, CONFIG, MAIN, CHK_RECEIVED, READ_DATA);
    7880type state_write_type is (WR_START, WR_LENGTH, WR_WAIT1, WR_01, WR_02, WR_03, WR_04, WR_05, WR_06, WR_07, WR_08, WR_FIFO, WR_FIFO1, WR_ADC, WR_ADC1, WR_ADC2,
     
    349351                                                par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (3),8);
    350352                                                state_init <= WRITE_REG;
     353                                                next_state <= TIMEOUT;
     354                                        when TIMEOUT =>
     355                                                par_addr <=     W5300_RTR;
     356                                                par_data <= X"07D0"; -- 0x07D0 = 200ms
     357                                                state_init <= WRITE_REG;
     358                                                next_state <= RETRY;
     359                                        when RETRY =>
     360                                                par_addr <=     W5300_RCR;
     361                                                par_data <= X"0008";
     362                                                state_init <= WRITE_REG;
    351363                                                next_state <= SI;
    352364
     
    431443                                            s_trigger <= '0';
    432444                                          end if;
    433             if (chk_recv_cntr = 10) then
     445            if (chk_recv_cntr = 1000) then
    434446              chk_recv_cntr <= 0;
    435447              state_read_data <= RD_1;
     
    437449              busy <= '1';
    438450            else
     451              busy <= '0';
     452              data_valid_ack <= '0';
    439453              chk_recv_cntr <= chk_recv_cntr + 1; 
    440454                                                  if (data_valid = '1') then
     455                                                    data_valid_ack <= '1';
    441456                local_write_length <= write_length;
    442457                local_ram_start_addr <= ram_start_addr;
     
    552567                  next_state <= CONFIG;
    553568                else
    554                   busy <= '0';
     569--                busy <= '0';
    555570                  next_state <= MAIN;
    556571                end if;
     
    726741                                                                state_write <= WR_08;
    727742                                                        when others =>
    728                                                                 busy <= '0';
     743--                                                      busy <= '0';
    729744                                                                state_init <= next_state_tmp;
    730745                                                                state_write <= WR_START;
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf

    r252 r260  
    244244DESIGN @f@a@d_main
    245245VIEW struct.bd
    246 GRAPHIC 5478,0 126 0
    247 DESIGN @f@a@d_main
    248 VIEW struct.bd
    249 GRAPHIC 5472,0 127 0
    250 DESIGN @f@a@d_main
    251 VIEW struct.bd
    252 GRAPHIC 1981,0 128 0
    253 DESIGN @f@a@d_main
    254 VIEW struct.bd
    255 GRAPHIC 8414,0 129 0
    256 DESIGN @f@a@d_main
    257 VIEW struct.bd
    258 GRAPHIC 2468,0 130 0
    259 DESIGN @f@a@d_main
    260 VIEW struct.bd
    261 GRAPHIC 2492,0 131 0
    262 DESIGN @f@a@d_main
    263 VIEW struct.bd
    264 GRAPHIC 2486,0 132 0
    265 DESIGN @f@a@d_main
    266 VIEW struct.bd
    267 GRAPHIC 2474,0 133 0
    268 DESIGN @f@a@d_main
    269 VIEW struct.bd
    270 GRAPHIC 2498,0 134 0
    271 DESIGN @f@a@d_main
    272 VIEW struct.bd
    273 GRAPHIC 2504,0 135 0
    274 DESIGN @f@a@d_main
    275 VIEW struct.bd
    276 GRAPHIC 2480,0 136 0
    277 DESIGN @f@a@d_main
    278 VIEW struct.bd
    279 GRAPHIC 320,0 137 0
    280 DESIGN @f@a@d_main
    281 VIEW struct.bd
    282 NO_GRAPHIC 138
    283 DESIGN @f@a@d_main
    284 VIEW struct.bd
    285 GRAPHIC 6276,0 140 0
    286 DESIGN @f@a@d_main
    287 VIEW struct.bd
    288 GRAPHIC 3888,0 141 0
    289 DESIGN @f@a@d_main
    290 VIEW struct.bd
    291 NO_GRAPHIC 143
     246GRAPHIC 8730,0 126 0
     247DESIGN @f@a@d_main
     248VIEW struct.bd
     249GRAPHIC 8746,0 127 0
     250DESIGN @f@a@d_main
     251VIEW struct.bd
     252GRAPHIC 5478,0 128 0
     253DESIGN @f@a@d_main
     254VIEW struct.bd
     255GRAPHIC 5472,0 129 0
     256DESIGN @f@a@d_main
     257VIEW struct.bd
     258GRAPHIC 1981,0 130 0
     259DESIGN @f@a@d_main
     260VIEW struct.bd
     261GRAPHIC 8414,0 131 0
     262DESIGN @f@a@d_main
     263VIEW struct.bd
     264GRAPHIC 2468,0 132 0
     265DESIGN @f@a@d_main
     266VIEW struct.bd
     267GRAPHIC 2492,0 133 0
     268DESIGN @f@a@d_main
     269VIEW struct.bd
     270GRAPHIC 2486,0 134 0
     271DESIGN @f@a@d_main
     272VIEW struct.bd
     273GRAPHIC 2474,0 135 0
     274DESIGN @f@a@d_main
     275VIEW struct.bd
     276GRAPHIC 2498,0 136 0
     277DESIGN @f@a@d_main
     278VIEW struct.bd
     279GRAPHIC 2504,0 137 0
     280DESIGN @f@a@d_main
     281VIEW struct.bd
     282GRAPHIC 2480,0 138 0
     283DESIGN @f@a@d_main
     284VIEW struct.bd
     285GRAPHIC 320,0 139 0
     286DESIGN @f@a@d_main
     287VIEW struct.bd
     288NO_GRAPHIC 140
     289DESIGN @f@a@d_main
     290VIEW struct.bd
     291GRAPHIC 6276,0 142 0
     292DESIGN @f@a@d_main
     293VIEW struct.bd
     294GRAPHIC 3888,0 143 0
     295DESIGN @f@a@d_main
     296VIEW struct.bd
     297NO_GRAPHIC 145
    292298LIBRARY FACT_FAD_lib
    293299DESIGN adc_buffer
    294300VIEW beha
    295 GRAPHIC 5678,0 145 0
    296 DESIGN @f@a@d_main
    297 VIEW struct.bd
    298 NO_GRAPHIC 152
    299 DESIGN @f@a@d_main
    300 VIEW struct.bd
    301 GRAPHIC 4194,0 154 0
     301GRAPHIC 5678,0 147 0
     302DESIGN @f@a@d_main
     303VIEW struct.bd
     304NO_GRAPHIC 154
     305DESIGN @f@a@d_main
     306VIEW struct.bd
     307GRAPHIC 4194,0 156 0
    302308DESIGN clock_generator
    303309VIEW symbol.sb
    304 GRAPHIC 168,0 156 0
     310GRAPHIC 168,0 158 0
    305311DESIGN clock_generator
    306312VIEW symbol.sb
    307 GRAPHIC 126,0 157 0
     313GRAPHIC 126,0 159 0
    308314DESIGN clock_generator
    309315VIEW symbol.sb
    310 GRAPHIC 131,0 158 0
     316GRAPHIC 131,0 160 0
    311317DESIGN clock_generator
    312318VIEW symbol.sb
    313 GRAPHIC 121,0 159 0
    314 DESIGN @f@a@d_main
    315 VIEW struct.bd
    316 GRAPHIC 5072,0 162 0
    317 DESIGN control_unit
    318 VIEW symbol.sb
    319 GRAPHIC 130,0 164 0
    320 DESIGN control_unit
    321 VIEW symbol.sb
    322 GRAPHIC 135,0 165 0
    323 DESIGN control_unit
    324 VIEW symbol.sb
    325 GRAPHIC 170,0 166 0
    326 DESIGN control_unit
    327 VIEW symbol.sb
    328 GRAPHIC 175,0 167 0
    329 DESIGN control_unit
    330 VIEW symbol.sb
    331 GRAPHIC 160,0 168 0
    332 DESIGN control_unit
    333 VIEW symbol.sb
    334 GRAPHIC 145,0 169 0
    335 DESIGN control_unit
    336 VIEW symbol.sb
    337 GRAPHIC 140,0 170 0
    338 DESIGN control_unit
    339 VIEW symbol.sb
    340 GRAPHIC 180,0 171 0
    341 DESIGN control_unit
    342 VIEW symbol.sb
    343 GRAPHIC 350,0 172 0
    344 DESIGN control_unit
    345 VIEW symbol.sb
    346 GRAPHIC 165,0 173 0
    347 DESIGN control_unit
    348 VIEW symbol.sb
    349 GRAPHIC 521,0 174 0
    350 DESIGN control_unit
    351 VIEW symbol.sb
    352 GRAPHIC 526,0 175 0
    353 DESIGN control_unit
    354 VIEW symbol.sb
    355 GRAPHIC 155,0 176 0
    356 DESIGN control_unit
    357 VIEW symbol.sb
    358 GRAPHIC 150,0 177 0
    359 DESIGN @f@a@d_main
    360 VIEW struct.bd
    361 GRAPHIC 8277,0 180 0
     319GRAPHIC 121,0 161 0
     320DESIGN @f@a@d_main
     321VIEW struct.bd
     322GRAPHIC 5072,0 164 0
     323DESIGN control_unit
     324VIEW symbol.sb
     325GRAPHIC 130,0 166 0
     326DESIGN control_unit
     327VIEW symbol.sb
     328GRAPHIC 135,0 167 0
     329DESIGN control_unit
     330VIEW symbol.sb
     331GRAPHIC 170,0 168 0
     332DESIGN control_unit
     333VIEW symbol.sb
     334GRAPHIC 175,0 169 0
     335DESIGN control_unit
     336VIEW symbol.sb
     337GRAPHIC 160,0 170 0
     338DESIGN control_unit
     339VIEW symbol.sb
     340GRAPHIC 145,0 171 0
     341DESIGN control_unit
     342VIEW symbol.sb
     343GRAPHIC 140,0 172 0
     344DESIGN control_unit
     345VIEW symbol.sb
     346GRAPHIC 180,0 173 0
     347DESIGN control_unit
     348VIEW symbol.sb
     349GRAPHIC 350,0 174 0
     350DESIGN control_unit
     351VIEW symbol.sb
     352GRAPHIC 165,0 175 0
     353DESIGN control_unit
     354VIEW symbol.sb
     355GRAPHIC 521,0 176 0
     356DESIGN control_unit
     357VIEW symbol.sb
     358GRAPHIC 526,0 177 0
     359DESIGN control_unit
     360VIEW symbol.sb
     361GRAPHIC 155,0 178 0
     362DESIGN control_unit
     363VIEW symbol.sb
     364GRAPHIC 150,0 179 0
     365DESIGN @f@a@d_main
     366VIEW struct.bd
     367GRAPHIC 8277,0 182 0
    362368DESIGN data@r@a@m_64b_16b_width14_5
    363369VIEW data@r@a@m_64b_16b_width14_5_a
    364 GRAPHIC 48,0 182 0
     370GRAPHIC 48,0 184 0
    365371DESIGN data@r@a@m_64b_16b_width14_5
    366372VIEW data@r@a@m_64b_16b_width14_5_a
    367 GRAPHIC 53,0 183 0
     373GRAPHIC 53,0 185 0
    368374DESIGN data@r@a@m_64b_16b_width14_5
    369375VIEW data@r@a@m_64b_16b_width14_5_a
    370 GRAPHIC 58,0 184 0
     376GRAPHIC 58,0 186 0
    371377DESIGN data@r@a@m_64b_16b_width14_5
    372378VIEW data@r@a@m_64b_16b_width14_5_a
    373 GRAPHIC 63,0 185 0
     379GRAPHIC 63,0 187 0
    374380DESIGN data@r@a@m_64b_16b_width14_5
    375381VIEW data@r@a@m_64b_16b_width14_5_a
    376 GRAPHIC 68,0 186 0
     382GRAPHIC 68,0 188 0
    377383DESIGN data@r@a@m_64b_16b_width14_5
    378384VIEW data@r@a@m_64b_16b_width14_5_a
    379 GRAPHIC 73,0 187 0
     385GRAPHIC 73,0 189 0
    380386DESIGN data@r@a@m_64b_16b_width14_5
    381387VIEW data@r@a@m_64b_16b_width14_5_a
    382 GRAPHIC 78,0 188 0
    383 DESIGN @f@a@d_main
    384 VIEW struct.bd
    385 GRAPHIC 1399,0 191 0
    386 DESIGN data_generator
    387 VIEW symbol.sb
    388 GRAPHIC 14,0 192 1
    389 DESIGN data_generator
    390 VIEW @behavioral
    391 GRAPHIC 48,0 196 0
    392 DESIGN data_generator
    393 VIEW @behavioral
    394 GRAPHIC 53,0 197 0
    395 DESIGN data_generator
    396 VIEW @behavioral
    397 GRAPHIC 58,0 198 0
    398 DESIGN data_generator
    399 VIEW @behavioral
    400 GRAPHIC 73,0 199 0
    401 DESIGN data_generator
    402 VIEW @behavioral
    403 GRAPHIC 78,0 200 0
    404 DESIGN data_generator
    405 VIEW @behavioral
    406 GRAPHIC 402,0 201 0
    407 DESIGN data_generator
    408 VIEW @behavioral
    409 GRAPHIC 407,0 202 0
    410 DESIGN data_generator
    411 VIEW @behavioral
    412 GRAPHIC 1122,0 203 0
    413 DESIGN data_generator
    414 VIEW @behavioral
    415 GRAPHIC 963,0 204 0
    416 DESIGN data_generator
    417 VIEW @behavioral
    418 GRAPHIC 1127,0 205 0
    419 DESIGN data_generator
    420 VIEW @behavioral
    421 GRAPHIC 1048,0 206 0
    422 DESIGN data_generator
    423 VIEW @behavioral
    424 GRAPHIC 958,0 207 0
    425 DESIGN data_generator
    426 VIEW @behavioral
    427 GRAPHIC 1053,0 208 0
    428 DESIGN data_generator
    429 VIEW @behavioral
    430 GRAPHIC 1201,0 209 0
    431 DESIGN data_generator
    432 VIEW @behavioral
    433 GRAPHIC 1196,0 210 0
    434 DESIGN data_generator
    435 VIEW @behavioral
    436 GRAPHIC 1206,0 211 0
    437 DESIGN data_generator
    438 VIEW @behavioral
    439 GRAPHIC 473,0 212 0
    440 DESIGN data_generator
    441 VIEW @behavioral
    442 GRAPHIC 412,0 213 0
    443 DESIGN data_generator
    444 VIEW @behavioral
    445 GRAPHIC 1085,0 214 0
    446 DESIGN data_generator
    447 VIEW @behavioral
    448 GRAPHIC 1090,0 215 0
    449 DESIGN data_generator
    450 VIEW @behavioral
    451 GRAPHIC 1240,0 216 0
    452 DESIGN data_generator
    453 VIEW @behavioral
    454 GRAPHIC 526,0 217 0
    455 DESIGN data_generator
    456 VIEW @behavioral
    457 GRAPHIC 88,0 218 0
    458 DESIGN data_generator
    459 VIEW @behavioral
    460 GRAPHIC 285,0 219 0
    461 DESIGN data_generator
    462 VIEW @behavioral
    463 GRAPHIC 93,0 220 0
    464 DESIGN data_generator
    465 VIEW @behavioral
    466 GRAPHIC 98,0 221 0
    467 DESIGN data_generator
    468 VIEW @behavioral
    469 GRAPHIC 1018,0 222 0
    470 DESIGN data_generator
    471 VIEW @behavioral
    472 GRAPHIC 1164,0 223 0
    473 DESIGN data_generator
    474 VIEW @behavioral
    475 GRAPHIC 1159,0 224 0
    476 DESIGN data_generator
    477 VIEW @behavioral
    478 GRAPHIC 898,0 225 0
    479 DESIGN data_generator
    480 VIEW @behavioral
    481 GRAPHIC 637,0 226 0
    482 DESIGN data_generator
    483 VIEW @behavioral
    484 GRAPHIC 642,0 227 0
    485 DESIGN data_generator
    486 VIEW @behavioral
    487 GRAPHIC 676,0 228 0
    488 DESIGN data_generator
    489 VIEW @behavioral
    490 GRAPHIC 845,0 229 0
    491 DESIGN data_generator
    492 VIEW @behavioral
    493 GRAPHIC 681,0 230 0
    494 DESIGN data_generator
    495 VIEW @behavioral
    496 GRAPHIC 801,0 231 0
    497 DESIGN data_generator
    498 VIEW @behavioral
    499 GRAPHIC 806,0 232 0
    500 DESIGN data_generator
    501 VIEW @behavioral
    502 GRAPHIC 811,0 233 0
    503 DESIGN @f@a@d_main
    504 VIEW struct.bd
    505 GRAPHIC 4903,0 236 0
    506 DESIGN @f@a@d_main
    507 VIEW struct.bd
    508 NO_GRAPHIC 249
    509 DESIGN @f@a@d_main
    510 VIEW struct.bd
    511 GRAPHIC 2311,0 251 0
    512 DESIGN memory_manager
    513 VIEW symbol.sb
    514 GRAPHIC 14,0 252 1
    515 DESIGN memory_manager
    516 VIEW beha
    517 GRAPHIC 138,0 257 0
    518 DESIGN memory_manager
    519 VIEW beha
    520 GRAPHIC 194,0 258 0
    521 DESIGN memory_manager
    522 VIEW beha
    523 GRAPHIC 349,0 259 0
    524 DESIGN memory_manager
    525 VIEW beha
    526 GRAPHIC 569,0 260 0
    527 DESIGN memory_manager
    528 VIEW beha
    529 GRAPHIC 224,0 261 0
    530 DESIGN memory_manager
    531 VIEW beha
    532 GRAPHIC 254,0 262 0
    533 DESIGN memory_manager
    534 VIEW beha
    535 GRAPHIC 804,0 263 0
    536 DESIGN memory_manager
    537 VIEW beha
    538 GRAPHIC 433,0 264 0
    539 DESIGN memory_manager
    540 VIEW beha
    541 GRAPHIC 622,0 265 0
    542 DESIGN memory_manager
    543 VIEW beha
    544 GRAPHIC 289,0 266 0
    545 DESIGN memory_manager
    546 VIEW beha
    547 GRAPHIC 309,0 267 0
    548 DESIGN memory_manager
    549 VIEW beha
    550 GRAPHIC 284,0 268 0
    551 DESIGN memory_manager
    552 VIEW beha
    553 GRAPHIC 294,0 269 0
    554 DESIGN memory_manager
    555 VIEW beha
    556 GRAPHIC 304,0 270 0
    557 DESIGN memory_manager
    558 VIEW beha
    559 GRAPHIC 299,0 271 0
    560 DESIGN memory_manager
    561 VIEW beha
    562 GRAPHIC 379,0 272 0
    563 DESIGN memory_manager
    564 VIEW beha
    565 GRAPHIC 915,0 273 0
    566 DESIGN memory_manager
    567 VIEW beha
    568 GRAPHIC 51,0 274 0
    569 DESIGN @f@a@d_main
    570 VIEW struct.bd
    571 GRAPHIC 5793,0 277 0
     388GRAPHIC 78,0 190 0
     389DESIGN @f@a@d_main
     390VIEW struct.bd
     391GRAPHIC 1399,0 193 0
     392DESIGN data_generator
     393VIEW symbol.sb
     394GRAPHIC 14,0 194 1
     395DESIGN data_generator
     396VIEW @behavioral
     397GRAPHIC 48,0 198 0
     398DESIGN data_generator
     399VIEW @behavioral
     400GRAPHIC 53,0 199 0
     401DESIGN data_generator
     402VIEW @behavioral
     403GRAPHIC 58,0 200 0
     404DESIGN data_generator
     405VIEW @behavioral
     406GRAPHIC 73,0 201 0
     407DESIGN data_generator
     408VIEW @behavioral
     409GRAPHIC 78,0 202 0
     410DESIGN data_generator
     411VIEW @behavioral
     412GRAPHIC 402,0 203 0
     413DESIGN data_generator
     414VIEW @behavioral
     415GRAPHIC 407,0 204 0
     416DESIGN data_generator
     417VIEW @behavioral
     418GRAPHIC 1122,0 205 0
     419DESIGN data_generator
     420VIEW @behavioral
     421GRAPHIC 963,0 206 0
     422DESIGN data_generator
     423VIEW @behavioral
     424GRAPHIC 1127,0 207 0
     425DESIGN data_generator
     426VIEW @behavioral
     427GRAPHIC 1048,0 208 0
     428DESIGN data_generator
     429VIEW @behavioral
     430GRAPHIC 958,0 209 0
     431DESIGN data_generator
     432VIEW @behavioral
     433GRAPHIC 1053,0 210 0
     434DESIGN data_generator
     435VIEW @behavioral
     436GRAPHIC 1201,0 211 0
     437DESIGN data_generator
     438VIEW @behavioral
     439GRAPHIC 1196,0 212 0
     440DESIGN data_generator
     441VIEW @behavioral
     442GRAPHIC 1206,0 213 0
     443DESIGN data_generator
     444VIEW @behavioral
     445GRAPHIC 473,0 214 0
     446DESIGN data_generator
     447VIEW @behavioral
     448GRAPHIC 412,0 215 0
     449DESIGN data_generator
     450VIEW @behavioral
     451GRAPHIC 1085,0 216 0
     452DESIGN data_generator
     453VIEW @behavioral
     454GRAPHIC 1090,0 217 0
     455DESIGN data_generator
     456VIEW @behavioral
     457GRAPHIC 1240,0 218 0
     458DESIGN data_generator
     459VIEW @behavioral
     460GRAPHIC 526,0 219 0
     461DESIGN data_generator
     462VIEW @behavioral
     463GRAPHIC 88,0 220 0
     464DESIGN data_generator
     465VIEW @behavioral
     466GRAPHIC 285,0 221 0
     467DESIGN data_generator
     468VIEW @behavioral
     469GRAPHIC 93,0 222 0
     470DESIGN data_generator
     471VIEW @behavioral
     472GRAPHIC 98,0 223 0
     473DESIGN data_generator
     474VIEW @behavioral
     475GRAPHIC 1018,0 224 0
     476DESIGN data_generator
     477VIEW @behavioral
     478GRAPHIC 1164,0 225 0
     479DESIGN data_generator
     480VIEW @behavioral
     481GRAPHIC 1159,0 226 0
     482DESIGN data_generator
     483VIEW @behavioral
     484GRAPHIC 898,0 227 0
     485DESIGN data_generator
     486VIEW @behavioral
     487GRAPHIC 637,0 228 0
     488DESIGN data_generator
     489VIEW @behavioral
     490GRAPHIC 642,0 229 0
     491DESIGN data_generator
     492VIEW @behavioral
     493GRAPHIC 676,0 230 0
     494DESIGN data_generator
     495VIEW @behavioral
     496GRAPHIC 845,0 231 0
     497DESIGN data_generator
     498VIEW @behavioral
     499GRAPHIC 681,0 232 0
     500DESIGN data_generator
     501VIEW @behavioral
     502GRAPHIC 801,0 233 0
     503DESIGN data_generator
     504VIEW @behavioral
     505GRAPHIC 806,0 234 0
     506DESIGN data_generator
     507VIEW @behavioral
     508GRAPHIC 811,0 235 0
     509DESIGN @f@a@d_main
     510VIEW struct.bd
     511GRAPHIC 4903,0 238 0
     512DESIGN @f@a@d_main
     513VIEW struct.bd
     514NO_GRAPHIC 251
     515DESIGN @f@a@d_main
     516VIEW struct.bd
     517GRAPHIC 2311,0 253 0
     518DESIGN memory_manager
     519VIEW symbol.sb
     520GRAPHIC 14,0 254 1
     521DESIGN memory_manager
     522VIEW beha
     523GRAPHIC 138,0 259 0
     524DESIGN memory_manager
     525VIEW beha
     526GRAPHIC 194,0 260 0
     527DESIGN memory_manager
     528VIEW beha
     529GRAPHIC 349,0 261 0
     530DESIGN memory_manager
     531VIEW beha
     532GRAPHIC 569,0 262 0
     533DESIGN memory_manager
     534VIEW beha
     535GRAPHIC 224,0 263 0
     536DESIGN memory_manager
     537VIEW beha
     538GRAPHIC 254,0 264 0
     539DESIGN memory_manager
     540VIEW beha
     541GRAPHIC 804,0 265 0
     542DESIGN memory_manager
     543VIEW beha
     544GRAPHIC 433,0 266 0
     545DESIGN memory_manager
     546VIEW beha
     547GRAPHIC 622,0 267 0
     548DESIGN memory_manager
     549VIEW beha
     550GRAPHIC 289,0 268 0
     551DESIGN memory_manager
     552VIEW beha
     553GRAPHIC 309,0 269 0
     554DESIGN memory_manager
     555VIEW beha
     556GRAPHIC 284,0 270 0
     557DESIGN memory_manager
     558VIEW beha
     559GRAPHIC 294,0 271 0
     560DESIGN memory_manager
     561VIEW beha
     562GRAPHIC 304,0 272 0
     563DESIGN memory_manager
     564VIEW beha
     565GRAPHIC 299,0 273 0
     566DESIGN memory_manager
     567VIEW beha
     568GRAPHIC 379,0 274 0
     569DESIGN memory_manager
     570VIEW beha
     571GRAPHIC 915,0 275 0
     572DESIGN memory_manager
     573VIEW beha
     574GRAPHIC 51,0 276 0
     575DESIGN @f@a@d_main
     576VIEW struct.bd
     577GRAPHIC 5793,0 279 0
    572578DESIGN spi_interface
    573579VIEW symbol.sb
    574 GRAPHIC 1121,0 279 0
     580GRAPHIC 1121,0 281 0
    575581DESIGN spi_interface
    576582VIEW symbol.sb
    577 GRAPHIC 326,0 280 0
     583GRAPHIC 326,0 282 0
    578584DESIGN spi_interface
    579585VIEW symbol.sb
    580 GRAPHIC 197,0 281 0
     586GRAPHIC 197,0 283 0
    581587DESIGN spi_interface
    582588VIEW symbol.sb
    583 GRAPHIC 321,0 282 0
     589GRAPHIC 321,0 284 0
    584590DESIGN spi_interface
    585591VIEW symbol.sb
    586 GRAPHIC 1198,0 283 0
     592GRAPHIC 1198,0 285 0
    587593DESIGN spi_interface
    588594VIEW symbol.sb
    589 GRAPHIC 1017,0 284 0
     595GRAPHIC 1017,0 286 0
    590596DESIGN spi_interface
    591597VIEW symbol.sb
    592 GRAPHIC 1229,0 285 0
     598GRAPHIC 1229,0 287 0
    593599DESIGN spi_interface
    594600VIEW symbol.sb
    595 GRAPHIC 126,0 286 0
     601GRAPHIC 126,0 288 0
    596602DESIGN spi_interface
    597603VIEW symbol.sb
    598 GRAPHIC 819,0 287 0
     604GRAPHIC 819,0 289 0
    599605DESIGN spi_interface
    600606VIEW symbol.sb
    601 GRAPHIC 1022,0 288 0
     607GRAPHIC 1022,0 290 0
    602608DESIGN spi_interface
    603609VIEW symbol.sb
    604 GRAPHIC 824,0 289 0
     610GRAPHIC 824,0 291 0
    605611DESIGN spi_interface
    606612VIEW symbol.sb
    607 GRAPHIC 1283,0 290 0
    608 DESIGN @f@a@d_main
    609 VIEW struct.bd
    610 GRAPHIC 1768,0 293 0
     613GRAPHIC 1283,0 292 0
     614DESIGN @f@a@d_main
     615VIEW struct.bd
     616GRAPHIC 1768,0 295 0
    611617DESIGN trigger_counter
    612618VIEW beha
    613 GRAPHIC 48,0 295 0
     619GRAPHIC 48,0 297 0
    614620DESIGN trigger_counter
    615621VIEW beha
    616 GRAPHIC 53,0 296 0
     622GRAPHIC 53,0 298 0
    617623DESIGN trigger_counter
    618624VIEW beha
    619 GRAPHIC 148,0 297 0
    620 DESIGN @f@a@d_main
    621 VIEW struct.bd
    622 GRAPHIC 1606,0 300 0
    623 DESIGN w5300_modul
    624 VIEW symbol.sb
    625 GRAPHIC 14,0 301 1
    626 DESIGN w5300_modul
    627 VIEW @behavioral
    628 GRAPHIC 48,0 305 0
    629 DESIGN w5300_modul
    630 VIEW @behavioral
    631 GRAPHIC 53,0 306 0
    632 DESIGN w5300_modul
    633 VIEW @behavioral
    634 GRAPHIC 58,0 307 0
    635 DESIGN w5300_modul
    636 VIEW @behavioral
    637 GRAPHIC 63,0 308 0
    638 DESIGN w5300_modul
    639 VIEW @behavioral
    640 GRAPHIC 68,0 309 0
    641 DESIGN w5300_modul
    642 VIEW @behavioral
    643 GRAPHIC 73,0 310 0
    644 DESIGN w5300_modul
    645 VIEW @behavioral
    646 GRAPHIC 491,0 311 0
    647 DESIGN w5300_modul
    648 VIEW @behavioral
    649 GRAPHIC 83,0 312 0
    650 DESIGN w5300_modul
    651 VIEW @behavioral
    652 GRAPHIC 88,0 313 0
    653 DESIGN w5300_modul
    654 VIEW @behavioral
    655 GRAPHIC 93,0 314 0
    656 DESIGN w5300_modul
    657 VIEW @behavioral
    658 GRAPHIC 98,0 315 0
    659 DESIGN w5300_modul
    660 VIEW @behavioral
    661 GRAPHIC 103,0 316 0
    662 DESIGN w5300_modul
    663 VIEW @behavioral
    664 GRAPHIC 108,0 317 0
    665 DESIGN w5300_modul
    666 VIEW @behavioral
    667 GRAPHIC 113,0 318 0
    668 DESIGN w5300_modul
    669 VIEW @behavioral
    670 GRAPHIC 885,0 319 0
    671 DESIGN w5300_modul
    672 VIEW @behavioral
    673 GRAPHIC 118,0 320 0
    674 DESIGN w5300_modul
    675 VIEW @behavioral
    676 GRAPHIC 353,0 321 0
    677 DESIGN w5300_modul
    678 VIEW @behavioral
    679 GRAPHIC 348,0 322 0
    680 DESIGN w5300_modul
    681 VIEW @behavioral
    682 GRAPHIC 385,0 323 0
    683 DESIGN w5300_modul
    684 VIEW @behavioral
    685 GRAPHIC 521,0 324 0
    686 DESIGN w5300_modul
    687 VIEW @behavioral
    688 GRAPHIC 576,0 325 0
    689 DESIGN w5300_modul
    690 VIEW @behavioral
    691 GRAPHIC 566,0 326 0
    692 DESIGN w5300_modul
    693 VIEW @behavioral
    694 GRAPHIC 551,0 327 0
    695 DESIGN w5300_modul
    696 VIEW @behavioral
    697 GRAPHIC 561,0 328 0
    698 DESIGN w5300_modul
    699 VIEW @behavioral
    700 GRAPHIC 571,0 329 0
    701 DESIGN w5300_modul
    702 VIEW @behavioral
    703 GRAPHIC 640,0 330 0
    704 DESIGN w5300_modul
    705 VIEW @behavioral
    706 GRAPHIC 556,0 331 0
    707 DESIGN w5300_modul
    708 VIEW @behavioral
    709 GRAPHIC 670,0 332 0
    710 DESIGN w5300_modul
    711 VIEW @behavioral
    712 GRAPHIC 723,0 333 0
     625GRAPHIC 148,0 299 0
     626DESIGN @f@a@d_main
     627VIEW struct.bd
     628GRAPHIC 1606,0 302 0
     629DESIGN w5300_modul
     630VIEW symbol.sb
     631GRAPHIC 14,0 303 1
     632DESIGN w5300_modul
     633VIEW @behavioral
     634GRAPHIC 48,0 307 0
     635DESIGN w5300_modul
     636VIEW @behavioral
     637GRAPHIC 53,0 308 0
     638DESIGN w5300_modul
     639VIEW @behavioral
     640GRAPHIC 58,0 309 0
     641DESIGN w5300_modul
     642VIEW @behavioral
     643GRAPHIC 63,0 310 0
     644DESIGN w5300_modul
     645VIEW @behavioral
     646GRAPHIC 68,0 311 0
     647DESIGN w5300_modul
     648VIEW @behavioral
     649GRAPHIC 73,0 312 0
     650DESIGN w5300_modul
     651VIEW @behavioral
     652GRAPHIC 491,0 313 0
     653DESIGN w5300_modul
     654VIEW @behavioral
     655GRAPHIC 83,0 314 0
     656DESIGN w5300_modul
     657VIEW @behavioral
     658GRAPHIC 88,0 315 0
     659DESIGN w5300_modul
     660VIEW @behavioral
     661GRAPHIC 93,0 316 0
     662DESIGN w5300_modul
     663VIEW @behavioral
     664GRAPHIC 98,0 317 0
     665DESIGN w5300_modul
     666VIEW @behavioral
     667GRAPHIC 103,0 318 0
     668DESIGN w5300_modul
     669VIEW @behavioral
     670GRAPHIC 108,0 319 0
     671DESIGN w5300_modul
     672VIEW @behavioral
     673GRAPHIC 113,0 320 0
     674DESIGN w5300_modul
     675VIEW @behavioral
     676GRAPHIC 885,0 321 0
     677DESIGN w5300_modul
     678VIEW @behavioral
     679GRAPHIC 118,0 322 0
     680DESIGN w5300_modul
     681VIEW @behavioral
     682GRAPHIC 353,0 323 0
     683DESIGN w5300_modul
     684VIEW @behavioral
     685GRAPHIC 348,0 324 0
     686DESIGN w5300_modul
     687VIEW @behavioral
     688GRAPHIC 385,0 325 0
     689DESIGN w5300_modul
     690VIEW @behavioral
     691GRAPHIC 521,0 326 0
     692DESIGN w5300_modul
     693VIEW @behavioral
     694GRAPHIC 576,0 327 0
     695DESIGN w5300_modul
     696VIEW @behavioral
     697GRAPHIC 566,0 328 0
     698DESIGN w5300_modul
     699VIEW @behavioral
     700GRAPHIC 551,0 329 0
     701DESIGN w5300_modul
     702VIEW @behavioral
     703GRAPHIC 561,0 330 0
     704DESIGN w5300_modul
     705VIEW @behavioral
     706GRAPHIC 571,0 331 0
     707DESIGN w5300_modul
     708VIEW @behavioral
     709GRAPHIC 640,0 332 0
     710DESIGN w5300_modul
     711VIEW @behavioral
     712GRAPHIC 556,0 333 0
     713DESIGN w5300_modul
     714VIEW @behavioral
     715GRAPHIC 670,0 334 0
     716DESIGN w5300_modul
     717VIEW @behavioral
     718GRAPHIC 723,0 335 0
     719DESIGN w5300_modul
     720VIEW @behavioral
     721GRAPHIC 917,0 336 0
    713722LIBRARY FACT_FAD_lib
    714723DESIGN @f@a@d_main
    715724VIEW struct.bd
    716 NO_GRAPHIC 336
    717 DESIGN @f@a@d_main
    718 VIEW struct.bd
    719 GRAPHIC 5678,0 339 0
    720 DESIGN @f@a@d_main
    721 VIEW struct.bd
    722 GRAPHIC 4194,0 340 0
    723 DESIGN @f@a@d_main
    724 VIEW struct.bd
    725 GRAPHIC 5072,0 341 0
    726 DESIGN @f@a@d_main
    727 VIEW struct.bd
    728 GRAPHIC 8277,0 342 0
    729 DESIGN @f@a@d_main
    730 VIEW struct.bd
    731 GRAPHIC 1399,0 343 0
    732 DESIGN @f@a@d_main
    733 VIEW struct.bd
    734 GRAPHIC 4903,0 344 0
    735 DESIGN @f@a@d_main
    736 VIEW struct.bd
    737 GRAPHIC 2311,0 345 0
    738 DESIGN @f@a@d_main
    739 VIEW struct.bd
    740 GRAPHIC 5793,0 346 0
    741 DESIGN @f@a@d_main
    742 VIEW struct.bd
    743 GRAPHIC 1768,0 347 0
    744 DESIGN @f@a@d_main
    745 VIEW struct.bd
    746 GRAPHIC 1606,0 348 0
    747 DESIGN @f@a@d_main
    748 VIEW struct.bd
    749 NO_GRAPHIC 351
    750 DESIGN @f@a@d_main
    751 VIEW struct.bd
    752 GRAPHIC 6529,0 353 0
    753 DESIGN @f@a@d_main
    754 VIEW struct.bd
    755 GRAPHIC 8562,0 356 0
    756 DESIGN @f@a@d_main
    757 VIEW struct.bd
    758 NO_GRAPHIC 367
    759 DESIGN @f@a@d_main
    760 VIEW struct.bd
    761 GRAPHIC 5678,0 369 0
    762 DESIGN @f@a@d_main
    763 VIEW struct.bd
    764 GRAPHIC 5646,0 371 0
    765 DESIGN @f@a@d_main
    766 VIEW struct.bd
    767 GRAPHIC 4272,0 372 0
    768 DESIGN @f@a@d_main
    769 VIEW struct.bd
    770 GRAPHIC 2786,0 373 0
    771 DESIGN @f@a@d_main
    772 VIEW struct.bd
    773 GRAPHIC 5626,0 374 0
    774 DESIGN @f@a@d_main
    775 VIEW struct.bd
    776 GRAPHIC 5634,0 375 0
    777 DESIGN @f@a@d_main
    778 VIEW struct.bd
    779 GRAPHIC 4194,0 377 0
    780 DESIGN @f@a@d_main
    781 VIEW struct.bd
    782 GRAPHIC 4042,0 379 0
    783 DESIGN @f@a@d_main
    784 VIEW struct.bd
    785 GRAPHIC 6072,0 380 0
    786 DESIGN @f@a@d_main
    787 VIEW struct.bd
    788 GRAPHIC 3984,0 381 0
    789 DESIGN @f@a@d_main
    790 VIEW struct.bd
    791 GRAPHIC 3888,0 382 0
    792 DESIGN @f@a@d_main
    793 VIEW struct.bd
    794 GRAPHIC 5072,0 384 0
    795 DESIGN @f@a@d_main
    796 VIEW struct.bd
    797 GRAPHIC 5582,0 386 0
    798 DESIGN @f@a@d_main
    799 VIEW struct.bd
    800 GRAPHIC 5090,0 387 0
    801 DESIGN @f@a@d_main
    802 VIEW struct.bd
    803 GRAPHIC 5130,0 388 0
    804 DESIGN @f@a@d_main
    805 VIEW struct.bd
    806 GRAPHIC 5184,0 389 0
    807 DESIGN @f@a@d_main
    808 VIEW struct.bd
    809 GRAPHIC 5122,0 390 0
    810 DESIGN @f@a@d_main
    811 VIEW struct.bd
    812 GRAPHIC 5106,0 391 0
    813 DESIGN @f@a@d_main
    814 VIEW struct.bd
    815 GRAPHIC 5098,0 392 0
    816 DESIGN @f@a@d_main
    817 VIEW struct.bd
    818 GRAPHIC 5190,0 393 0
    819 DESIGN @f@a@d_main
    820 VIEW struct.bd
    821 GRAPHIC 6002,0 394 0
    822 DESIGN @f@a@d_main
    823 VIEW struct.bd
    824 GRAPHIC 5146,0 395 0
    825 DESIGN @f@a@d_main
    826 VIEW struct.bd
    827 GRAPHIC 8510,0 396 0
    828 DESIGN @f@a@d_main
    829 VIEW struct.bd
    830 GRAPHIC 8518,0 397 0
    831 DESIGN @f@a@d_main
    832 VIEW struct.bd
    833 GRAPHIC 5138,0 398 0
    834 DESIGN @f@a@d_main
    835 VIEW struct.bd
    836 GRAPHIC 5114,0 399 0
    837 DESIGN @f@a@d_main
    838 VIEW struct.bd
    839 GRAPHIC 8277,0 401 0
    840 DESIGN @f@a@d_main
    841 VIEW struct.bd
    842 GRAPHIC 5602,0 403 0
    843 DESIGN @f@a@d_main
    844 VIEW struct.bd
    845 GRAPHIC 334,0 404 0
    846 DESIGN @f@a@d_main
    847 VIEW struct.bd
    848 GRAPHIC 328,0 405 0
    849 DESIGN @f@a@d_main
    850 VIEW struct.bd
    851 GRAPHIC 322,0 406 0
    852 DESIGN @f@a@d_main
    853 VIEW struct.bd
    854 GRAPHIC 4240,0 407 0
    855 DESIGN @f@a@d_main
    856 VIEW struct.bd
    857 GRAPHIC 364,0 408 0
    858 DESIGN @f@a@d_main
    859 VIEW struct.bd
    860 GRAPHIC 370,0 409 0
    861 DESIGN @f@a@d_main
    862 VIEW struct.bd
    863 GRAPHIC 1399,0 411 0
    864 DESIGN @f@a@d_main
    865 VIEW struct.bd
    866 GRAPHIC 1406,0 412 1
    867 DESIGN @f@a@d_main
    868 VIEW struct.bd
    869 GRAPHIC 5602,0 416 0
    870 DESIGN @f@a@d_main
    871 VIEW struct.bd
    872 GRAPHIC 334,0 417 0
    873 DESIGN @f@a@d_main
    874 VIEW struct.bd
    875 GRAPHIC 328,0 418 0
    876 DESIGN @f@a@d_main
    877 VIEW struct.bd
    878 GRAPHIC 322,0 419 0
    879 DESIGN @f@a@d_main
    880 VIEW struct.bd
    881 GRAPHIC 2299,0 420 0
    882 DESIGN @f@a@d_main
    883 VIEW struct.bd
    884 GRAPHIC 2576,0 421 0
    885 DESIGN @f@a@d_main
    886 VIEW struct.bd
    887 GRAPHIC 2582,0 422 0
    888 DESIGN @f@a@d_main
    889 VIEW struct.bd
    890 GRAPHIC 2588,0 423 0
    891 DESIGN @f@a@d_main
    892 VIEW struct.bd
    893 GRAPHIC 5184,0 424 0
    894 DESIGN @f@a@d_main
    895 VIEW struct.bd
    896 GRAPHIC 5745,0 425 0
    897 DESIGN @f@a@d_main
    898 VIEW struct.bd
    899 GRAPHIC 2594,0 426 0
    900 DESIGN @f@a@d_main
    901 VIEW struct.bd
    902 GRAPHIC 5190,0 427 0
    903 DESIGN @f@a@d_main
    904 VIEW struct.bd
    905 GRAPHIC 5404,0 428 0
    906 DESIGN @f@a@d_main
    907 VIEW struct.bd
    908 GRAPHIC 6018,0 429 0
    909 DESIGN @f@a@d_main
    910 VIEW struct.bd
    911 GRAPHIC 6002,0 430 0
    912 DESIGN @f@a@d_main
    913 VIEW struct.bd
    914 GRAPHIC 6008,0 431 0
    915 DESIGN @f@a@d_main
    916 VIEW struct.bd
    917 GRAPHIC 5138,0 432 0
    918 DESIGN @f@a@d_main
    919 VIEW struct.bd
    920 GRAPHIC 2600,0 433 0
    921 DESIGN @f@a@d_main
    922 VIEW struct.bd
    923 GRAPHIC 5480,0 434 0
    924 DESIGN @f@a@d_main
    925 VIEW struct.bd
    926 GRAPHIC 5474,0 435 0
    927 DESIGN @f@a@d_main
    928 VIEW struct.bd
    929 GRAPHIC 6064,0 436 0
    930 DESIGN @f@a@d_main
    931 VIEW struct.bd
    932 GRAPHIC 2642,0 437 0
    933 DESIGN @f@a@d_main
    934 VIEW struct.bd
    935 GRAPHIC 1411,0 438 0
    936 DESIGN @f@a@d_main
    937 VIEW struct.bd
    938 GRAPHIC 1682,0 439 0
    939 DESIGN @f@a@d_main
    940 VIEW struct.bd
    941 GRAPHIC 1983,0 440 0
    942 DESIGN @f@a@d_main
    943 VIEW struct.bd
    944 GRAPHIC 1425,0 441 0
    945 DESIGN @f@a@d_main
    946 VIEW struct.bd
    947 GRAPHIC 5281,0 442 0
    948 DESIGN @f@a@d_main
    949 VIEW struct.bd
    950 GRAPHIC 5950,0 443 0
    951 DESIGN @f@a@d_main
    952 VIEW struct.bd
    953 GRAPHIC 5962,0 444 0
    954 DESIGN @f@a@d_main
    955 VIEW struct.bd
    956 GRAPHIC 5626,0 445 0
    957 DESIGN @f@a@d_main
    958 VIEW struct.bd
    959 GRAPHIC 2778,0 446 0
    960 DESIGN @f@a@d_main
    961 VIEW struct.bd
    962 GRAPHIC 5634,0 447 0
    963 DESIGN @f@a@d_main
    964 VIEW struct.bd
    965 GRAPHIC 8577,0 448 0
    966 DESIGN @f@a@d_main
    967 VIEW struct.bd
    968 GRAPHIC 6540,0 449 0
    969 DESIGN @f@a@d_main
    970 VIEW struct.bd
    971 GRAPHIC 4401,0 450 0
    972 DESIGN @f@a@d_main
    973 VIEW struct.bd
    974 GRAPHIC 4419,0 451 0
    975 DESIGN @f@a@d_main
    976 VIEW struct.bd
    977 GRAPHIC 4743,0 452 0
    978 DESIGN @f@a@d_main
    979 VIEW struct.bd
    980 GRAPHIC 4407,0 453 0
    981 DESIGN @f@a@d_main
    982 VIEW struct.bd
    983 GRAPHIC 4903,0 455 0
    984 DESIGN @f@a@d_main
    985 VIEW struct.bd
    986 GRAPHIC 4757,0 457 0
    987 DESIGN @f@a@d_main
    988 VIEW struct.bd
    989 GRAPHIC 4401,0 458 0
    990 DESIGN @f@a@d_main
    991 VIEW struct.bd
    992 GRAPHIC 4419,0 459 0
    993 DESIGN @f@a@d_main
    994 VIEW struct.bd
    995 GRAPHIC 4671,0 460 0
    996 DESIGN @f@a@d_main
    997 VIEW struct.bd
    998 GRAPHIC 4679,0 461 0
    999 DESIGN @f@a@d_main
    1000 VIEW struct.bd
    1001 GRAPHIC 4687,0 462 0
    1002 DESIGN @f@a@d_main
    1003 VIEW struct.bd
    1004 GRAPHIC 4695,0 463 0
    1005 DESIGN @f@a@d_main
    1006 VIEW struct.bd
    1007 GRAPHIC 4407,0 464 0
    1008 DESIGN @f@a@d_main
    1009 VIEW struct.bd
    1010 GRAPHIC 4743,0 465 0
    1011 DESIGN @f@a@d_main
    1012 VIEW struct.bd
    1013 GRAPHIC 4948,0 466 0
    1014 DESIGN @f@a@d_main
    1015 VIEW struct.bd
    1016 GRAPHIC 4962,0 467 0
    1017 DESIGN @f@a@d_main
    1018 VIEW struct.bd
    1019 GRAPHIC 2311,0 469 0
    1020 DESIGN @f@a@d_main
    1021 VIEW struct.bd
    1022 GRAPHIC 2318,0 470 1
    1023 DESIGN @f@a@d_main
    1024 VIEW struct.bd
    1025 GRAPHIC 6082,0 475 0
    1026 DESIGN @f@a@d_main
    1027 VIEW struct.bd
    1028 GRAPHIC 2588,0 476 0
    1029 DESIGN @f@a@d_main
    1030 VIEW struct.bd
    1031 GRAPHIC 2582,0 477 0
    1032 DESIGN @f@a@d_main
    1033 VIEW struct.bd
    1034 GRAPHIC 5168,0 478 0
    1035 DESIGN @f@a@d_main
    1036 VIEW struct.bd
    1037 GRAPHIC 2576,0 479 0
    1038 DESIGN @f@a@d_main
    1039 VIEW struct.bd
    1040 GRAPHIC 2594,0 480 0
    1041 DESIGN @f@a@d_main
    1042 VIEW struct.bd
    1043 GRAPHIC 6018,0 481 0
    1044 DESIGN @f@a@d_main
    1045 VIEW struct.bd
    1046 GRAPHIC 2600,0 482 0
    1047 DESIGN @f@a@d_main
    1048 VIEW struct.bd
    1049 GRAPHIC 2642,0 483 0
    1050 DESIGN @f@a@d_main
    1051 VIEW struct.bd
    1052 GRAPHIC 2488,0 484 0
    1053 DESIGN @f@a@d_main
    1054 VIEW struct.bd
    1055 GRAPHIC 2482,0 485 0
    1056 DESIGN @f@a@d_main
    1057 VIEW struct.bd
    1058 GRAPHIC 2494,0 486 0
    1059 DESIGN @f@a@d_main
    1060 VIEW struct.bd
    1061 GRAPHIC 2476,0 487 0
    1062 DESIGN @f@a@d_main
    1063 VIEW struct.bd
    1064 GRAPHIC 2506,0 488 0
    1065 DESIGN @f@a@d_main
    1066 VIEW struct.bd
    1067 GRAPHIC 2500,0 489 0
    1068 DESIGN @f@a@d_main
    1069 VIEW struct.bd
    1070 GRAPHIC 2470,0 490 0
    1071 DESIGN @f@a@d_main
    1072 VIEW struct.bd
    1073 GRAPHIC 8416,0 491 0
    1074 DESIGN @f@a@d_main
    1075 VIEW struct.bd
    1076 GRAPHIC 2299,0 492 0
    1077 DESIGN @f@a@d_main
    1078 VIEW struct.bd
    1079 GRAPHIC 5793,0 494 0
    1080 DESIGN @f@a@d_main
    1081 VIEW struct.bd
    1082 GRAPHIC 5805,0 496 0
    1083 DESIGN @f@a@d_main
    1084 VIEW struct.bd
    1085 GRAPHIC 5745,0 497 0
    1086 DESIGN @f@a@d_main
    1087 VIEW struct.bd
    1088 GRAPHIC 5146,0 498 0
    1089 DESIGN @f@a@d_main
    1090 VIEW struct.bd
    1091 GRAPHIC 5404,0 499 0
    1092 DESIGN @f@a@d_main
    1093 VIEW struct.bd
    1094 GRAPHIC 6008,0 500 0
    1095 DESIGN @f@a@d_main
    1096 VIEW struct.bd
    1097 GRAPHIC 5829,0 501 0
    1098 DESIGN @f@a@d_main
    1099 VIEW struct.bd
    1100 GRAPHIC 6160,0 502 0
    1101 DESIGN @f@a@d_main
    1102 VIEW struct.bd
    1103 GRAPHIC 5813,0 503 0
    1104 DESIGN @f@a@d_main
    1105 VIEW struct.bd
    1106 GRAPHIC 5480,0 504 0
    1107 DESIGN @f@a@d_main
    1108 VIEW struct.bd
    1109 GRAPHIC 5837,0 505 0
    1110 DESIGN @f@a@d_main
    1111 VIEW struct.bd
    1112 GRAPHIC 5474,0 506 0
    1113 DESIGN @f@a@d_main
    1114 VIEW struct.bd
    1115 GRAPHIC 5821,0 507 0
    1116 DESIGN @f@a@d_main
    1117 VIEW struct.bd
    1118 GRAPHIC 1768,0 509 0
    1119 DESIGN @f@a@d_main
    1120 VIEW struct.bd
    1121 GRAPHIC 1983,0 511 0
    1122 DESIGN @f@a@d_main
    1123 VIEW struct.bd
    1124 GRAPHIC 2876,0 512 0
    1125 DESIGN @f@a@d_main
    1126 VIEW struct.bd
    1127 GRAPHIC 6276,0 513 0
    1128 DESIGN @f@a@d_main
    1129 VIEW struct.bd
    1130 GRAPHIC 1606,0 515 0
    1131 DESIGN @f@a@d_main
    1132 VIEW struct.bd
    1133 GRAPHIC 1613,0 516 1
    1134 DESIGN @f@a@d_main
    1135 VIEW struct.bd
    1136 GRAPHIC 3888,0 520 0
    1137 DESIGN @f@a@d_main
    1138 VIEW struct.bd
    1139 GRAPHIC 376,0 521 0
    1140 DESIGN @f@a@d_main
    1141 VIEW struct.bd
    1142 GRAPHIC 384,0 522 0
    1143 DESIGN @f@a@d_main
    1144 VIEW struct.bd
    1145 GRAPHIC 392,0 523 0
    1146 DESIGN @f@a@d_main
    1147 VIEW struct.bd
    1148 GRAPHIC 400,0 524 0
    1149 DESIGN @f@a@d_main
    1150 VIEW struct.bd
    1151 GRAPHIC 408,0 525 0
    1152 DESIGN @f@a@d_main
    1153 VIEW struct.bd
    1154 GRAPHIC 5222,0 526 0
    1155 DESIGN @f@a@d_main
    1156 VIEW struct.bd
    1157 GRAPHIC 424,0 527 0
    1158 DESIGN @f@a@d_main
    1159 VIEW struct.bd
    1160 GRAPHIC 432,0 528 0
    1161 DESIGN @f@a@d_main
    1162 VIEW struct.bd
    1163 GRAPHIC 2482,0 529 0
    1164 DESIGN @f@a@d_main
    1165 VIEW struct.bd
    1166 GRAPHIC 2488,0 530 0
    1167 DESIGN @f@a@d_main
    1168 VIEW struct.bd
    1169 GRAPHIC 370,0 531 0
    1170 DESIGN @f@a@d_main
    1171 VIEW struct.bd
    1172 GRAPHIC 364,0 532 0
    1173 DESIGN @f@a@d_main
    1174 VIEW struct.bd
    1175 GRAPHIC 2476,0 533 0
    1176 DESIGN @f@a@d_main
    1177 VIEW struct.bd
    1178 GRAPHIC 8416,0 534 0
    1179 DESIGN @f@a@d_main
    1180 VIEW struct.bd
    1181 GRAPHIC 2470,0 535 0
    1182 DESIGN @f@a@d_main
    1183 VIEW struct.bd
    1184 GRAPHIC 2506,0 536 0
    1185 DESIGN @f@a@d_main
    1186 VIEW struct.bd
    1187 GRAPHIC 2500,0 537 0
    1188 DESIGN @f@a@d_main
    1189 VIEW struct.bd
    1190 GRAPHIC 2494,0 538 0
    1191 DESIGN @f@a@d_main
    1192 VIEW struct.bd
    1193 GRAPHIC 5281,0 539 0
    1194 DESIGN @f@a@d_main
    1195 VIEW struct.bd
    1196 GRAPHIC 5950,0 540 0
    1197 DESIGN @f@a@d_main
    1198 VIEW struct.bd
    1199 GRAPHIC 5962,0 541 0
    1200 DESIGN @f@a@d_main
    1201 VIEW struct.bd
    1202 GRAPHIC 5090,0 542 0
    1203 DESIGN @f@a@d_main
    1204 VIEW struct.bd
    1205 GRAPHIC 5114,0 543 0
    1206 DESIGN @f@a@d_main
    1207 VIEW struct.bd
    1208 GRAPHIC 5122,0 544 0
    1209 DESIGN @f@a@d_main
    1210 VIEW struct.bd
    1211 GRAPHIC 5130,0 545 0
    1212 DESIGN @f@a@d_main
    1213 VIEW struct.bd
    1214 GRAPHIC 5106,0 546 0
    1215 DESIGN @f@a@d_main
    1216 VIEW struct.bd
    1217 GRAPHIC 6362,0 547 0
    1218 DESIGN @f@a@d_main
    1219 VIEW struct.bd
    1220 GRAPHIC 6452,0 548 0
    1221 DESIGN @f@a@d_main
    1222 VIEW struct.bd
    1223 GRAPHIC 6276,0 552 0
    1224 DESIGN @f@a@d_main
    1225 VIEW struct.bd
    1226 GRAPHIC 3888,0 553 0
    1227 DESIGN @f@a@d_main
    1228 VIEW struct.bd
    1229 NO_GRAPHIC 555
     725NO_GRAPHIC 339
     726DESIGN @f@a@d_main
     727VIEW struct.bd
     728GRAPHIC 5678,0 342 0
     729DESIGN @f@a@d_main
     730VIEW struct.bd
     731GRAPHIC 4194,0 343 0
     732DESIGN @f@a@d_main
     733VIEW struct.bd
     734GRAPHIC 5072,0 344 0
     735DESIGN @f@a@d_main
     736VIEW struct.bd
     737GRAPHIC 8277,0 345 0
     738DESIGN @f@a@d_main
     739VIEW struct.bd
     740GRAPHIC 1399,0 346 0
     741DESIGN @f@a@d_main
     742VIEW struct.bd
     743GRAPHIC 4903,0 347 0
     744DESIGN @f@a@d_main
     745VIEW struct.bd
     746GRAPHIC 2311,0 348 0
     747DESIGN @f@a@d_main
     748VIEW struct.bd
     749GRAPHIC 5793,0 349 0
     750DESIGN @f@a@d_main
     751VIEW struct.bd
     752GRAPHIC 1768,0 350 0
     753DESIGN @f@a@d_main
     754VIEW struct.bd
     755GRAPHIC 1606,0 351 0
     756DESIGN @f@a@d_main
     757VIEW struct.bd
     758NO_GRAPHIC 354
     759DESIGN @f@a@d_main
     760VIEW struct.bd
     761GRAPHIC 6529,0 356 0
     762DESIGN @f@a@d_main
     763VIEW struct.bd
     764GRAPHIC 8721,0 359 0
     765DESIGN @f@a@d_main
     766VIEW struct.bd
     767GRAPHIC 8562,0 362 0
     768DESIGN @f@a@d_main
     769VIEW struct.bd
     770NO_GRAPHIC 373
     771DESIGN @f@a@d_main
     772VIEW struct.bd
     773GRAPHIC 5678,0 375 0
     774DESIGN @f@a@d_main
     775VIEW struct.bd
     776GRAPHIC 5646,0 377 0
     777DESIGN @f@a@d_main
     778VIEW struct.bd
     779GRAPHIC 4272,0 378 0
     780DESIGN @f@a@d_main
     781VIEW struct.bd
     782GRAPHIC 2786,0 379 0
     783DESIGN @f@a@d_main
     784VIEW struct.bd
     785GRAPHIC 5626,0 380 0
     786DESIGN @f@a@d_main
     787VIEW struct.bd
     788GRAPHIC 5634,0 381 0
     789DESIGN @f@a@d_main
     790VIEW struct.bd
     791GRAPHIC 4194,0 383 0
     792DESIGN @f@a@d_main
     793VIEW struct.bd
     794GRAPHIC 4042,0 385 0
     795DESIGN @f@a@d_main
     796VIEW struct.bd
     797GRAPHIC 6072,0 386 0
     798DESIGN @f@a@d_main
     799VIEW struct.bd
     800GRAPHIC 3984,0 387 0
     801DESIGN @f@a@d_main
     802VIEW struct.bd
     803GRAPHIC 3888,0 388 0
     804DESIGN @f@a@d_main
     805VIEW struct.bd
     806GRAPHIC 5072,0 390 0
     807DESIGN @f@a@d_main
     808VIEW struct.bd
     809GRAPHIC 5582,0 392 0
     810DESIGN @f@a@d_main
     811VIEW struct.bd
     812GRAPHIC 5090,0 393 0
     813DESIGN @f@a@d_main
     814VIEW struct.bd
     815GRAPHIC 5130,0 394 0
     816DESIGN @f@a@d_main
     817VIEW struct.bd
     818GRAPHIC 5184,0 395 0
     819DESIGN @f@a@d_main
     820VIEW struct.bd
     821GRAPHIC 5122,0 396 0
     822DESIGN @f@a@d_main
     823VIEW struct.bd
     824GRAPHIC 5106,0 397 0
     825DESIGN @f@a@d_main
     826VIEW struct.bd
     827GRAPHIC 5098,0 398 0
     828DESIGN @f@a@d_main
     829VIEW struct.bd
     830GRAPHIC 5190,0 399 0
     831DESIGN @f@a@d_main
     832VIEW struct.bd
     833GRAPHIC 6002,0 400 0
     834DESIGN @f@a@d_main
     835VIEW struct.bd
     836GRAPHIC 5146,0 401 0
     837DESIGN @f@a@d_main
     838VIEW struct.bd
     839GRAPHIC 8510,0 402 0
     840DESIGN @f@a@d_main
     841VIEW struct.bd
     842GRAPHIC 8518,0 403 0
     843DESIGN @f@a@d_main
     844VIEW struct.bd
     845GRAPHIC 5138,0 404 0
     846DESIGN @f@a@d_main
     847VIEW struct.bd
     848GRAPHIC 5114,0 405 0
     849DESIGN @f@a@d_main
     850VIEW struct.bd
     851GRAPHIC 8277,0 407 0
     852DESIGN @f@a@d_main
     853VIEW struct.bd
     854GRAPHIC 5602,0 409 0
     855DESIGN @f@a@d_main
     856VIEW struct.bd
     857GRAPHIC 334,0 410 0
     858DESIGN @f@a@d_main
     859VIEW struct.bd
     860GRAPHIC 328,0 411 0
     861DESIGN @f@a@d_main
     862VIEW struct.bd
     863GRAPHIC 322,0 412 0
     864DESIGN @f@a@d_main
     865VIEW struct.bd
     866GRAPHIC 4240,0 413 0
     867DESIGN @f@a@d_main
     868VIEW struct.bd
     869GRAPHIC 364,0 414 0
     870DESIGN @f@a@d_main
     871VIEW struct.bd
     872GRAPHIC 370,0 415 0
     873DESIGN @f@a@d_main
     874VIEW struct.bd
     875GRAPHIC 1399,0 417 0
     876DESIGN @f@a@d_main
     877VIEW struct.bd
     878GRAPHIC 1406,0 418 1
     879DESIGN @f@a@d_main
     880VIEW struct.bd
     881GRAPHIC 5602,0 422 0
     882DESIGN @f@a@d_main
     883VIEW struct.bd
     884GRAPHIC 334,0 423 0
     885DESIGN @f@a@d_main
     886VIEW struct.bd
     887GRAPHIC 328,0 424 0
     888DESIGN @f@a@d_main
     889VIEW struct.bd
     890GRAPHIC 322,0 425 0
     891DESIGN @f@a@d_main
     892VIEW struct.bd
     893GRAPHIC 2299,0 426 0
     894DESIGN @f@a@d_main
     895VIEW struct.bd
     896GRAPHIC 2576,0 427 0
     897DESIGN @f@a@d_main
     898VIEW struct.bd
     899GRAPHIC 2582,0 428 0
     900DESIGN @f@a@d_main
     901VIEW struct.bd
     902GRAPHIC 2588,0 429 0
     903DESIGN @f@a@d_main
     904VIEW struct.bd
     905GRAPHIC 5184,0 430 0
     906DESIGN @f@a@d_main
     907VIEW struct.bd
     908GRAPHIC 5745,0 431 0
     909DESIGN @f@a@d_main
     910VIEW struct.bd
     911GRAPHIC 2594,0 432 0
     912DESIGN @f@a@d_main
     913VIEW struct.bd
     914GRAPHIC 5190,0 433 0
     915DESIGN @f@a@d_main
     916VIEW struct.bd
     917GRAPHIC 5404,0 434 0
     918DESIGN @f@a@d_main
     919VIEW struct.bd
     920GRAPHIC 6018,0 435 0
     921DESIGN @f@a@d_main
     922VIEW struct.bd
     923GRAPHIC 6002,0 436 0
     924DESIGN @f@a@d_main
     925VIEW struct.bd
     926GRAPHIC 6008,0 437 0
     927DESIGN @f@a@d_main
     928VIEW struct.bd
     929GRAPHIC 5138,0 438 0
     930DESIGN @f@a@d_main
     931VIEW struct.bd
     932GRAPHIC 2600,0 439 0
     933DESIGN @f@a@d_main
     934VIEW struct.bd
     935GRAPHIC 5480,0 440 0
     936DESIGN @f@a@d_main
     937VIEW struct.bd
     938GRAPHIC 5474,0 441 0
     939DESIGN @f@a@d_main
     940VIEW struct.bd
     941GRAPHIC 6064,0 442 0
     942DESIGN @f@a@d_main
     943VIEW struct.bd
     944GRAPHIC 2642,0 443 0
     945DESIGN @f@a@d_main
     946VIEW struct.bd
     947GRAPHIC 1411,0 444 0
     948DESIGN @f@a@d_main
     949VIEW struct.bd
     950GRAPHIC 1682,0 445 0
     951DESIGN @f@a@d_main
     952VIEW struct.bd
     953GRAPHIC 1983,0 446 0
     954DESIGN @f@a@d_main
     955VIEW struct.bd
     956GRAPHIC 1425,0 447 0
     957DESIGN @f@a@d_main
     958VIEW struct.bd
     959GRAPHIC 5281,0 448 0
     960DESIGN @f@a@d_main
     961VIEW struct.bd
     962GRAPHIC 5950,0 449 0
     963DESIGN @f@a@d_main
     964VIEW struct.bd
     965GRAPHIC 5962,0 450 0
     966DESIGN @f@a@d_main
     967VIEW struct.bd
     968GRAPHIC 5626,0 451 0
     969DESIGN @f@a@d_main
     970VIEW struct.bd
     971GRAPHIC 2778,0 452 0
     972DESIGN @f@a@d_main
     973VIEW struct.bd
     974GRAPHIC 5634,0 453 0
     975DESIGN @f@a@d_main
     976VIEW struct.bd
     977GRAPHIC 8577,0 454 0
     978DESIGN @f@a@d_main
     979VIEW struct.bd
     980GRAPHIC 6540,0 455 0
     981DESIGN @f@a@d_main
     982VIEW struct.bd
     983GRAPHIC 4401,0 456 0
     984DESIGN @f@a@d_main
     985VIEW struct.bd
     986GRAPHIC 4419,0 457 0
     987DESIGN @f@a@d_main
     988VIEW struct.bd
     989GRAPHIC 4743,0 458 0
     990DESIGN @f@a@d_main
     991VIEW struct.bd
     992GRAPHIC 4407,0 459 0
     993DESIGN @f@a@d_main
     994VIEW struct.bd
     995GRAPHIC 4903,0 461 0
     996DESIGN @f@a@d_main
     997VIEW struct.bd
     998GRAPHIC 4757,0 463 0
     999DESIGN @f@a@d_main
     1000VIEW struct.bd
     1001GRAPHIC 4401,0 464 0
     1002DESIGN @f@a@d_main
     1003VIEW struct.bd
     1004GRAPHIC 4419,0 465 0
     1005DESIGN @f@a@d_main
     1006VIEW struct.bd
     1007GRAPHIC 4671,0 466 0
     1008DESIGN @f@a@d_main
     1009VIEW struct.bd
     1010GRAPHIC 4679,0 467 0
     1011DESIGN @f@a@d_main
     1012VIEW struct.bd
     1013GRAPHIC 4687,0 468 0
     1014DESIGN @f@a@d_main
     1015VIEW struct.bd
     1016GRAPHIC 4695,0 469 0
     1017DESIGN @f@a@d_main
     1018VIEW struct.bd
     1019GRAPHIC 4407,0 470 0
     1020DESIGN @f@a@d_main
     1021VIEW struct.bd
     1022GRAPHIC 4743,0 471 0
     1023DESIGN @f@a@d_main
     1024VIEW struct.bd
     1025GRAPHIC 4948,0 472 0
     1026DESIGN @f@a@d_main
     1027VIEW struct.bd
     1028GRAPHIC 4962,0 473 0
     1029DESIGN @f@a@d_main
     1030VIEW struct.bd
     1031GRAPHIC 2311,0 475 0
     1032DESIGN @f@a@d_main
     1033VIEW struct.bd
     1034GRAPHIC 2318,0 476 1
     1035DESIGN @f@a@d_main
     1036VIEW struct.bd
     1037GRAPHIC 6082,0 481 0
     1038DESIGN @f@a@d_main
     1039VIEW struct.bd
     1040GRAPHIC 2588,0 482 0
     1041DESIGN @f@a@d_main
     1042VIEW struct.bd
     1043GRAPHIC 2582,0 483 0
     1044DESIGN @f@a@d_main
     1045VIEW struct.bd
     1046GRAPHIC 5168,0 484 0
     1047DESIGN @f@a@d_main
     1048VIEW struct.bd
     1049GRAPHIC 2576,0 485 0
     1050DESIGN @f@a@d_main
     1051VIEW struct.bd
     1052GRAPHIC 2594,0 486 0
     1053DESIGN @f@a@d_main
     1054VIEW struct.bd
     1055GRAPHIC 6018,0 487 0
     1056DESIGN @f@a@d_main
     1057VIEW struct.bd
     1058GRAPHIC 2600,0 488 0
     1059DESIGN @f@a@d_main
     1060VIEW struct.bd
     1061GRAPHIC 2642,0 489 0
     1062DESIGN @f@a@d_main
     1063VIEW struct.bd
     1064GRAPHIC 2488,0 490 0
     1065DESIGN @f@a@d_main
     1066VIEW struct.bd
     1067GRAPHIC 2482,0 491 0
     1068DESIGN @f@a@d_main
     1069VIEW struct.bd
     1070GRAPHIC 2494,0 492 0
     1071DESIGN @f@a@d_main
     1072VIEW struct.bd
     1073GRAPHIC 2476,0 493 0
     1074DESIGN @f@a@d_main
     1075VIEW struct.bd
     1076GRAPHIC 2506,0 494 0
     1077DESIGN @f@a@d_main
     1078VIEW struct.bd
     1079GRAPHIC 2500,0 495 0
     1080DESIGN @f@a@d_main
     1081VIEW struct.bd
     1082GRAPHIC 2470,0 496 0
     1083DESIGN @f@a@d_main
     1084VIEW struct.bd
     1085GRAPHIC 8416,0 497 0
     1086DESIGN @f@a@d_main
     1087VIEW struct.bd
     1088GRAPHIC 2299,0 498 0
     1089DESIGN @f@a@d_main
     1090VIEW struct.bd
     1091GRAPHIC 5793,0 500 0
     1092DESIGN @f@a@d_main
     1093VIEW struct.bd
     1094GRAPHIC 5805,0 502 0
     1095DESIGN @f@a@d_main
     1096VIEW struct.bd
     1097GRAPHIC 5745,0 503 0
     1098DESIGN @f@a@d_main
     1099VIEW struct.bd
     1100GRAPHIC 5146,0 504 0
     1101DESIGN @f@a@d_main
     1102VIEW struct.bd
     1103GRAPHIC 5404,0 505 0
     1104DESIGN @f@a@d_main
     1105VIEW struct.bd
     1106GRAPHIC 6008,0 506 0
     1107DESIGN @f@a@d_main
     1108VIEW struct.bd
     1109GRAPHIC 5829,0 507 0
     1110DESIGN @f@a@d_main
     1111VIEW struct.bd
     1112GRAPHIC 6160,0 508 0
     1113DESIGN @f@a@d_main
     1114VIEW struct.bd
     1115GRAPHIC 8732,0 509 0
     1116DESIGN @f@a@d_main
     1117VIEW struct.bd
     1118GRAPHIC 5480,0 510 0
     1119DESIGN @f@a@d_main
     1120VIEW struct.bd
     1121GRAPHIC 5837,0 511 0
     1122DESIGN @f@a@d_main
     1123VIEW struct.bd
     1124GRAPHIC 5474,0 512 0
     1125DESIGN @f@a@d_main
     1126VIEW struct.bd
     1127GRAPHIC 5821,0 513 0
     1128DESIGN @f@a@d_main
     1129VIEW struct.bd
     1130GRAPHIC 1768,0 515 0
     1131DESIGN @f@a@d_main
     1132VIEW struct.bd
     1133GRAPHIC 1983,0 517 0
     1134DESIGN @f@a@d_main
     1135VIEW struct.bd
     1136GRAPHIC 2876,0 518 0
     1137DESIGN @f@a@d_main
     1138VIEW struct.bd
     1139GRAPHIC 6276,0 519 0
     1140DESIGN @f@a@d_main
     1141VIEW struct.bd
     1142GRAPHIC 1606,0 521 0
     1143DESIGN @f@a@d_main
     1144VIEW struct.bd
     1145GRAPHIC 1613,0 522 1
     1146DESIGN @f@a@d_main
     1147VIEW struct.bd
     1148GRAPHIC 3888,0 526 0
     1149DESIGN @f@a@d_main
     1150VIEW struct.bd
     1151GRAPHIC 376,0 527 0
     1152DESIGN @f@a@d_main
     1153VIEW struct.bd
     1154GRAPHIC 384,0 528 0
     1155DESIGN @f@a@d_main
     1156VIEW struct.bd
     1157GRAPHIC 392,0 529 0
     1158DESIGN @f@a@d_main
     1159VIEW struct.bd
     1160GRAPHIC 400,0 530 0
     1161DESIGN @f@a@d_main
     1162VIEW struct.bd
     1163GRAPHIC 408,0 531 0
     1164DESIGN @f@a@d_main
     1165VIEW struct.bd
     1166GRAPHIC 5222,0 532 0
     1167DESIGN @f@a@d_main
     1168VIEW struct.bd
     1169GRAPHIC 424,0 533 0
     1170DESIGN @f@a@d_main
     1171VIEW struct.bd
     1172GRAPHIC 432,0 534 0
     1173DESIGN @f@a@d_main
     1174VIEW struct.bd
     1175GRAPHIC 2482,0 535 0
     1176DESIGN @f@a@d_main
     1177VIEW struct.bd
     1178GRAPHIC 2488,0 536 0
     1179DESIGN @f@a@d_main
     1180VIEW struct.bd
     1181GRAPHIC 370,0 537 0
     1182DESIGN @f@a@d_main
     1183VIEW struct.bd
     1184GRAPHIC 364,0 538 0
     1185DESIGN @f@a@d_main
     1186VIEW struct.bd
     1187GRAPHIC 2476,0 539 0
     1188DESIGN @f@a@d_main
     1189VIEW struct.bd
     1190GRAPHIC 8416,0 540 0
     1191DESIGN @f@a@d_main
     1192VIEW struct.bd
     1193GRAPHIC 2470,0 541 0
     1194DESIGN @f@a@d_main
     1195VIEW struct.bd
     1196GRAPHIC 2506,0 542 0
     1197DESIGN @f@a@d_main
     1198VIEW struct.bd
     1199GRAPHIC 2500,0 543 0
     1200DESIGN @f@a@d_main
     1201VIEW struct.bd
     1202GRAPHIC 2494,0 544 0
     1203DESIGN @f@a@d_main
     1204VIEW struct.bd
     1205GRAPHIC 5281,0 545 0
     1206DESIGN @f@a@d_main
     1207VIEW struct.bd
     1208GRAPHIC 5950,0 546 0
     1209DESIGN @f@a@d_main
     1210VIEW struct.bd
     1211GRAPHIC 5962,0 547 0
     1212DESIGN @f@a@d_main
     1213VIEW struct.bd
     1214GRAPHIC 5090,0 548 0
     1215DESIGN @f@a@d_main
     1216VIEW struct.bd
     1217GRAPHIC 5114,0 549 0
     1218DESIGN @f@a@d_main
     1219VIEW struct.bd
     1220GRAPHIC 5122,0 550 0
     1221DESIGN @f@a@d_main
     1222VIEW struct.bd
     1223GRAPHIC 5130,0 551 0
     1224DESIGN @f@a@d_main
     1225VIEW struct.bd
     1226GRAPHIC 5106,0 552 0
     1227DESIGN @f@a@d_main
     1228VIEW struct.bd
     1229GRAPHIC 6362,0 553 0
     1230DESIGN @f@a@d_main
     1231VIEW struct.bd
     1232GRAPHIC 6452,0 554 0
     1233DESIGN @f@a@d_main
     1234VIEW struct.bd
     1235GRAPHIC 8752,0 555 0
     1236DESIGN @f@a@d_main
     1237VIEW struct.bd
     1238GRAPHIC 6276,0 559 0
     1239DESIGN @f@a@d_main
     1240VIEW struct.bd
     1241GRAPHIC 3888,0 560 0
     1242DESIGN @f@a@d_main
     1243VIEW struct.bd
     1244NO_GRAPHIC 562
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r252 r260  
    161161uid 8562,0
    162162)
     163(Instance
     164name "U_1"
     165duLibraryName "moduleware"
     166duName "and"
     167elements [
     168]
     169mwi 1
     170uid 8721,0
     171)
    163172]
    164173libraryRefs [
     
    216225(vvPair
    217226variable "date"
    218 value "12.07.2010"
     227value "21.07.2010"
    219228)
    220229(vvPair
    221230variable "day"
    222 value "Mo"
     231value "Mi"
    223232)
    224233(vvPair
    225234variable "day_long"
    226 value "Montag"
     235value "Mittwoch"
    227236)
    228237(vvPair
    229238variable "dd"
    230 value "12"
     239value "21"
    231240)
    232241(vvPair
     
    256265(vvPair
    257266variable "host"
    258 value "TU-CC4900F8C7D2"
     267value "E5B-LABOR6"
    259268)
    260269(vvPair
     
    368377(vvPair
    369378variable "time"
    370 value "14:21:30"
     379value "11:39:48"
    371380)
    372381(vvPair
     
    459468font "Courier New,8,0"
    460469)
    461 xt "-85000,86600,-41500,87400"
     470xt "-85000,88200,-41500,89000"
    462471st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
    463472"
     
    36383647)
    36393648)
     3649*97 (CptPort
     3650uid 8748,0
     3651ps "OnEdgeStrategy"
     3652shape (Triangle
     3653uid 8749,0
     3654ro 90
     3655va (VaSet
     3656vasetType 1
     3657fg "0,65535,0"
     3658)
     3659xt "148000,76625,148750,77375"
     3660)
     3661tg (CPTG
     3662uid 8750,0
     3663ps "CptPortTextPlaceStrategy"
     3664stg "RightVerticalLayoutStrategy"
     3665f (Text
     3666uid 8751,0
     3667va (VaSet
     3668)
     3669xt "142300,76500,147000,77500"
     3670st "sclk_enable"
     3671ju 2
     3672blo "147000,77300"
     3673)
     3674)
     3675thePort (LogicalPort
     3676m 1
     3677decl (Decl
     3678n "sclk_enable"
     3679t "std_logic"
     3680eolc "-- default DWRITE HIGH."
     3681posAdd 0
     3682o 30
     3683suid 35,0
     3684i "'1'"
     3685)
     3686)
     3687)
    36403688]
    36413689shape (Rectangle
     
    36553703stg "VerticalLayoutStrategy"
    36563704textVec [
    3657 *97 (Text
     3705*98 (Text
    36583706uid 1609,0
    36593707va (VaSet
     
    36653713tm "BdLibraryNameMgr"
    36663714)
    3667 *98 (Text
     3715*99 (Text
    36683716uid 1610,0
    36693717va (VaSet
     
    36753723tm "CptNameMgr"
    36763724)
    3677 *99 (Text
     3725*100 (Text
    36783726uid 1611,0
    36793727va (VaSet
     
    37283776archFileType "UNKNOWN"
    37293777)
    3730 *100 (Net
     3778*101 (Net
    37313779uid 1680,0
    37323780decl (Decl
     
    37473795)
    37483796)
    3749 *101 (SaComponent
     3797*102 (SaComponent
    37503798uid 1768,0
    37513799optionalChildren [
    3752 *102 (CptPort
     3800*103 (CptPort
    37533801uid 1760,0
    37543802ps "OnEdgeStrategy"
     
    37903838)
    37913839)
    3792 *103 (CptPort
     3840*104 (CptPort
    37933841uid 1764,0
    37943842ps "OnEdgeStrategy"
     
    38273875)
    38283876)
    3829 *104 (CptPort
     3877*105 (CptPort
    38303878uid 6207,0
    38313879ps "OnEdgeStrategy"
     
    38793927stg "VerticalLayoutStrategy"
    38803928textVec [
    3881 *105 (Text
     3929*106 (Text
    38823930uid 1771,0
    38833931va (VaSet
     
    38893937tm "BdLibraryNameMgr"
    38903938)
    3891 *106 (Text
     3939*107 (Text
    38923940uid 1772,0
    38933941va (VaSet
     
    38993947tm "CptNameMgr"
    39003948)
    3901 *107 (Text
     3949*108 (Text
    39023950uid 1773,0
    39033951va (VaSet
     
    39473995archFileType "UNKNOWN"
    39483996)
    3949 *108 (Net
     3997*109 (Net
    39503998uid 1981,0
    39513999lang 2
     
    39644012font "Courier New,8,0"
    39654013)
    3966 xt "-85000,79400,-52500,80200"
     4014xt "-85000,81000,-52500,81800"
    39674015st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
    39684016"
    39694017)
    39704018)
    3971 *109 (Net
     4019*110 (Net
    39724020uid 2297,0
    39734021decl (Decl
     
    39904038)
    39914039)
    3992 *110 (SaComponent
     4040*111 (SaComponent
    39934041uid 2311,0
    39944042optionalChildren [
    3995 *111 (CptPort
     4043*112 (CptPort
    39964044uid 2307,0
    39974045ps "OnEdgeStrategy"
     
    40344082)
    40354083)
    4036 *112 (CptPort
     4084*113 (CptPort
    40374085uid 2351,0
    40384086ps "OnEdgeStrategy"
     
    40704118)
    40714119)
    4072 *113 (CptPort
     4120*114 (CptPort
    40734121uid 2361,0
    40744122ps "OnEdgeStrategy"
     
    41084156)
    41094157)
    4110 *114 (CptPort
     4158*115 (CptPort
    41114159uid 2365,0
    41124160ps "OnEdgeStrategy"
     
    41444192)
    41454193)
    4146 *115 (CptPort
     4194*116 (CptPort
    41474195uid 2369,0
    41484196ps "OnEdgeStrategy"
     
    41824230)
    41834231)
    4184 *116 (CptPort
     4232*117 (CptPort
    41854233uid 2373,0
    41864234ps "OnEdgeStrategy"
     
    42184266)
    42194267)
    4220 *117 (CptPort
     4268*118 (CptPort
    42214269uid 2377,0
    42224270ps "OnEdgeStrategy"
     
    42574305)
    42584306)
    4259 *118 (CptPort
     4307*119 (CptPort
    42604308uid 2381,0
    42614309ps "OnEdgeStrategy"
     
    42944342)
    42954343)
    4296 *119 (CptPort
     4344*120 (CptPort
    42974345uid 2385,0
    42984346ps "OnEdgeStrategy"
     
    43344382)
    43354383)
    4336 *120 (CptPort
     4384*121 (CptPort
    43374385uid 2389,0
    43384386ps "OnEdgeStrategy"
     
    43754423)
    43764424)
    4377 *121 (CptPort
     4425*122 (CptPort
    43784426uid 2393,0
    43794427ps "OnEdgeStrategy"
     
    44144462)
    44154463)
    4416 *122 (CptPort
     4464*123 (CptPort
    44174465uid 2397,0
    44184466ps "OnEdgeStrategy"
     
    44534501)
    44544502)
    4455 *123 (CptPort
     4503*124 (CptPort
    44564504uid 2401,0
    44574505ps "OnEdgeStrategy"
     
    44924540)
    44934541)
    4494 *124 (CptPort
     4542*125 (CptPort
    44954543uid 2405,0
    44964544ps "OnEdgeStrategy"
     
    45324580)
    45334581)
    4534 *125 (CptPort
     4582*126 (CptPort
    45354583uid 2454,0
    45364584ps "OnEdgeStrategy"
     
    45684616)
    45694617)
    4570 *126 (CptPort
     4618*127 (CptPort
    45714619uid 2628,0
    45724620ps "OnEdgeStrategy"
     
    46074655)
    46084656)
    4609 *127 (CptPort
     4657*128 (CptPort
    46104658uid 5991,0
    46114659ps "OnEdgeStrategy"
     
    46454693)
    46464694)
    4647 *128 (CptPort
     4695*129 (CptPort
    46484696uid 8410,0
    46494697ps "OnEdgeStrategy"
     
    46994747stg "VerticalLayoutStrategy"
    47004748textVec [
    4701 *129 (Text
     4749*130 (Text
    47024750uid 2314,0
    47034751va (VaSet
     
    47094757tm "BdLibraryNameMgr"
    47104758)
    4711 *130 (Text
     4759*131 (Text
    47124760uid 2315,0
    47134761va (VaSet
     
    47194767tm "CptNameMgr"
    47204768)
    4721 *131 (Text
     4769*132 (Text
    47224770uid 2316,0
    47234771va (VaSet
     
    47784826archFileType "UNKNOWN"
    47794827)
    4780 *132 (Net
     4828*133 (Net
    47814829uid 2468,0
    47824830lang 2
     
    47924840font "Courier New,8,0"
    47934841)
    4794 xt "-85000,81000,-62500,81800"
     4842xt "-85000,82600,-62500,83400"
    47954843st "SIGNAL wiz_busy               : std_logic
    47964844"
    47974845)
    47984846)
    4799 *133 (Net
     4847*134 (Net
    48004848uid 2474,0
    48014849lang 2
     
    48124860font "Courier New,8,0"
    48134861)
    4814 xt "-85000,83400,-41500,84200"
     4862xt "-85000,85000,-41500,85800"
    48154863st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
    48164864"
    48174865)
    48184866)
    4819 *134 (Net
     4867*135 (Net
    48204868uid 2480,0
    48214869lang 2
     
    48334881font "Courier New,8,0"
    48344882)
    4835 xt "-85000,85800,-35500,86600"
     4883xt "-85000,87400,-35500,88200"
    48364884st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
    48374885"
    48384886)
    48394887)
    4840 *135 (Net
     4888*136 (Net
    48414889uid 2486,0
    48424890lang 2
     
    48554903font "Courier New,8,0"
    48564904)
    4857 xt "-85000,82600,-35500,83400"
     4905xt "-85000,84200,-35500,85000"
    48584906st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
    48594907"
    48604908)
    48614909)
    4862 *136 (Net
     4910*137 (Net
    48634911uid 2492,0
    48644912lang 2
     
    48764924font "Courier New,8,0"
    48774925)
    4878 xt "-85000,81800,-35500,82600"
     4926xt "-85000,83400,-35500,84200"
    48794927st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
    48804928"
    48814929)
    48824930)
    4883 *137 (Net
     4931*138 (Net
    48844932uid 2498,0
    48854933lang 2
     
    48964944font "Courier New,8,0"
    48974945)
    4898 xt "-85000,84200,-41500,85000"
     4946xt "-85000,85800,-41500,86600"
    48994947st "SIGNAL wiz_write_end          : std_logic                                    := '0'
    49004948"
    49014949)
    49024950)
    4903 *138 (Net
     4951*139 (Net
    49044952uid 2504,0
    49054953lang 2
     
    49164964font "Courier New,8,0"
    49174965)
    4918 xt "-85000,85000,-41500,85800"
     4966xt "-85000,86600,-41500,87400"
    49194967st "SIGNAL wiz_write_header       : std_logic                                    := '0'
    49204968"
    49214969)
    49224970)
    4923 *139 (Net
     4971*140 (Net
    49244972uid 2574,0
    49254973decl (Decl
     
    49394987)
    49404988)
    4941 *140 (Net
     4989*141 (Net
    49424990uid 2580,0
    49434991decl (Decl
     
    49585006)
    49595007)
    4960 *141 (Net
     5008*142 (Net
    49615009uid 2586,0
    49625010decl (Decl
     
    49775025)
    49785026)
    4979 *142 (Net
     5027*143 (Net
    49805028uid 2592,0
    49815029decl (Decl
     
    49955043)
    49965044)
    4997 *143 (Net
     5045*144 (Net
    49985046uid 2598,0
    49995047decl (Decl
     
    50135061)
    50145062)
    5015 *144 (Net
     5063*145 (Net
    50165064uid 2640,0
    50175065decl (Decl
     
    50325080)
    50335081)
    5034 *145 (Net
     5082*146 (Net
    50355083uid 2776,0
    50365084decl (Decl
     
    50515099)
    50525100)
    5053 *146 (PortIoOut
     5101*147 (PortIoOut
    50545102uid 2798,0
    50555103shape (CompositeShape
     
    50965144)
    50975145)
    5098 *147 (PortIoIn
     5146*148 (PortIoIn
    50995147uid 2804,0
    51005148shape (CompositeShape
     
    51415189)
    51425190)
    5143 *148 (Net
     5191*149 (Net
    51445192uid 2924,0
    51455193decl (Decl
     
    51595207)
    51605208)
    5161 *149 (PortIoIn
     5209*150 (PortIoIn
    51625210uid 2950,0
    51635211shape (CompositeShape
     
    52045252)
    52055253)
    5206 *150 (PortIoIn
     5254*151 (PortIoIn
    52075255uid 2956,0
    52085256shape (CompositeShape
     
    52495297)
    52505298)
    5251 *151 (Grouping
     5299*152 (Grouping
    52525300uid 3137,0
    52535301optionalChildren [
    5254 *152 (CommentText
     5302*153 (CommentText
    52555303uid 3139,0
    52565304shape (Rectangle
     
    52835331titleBlock 1
    52845332)
    5285 *153 (CommentText
     5333*154 (CommentText
    52865334uid 3142,0
    52875335shape (Rectangle
     
    53145362titleBlock 1
    53155363)
    5316 *154 (CommentText
     5364*155 (CommentText
    53175365uid 3145,0
    53185366shape (Rectangle
     
    53455393titleBlock 1
    53465394)
    5347 *155 (CommentText
     5395*156 (CommentText
    53485396uid 3148,0
    53495397shape (Rectangle
     
    53765424titleBlock 1
    53775425)
    5378 *156 (CommentText
     5426*157 (CommentText
    53795427uid 3151,0
    53805428shape (Rectangle
     
    54065454titleBlock 1
    54075455)
    5408 *157 (CommentText
     5456*158 (CommentText
    54095457uid 3154,0
    54105458shape (Rectangle
     
    54375485titleBlock 1
    54385486)
    5439 *158 (CommentText
     5487*159 (CommentText
    54405488uid 3157,0
    54415489shape (Rectangle
     
    54695517titleBlock 1
    54705518)
    5471 *159 (CommentText
     5519*160 (CommentText
    54725520uid 3160,0
    54735521shape (Rectangle
     
    55005548titleBlock 1
    55015549)
    5502 *160 (CommentText
     5550*161 (CommentText
    55035551uid 3163,0
    55045552shape (Rectangle
     
    55315579titleBlock 1
    55325580)
    5533 *161 (CommentText
     5581*162 (CommentText
    55345582uid 3166,0
    55355583shape (Rectangle
     
    55755623oxt "14000,66000,55000,71000"
    55765624)
    5577 *162 (Net
     5625*163 (Net
    55785626uid 3894,0
    55795627decl (Decl
     
    55935641)
    55945642)
    5595 *163 (PortIoOut
     5643*164 (PortIoOut
    55965644uid 3978,0
    55975645shape (CompositeShape
     
    56385686)
    56395687)
    5640 *164 (Net
     5688*165 (Net
    56415689uid 4068,0
    56425690decl (Decl
     
    56565704)
    56575705)
    5658 *165 (SaComponent
     5706*166 (SaComponent
    56595707uid 4194,0
    56605708optionalChildren [
    5661 *166 (CptPort
     5709*167 (CptPort
    56625710uid 4178,0
    56635711ps "OnEdgeStrategy"
     
    56965744)
    56975745)
    5698 *167 (CptPort
     5746*168 (CptPort
    56995747uid 4182,0
    57005748ps "OnEdgeStrategy"
     
    57335781)
    57345782)
    5735 *168 (CptPort
     5783*169 (CptPort
    57365784uid 4186,0
    57375785ps "OnEdgeStrategy"
     
    57705818)
    57715819)
    5772 *169 (CptPort
     5820*170 (CptPort
    57735821uid 4190,0
    57745822ps "OnEdgeStrategy"
     
    58225870stg "VerticalLayoutStrategy"
    58235871textVec [
    5824 *170 (Text
     5872*171 (Text
    58255873uid 4197,0
    58265874va (VaSet
     
    58325880tm "BdLibraryNameMgr"
    58335881)
    5834 *171 (Text
     5882*172 (Text
    58355883uid 4198,0
    58365884va (VaSet
     
    58425890tm "CptNameMgr"
    58435891)
    5844 *172 (Text
     5892*173 (Text
    58455893uid 4199,0
    58465894va (VaSet
     
    58895937archFileType "UNKNOWN"
    58905938)
    5891 *173 (Net
     5939*174 (Net
    58925940uid 4204,0
    58935941decl (Decl
     
    59075955)
    59085956)
    5909 *174 (PortIoOut
     5957*175 (PortIoOut
    59105958uid 4220,0
    59115959shape (CompositeShape
     
    59526000)
    59536001)
    5954 *175 (Net
     6002*176 (Net
    59556003uid 4232,0
    59566004decl (Decl
     
    59706018)
    59716019)
    5972 *176 (Net
     6020*177 (Net
    59736021uid 4260,0
    59746022decl (Decl
     
    59896037)
    59906038)
    5991 *177 (Net
     6039*178 (Net
    59926040uid 4270,0
    59936041decl (Decl
     
    60076055)
    60086056)
    6009 *178 (PortIoIn
     6057*179 (PortIoIn
    60106058uid 4307,0
    60116059shape (CompositeShape
     
    60526100)
    60536101)
    6054 *179 (Net
     6102*180 (Net
    60556103uid 4399,0
    60566104decl (Decl
     
    60716119)
    60726120)
    6073 *180 (Net
     6121*181 (Net
    60746122uid 4405,0
    60756123decl (Decl
     
    60896137)
    60906138)
    6091 *181 (Net
     6139*182 (Net
    60926140uid 4417,0
    60936141decl (Decl
     
    61086156)
    61096157)
    6110 *182 (Net
     6158*183 (Net
    61116159uid 4535,0
    61126160decl (Decl
     
    61286176)
    61296177)
    6130 *183 (Net
     6178*184 (Net
    61316179uid 4543,0
    61326180decl (Decl
     
    61476195)
    61486196)
    6149 *184 (PortIoOut
     6197*185 (PortIoOut
    61506198uid 4551,0
    61516199shape (CompositeShape
     
    61926240)
    61936241)
    6194 *185 (PortIoOut
     6242*186 (PortIoOut
    61956243uid 4557,0
    61966244shape (CompositeShape
     
    62376285)
    62386286)
    6239 *186 (Net
     6287*187 (Net
    62406288uid 4669,0
    62416289decl (Decl
     
    62556303)
    62566304)
    6257 *187 (Net
     6305*188 (Net
    62586306uid 4677,0
    62596307decl (Decl
     
    62736321)
    62746322)
    6275 *188 (Net
     6323*189 (Net
    62766324uid 4685,0
    62776325decl (Decl
     
    62916339)
    62926340)
    6293 *189 (Net
     6341*190 (Net
    62946342uid 4693,0
    62956343decl (Decl
     
    63096357)
    63106358)
    6311 *190 (PortIoIn
     6359*191 (PortIoIn
    63126360uid 4701,0
    63136361shape (CompositeShape
     
    63546402)
    63556403)
    6356 *191 (PortIoIn
     6404*192 (PortIoIn
    63576405uid 4707,0
    63586406shape (CompositeShape
     
    63996447)
    64006448)
    6401 *192 (PortIoIn
     6449*193 (PortIoIn
    64026450uid 4713,0
    64036451shape (CompositeShape
     
    64446492)
    64456493)
    6446 *193 (PortIoIn
     6494*194 (PortIoIn
    64476495uid 4719,0
    64486496shape (CompositeShape
     
    64896537)
    64906538)
    6491 *194 (Net
     6539*195 (Net
    64926540uid 4741,0
    64936541decl (Decl
     
    65076555)
    65086556)
    6509 *195 (SaComponent
     6557*196 (SaComponent
    65106558uid 4903,0
    65116559optionalChildren [
    6512 *196 (CptPort
     6560*197 (CptPort
    65136561uid 4867,0
    65146562ps "OnEdgeStrategy"
     
    65436591)
    65446592)
    6545 *197 (CptPort
     6593*198 (CptPort
    65466594uid 4871,0
    65476595ps "OnEdgeStrategy"
     
    65776625)
    65786626)
    6579 *198 (CptPort
     6627*199 (CptPort
    65806628uid 4875,0
    65816629ps "OnEdgeStrategy"
     
    66116659)
    66126660)
    6613 *199 (CptPort
     6661*200 (CptPort
    66146662uid 4879,0
    66156663ps "OnEdgeStrategy"
     
    66446692)
    66456693)
    6646 *200 (CptPort
     6694*201 (CptPort
    66476695uid 4883,0
    66486696ps "OnEdgeStrategy"
     
    66776725)
    66786726)
    6679 *201 (CptPort
     6727*202 (CptPort
    66806728uid 4887,0
    66816729ps "OnEdgeStrategy"
     
    67106758)
    67116759)
    6712 *202 (CptPort
     6760*203 (CptPort
    67136761uid 4891,0
    67146762ps "OnEdgeStrategy"
     
    67436791)
    67446792)
    6745 *203 (CptPort
     6793*204 (CptPort
    67466794uid 4895,0
    67476795ps "OnEdgeStrategy"
     
    67786826)
    67796827)
    6780 *204 (CptPort
     6828*205 (CptPort
    67816829uid 4899,0
    67826830ps "OnEdgeStrategy"
     
    68146862)
    68156863)
    6816 *205 (CptPort
     6864*206 (CptPort
    68176865uid 4938,0
    68186866ps "OnEdgeStrategy"
     
    68496897)
    68506898)
    6851 *206 (CptPort
     6899*207 (CptPort
    68526900uid 4942,0
    68536901ps "OnEdgeStrategy"
     
    69016949stg "VerticalLayoutStrategy"
    69026950textVec [
    6903 *207 (Text
     6951*208 (Text
    69046952uid 4906,0
    69056953va (VaSet
     
    69116959tm "BdLibraryNameMgr"
    69126960)
    6913 *208 (Text
     6961*209 (Text
    69146962uid 4907,0
    69156963va (VaSet
     
    69216969tm "CptNameMgr"
    69226970)
    6923 *209 (Text
     6971*210 (Text
    69246972uid 4908,0
    69256973va (VaSet
     
    69687016archFileType "UNKNOWN"
    69697017)
    6970 *210 (Net
     7018*211 (Net
    69717019uid 4946,0
    69727020decl (Decl
     
    69877035)
    69887036)
    6989 *211 (PortIoOut
     7037*212 (PortIoOut
    69907038uid 4954,0
    69917039shape (CompositeShape
     
    70327080)
    70337081)
    7034 *212 (Net
     7082*213 (Net
    70357083uid 4960,0
    70367084decl (Decl
     
    70517099)
    70527100)
    7053 *213 (PortIoOut
     7101*214 (PortIoOut
    70547102uid 4968,0
    70557103shape (CompositeShape
     
    70967144)
    70977145)
    7098 *214 (SaComponent
     7146*215 (SaComponent
    70997147uid 5072,0
    71007148optionalChildren [
    7101 *215 (CptPort
     7149*216 (CptPort
    71027150uid 5028,0
    71037151ps "OnEdgeStrategy"
     
    71337181)
    71347182)
    7135 *216 (CptPort
     7183*217 (CptPort
    71367184uid 5032,0
    71377185ps "OnEdgeStrategy"
     
    71697217)
    71707218)
    7171 *217 (CptPort
     7219*218 (CptPort
    71727220uid 5036,0
    71737221ps "OnEdgeStrategy"
     
    72057253)
    72067254)
    7207 *218 (CptPort
     7255*219 (CptPort
    72087256uid 5040,0
    72097257ps "OnEdgeStrategy"
     
    72417289)
    72427290)
    7243 *219 (CptPort
     7291*220 (CptPort
    72447292uid 5044,0
    72457293ps "OnEdgeStrategy"
     
    72787326)
    72797327)
    7280 *220 (CptPort
     7328*221 (CptPort
    72817329uid 5048,0
    72827330ps "OnEdgeStrategy"
     
    73137361)
    73147362)
    7315 *221 (CptPort
     7363*222 (CptPort
    73167364uid 5052,0
    73177365ps "OnEdgeStrategy"
     
    73487396)
    73497397)
    7350 *222 (CptPort
     7398*223 (CptPort
    73517399uid 5056,0
    73527400ps "OnEdgeStrategy"
     
    73837431)
    73847432)
    7385 *223 (CptPort
     7433*224 (CptPort
    73867434uid 5060,0
    73877435ps "OnEdgeStrategy"
     
    74187466)
    74197467)
    7420 *224 (CptPort
     7468*225 (CptPort
    74217469uid 5064,0
    74227470ps "OnEdgeStrategy"
     
    74527500)
    74537501)
    7454 *225 (CptPort
     7502*226 (CptPort
    74557503uid 5068,0
    74567504ps "OnEdgeStrategy"
     
    74877535)
    74887536)
    7489 *226 (CptPort
     7537*227 (CptPort
    74907538uid 5995,0
    74917539ps "OnEdgeStrategy"
     
    75237571)
    75247572)
    7525 *227 (CptPort
     7573*228 (CptPort
    75267574uid 8500,0
    75277575ps "OnEdgeStrategy"
     
    75607608)
    75617609)
    7562 *228 (CptPort
     7610*229 (CptPort
    75637611uid 8504,0
    75647612ps "OnEdgeStrategy"
     
    76137661stg "VerticalLayoutStrategy"
    76147662textVec [
    7615 *229 (Text
     7663*230 (Text
    76167664uid 5075,0
    76177665va (VaSet
     
    76237671tm "BdLibraryNameMgr"
    76247672)
    7625 *230 (Text
     7673*231 (Text
    76267674uid 5076,0
    76277675va (VaSet
     
    76337681tm "CptNameMgr"
    76347682)
    7635 *231 (Text
     7683*232 (Text
    76367684uid 5077,0
    76377685va (VaSet
     
    76797727archFileType "UNKNOWN"
    76807728)
    7681 *232 (Net
     7729*233 (Net
    76827730uid 5088,0
    76837731decl (Decl
     
    76987746)
    76997747)
    7700 *233 (Net
     7748*234 (Net
    77017749uid 5096,0
    77027750decl (Decl
     
    77167764)
    77177765)
    7718 *234 (Net
     7766*235 (Net
    77197767uid 5104,0
    77207768decl (Decl
     
    77347782)
    77357783)
    7736 *235 (Net
     7784*236 (Net
    77377785uid 5112,0
    77387786decl (Decl
     
    77537801)
    77547802)
    7755 *236 (Net
     7803*237 (Net
    77567804uid 5120,0
    77577805decl (Decl
     
    77717819)
    77727820)
    7773 *237 (Net
     7821*238 (Net
    77747822uid 5128,0
    77757823decl (Decl
     
    77897837)
    77907838)
    7791 *238 (Net
     7839*239 (Net
    77927840uid 5144,0
    77937841decl (Decl
     
    78077855)
    78087856)
    7809 *239 (Net
     7857*240 (Net
    78107858uid 5194,0
    78117859decl (Decl
     
    78257873)
    78267874)
    7827 *240 (Net
     7875*241 (Net
    78287876uid 5196,0
    78297877decl (Decl
     
    78437891)
    78447892)
    7845 *241 (Net
     7893*242 (Net
    78467894uid 5220,0
    78477895decl (Decl
     
    78647912)
    78657913)
    7866 *242 (Net
     7914*243 (Net
    78677915uid 5279,0
    78687916decl (Decl
     
    78837931)
    78847932)
    7885 *243 (Net
     7933*244 (Net
    78867934uid 5472,0
    78877935decl (Decl
     
    78967944font "Courier New,8,0"
    78977945)
    7898 xt "-85000,78600,-62500,79400"
     7946xt "-85000,80200,-62500,81000"
    78997947st "SIGNAL sensor_ready           : std_logic
    79007948"
    79017949)
    79027950)
    7903 *244 (Net
     7951*245 (Net
    79047952uid 5478,0
    79057953decl (Decl
     
    79147962font "Courier New,8,0"
    79157963)
    7916 xt "-85000,77800,-58500,78600"
     7964xt "-85000,79400,-58500,80200"
    79177965st "SIGNAL sensor_array           : sensor_array_type
    79187966"
    79197967)
    79207968)
    7921 *245 (Net
     7969*246 (Net
    79227970uid 5588,0
    79237971decl (Decl
     
    79377985)
    79387986)
    7939 *246 (Net
     7987*247 (Net
    79407988uid 5632,0
    79417989lang 10
     
    79578005)
    79588006)
    7959 *247 (Net
     8007*248 (Net
    79608008uid 5640,0
    79618009decl (Decl
     
    79758023)
    79768024)
    7977 *248 (SaComponent
     8025*249 (SaComponent
    79788026uid 5678,0
    79798027optionalChildren [
    7980 *249 (CptPort
     8028*250 (CptPort
    79818029uid 5658,0
    79828030ps "OnEdgeStrategy"
     
    80138061)
    80148062)
    8015 *250 (CptPort
     8063*251 (CptPort
    80168064uid 5662,0
    80178065ps "OnEdgeStrategy"
     
    80508098)
    80518099)
    8052 *251 (CptPort
     8100*252 (CptPort
    80538101uid 5666,0
    80548102ps "OnEdgeStrategy"
     
    80898137)
    80908138)
    8091 *252 (CptPort
     8139*253 (CptPort
    80928140uid 5670,0
    80938141ps "OnEdgeStrategy"
     
    81258173)
    81268174)
    8127 *253 (CptPort
     8175*254 (CptPort
    81288176uid 5674,0
    81298177ps "OnEdgeStrategy"
     
    81788226stg "VerticalLayoutStrategy"
    81798227textVec [
    8180 *254 (Text
     8228*255 (Text
    81818229uid 5681,0
    81828230va (VaSet
     
    81888236tm "BdLibraryNameMgr"
    81898237)
    8190 *255 (Text
     8238*256 (Text
    81918239uid 5682,0
    81928240va (VaSet
     
    81988246tm "CptNameMgr"
    81998247)
    8200 *256 (Text
     8248*257 (Text
    82018249uid 5683,0
    82028250va (VaSet
     
    82478295archFileType "UNKNOWN"
    82488296)
    8249 *257 (Net
     8297*258 (Net
    82508298uid 5743,0
    82518299decl (Decl
     
    82668314)
    82678315)
    8268 *258 (SaComponent
     8316*259 (SaComponent
    82698317uid 5793,0
    82708318optionalChildren [
    8271 *259 (CptPort
     8319*260 (CptPort
    82728320uid 5753,0
    82738321ps "OnEdgeStrategy"
     
    83048352)
    83058353)
    8306 *260 (CptPort
     8354*261 (CptPort
    83078355uid 5761,0
    83088356ps "OnEdgeStrategy"
     
    83398387)
    83408388)
    8341 *261 (CptPort
     8389*262 (CptPort
    83428390uid 5765,0
    83438391ps "OnEdgeStrategy"
     
    83758423)
    83768424)
    8377 *262 (CptPort
     8425*263 (CptPort
    83788426uid 5769,0
    83798427ps "OnEdgeStrategy"
     
    84108458)
    84118459)
    8412 *263 (CptPort
     8460*264 (CptPort
    84138461uid 5773,0
    84148462ps "OnEdgeStrategy"
     
    84468494)
    84478495)
    8448 *264 (CptPort
     8496*265 (CptPort
    84498497uid 5777,0
    84508498ps "OnEdgeStrategy"
     
    84828530)
    84838531)
    8484 *265 (CptPort
     8532*266 (CptPort
    84858533uid 5781,0
    84868534ps "OnEdgeStrategy"
     
    85178565)
    85188566)
    8519 *266 (CptPort
     8567*267 (CptPort
    85208568uid 5785,0
    85218569ps "OnEdgeStrategy"
     
    85538601)
    85548602)
    8555 *267 (CptPort
     8603*268 (CptPort
    85568604uid 5789,0
    85578605ps "OnEdgeStrategy"
     
    85898637)
    85908638)
    8591 *268 (CptPort
     8639*269 (CptPort
    85928640uid 5986,0
    85938641ps "OnEdgeStrategy"
     
    86268674)
    86278675)
    8628 *269 (CptPort
     8676*270 (CptPort
    86298677uid 6154,0
    86308678ps "OnEdgeStrategy"
     
    86628710)
    86638711)
    8664 *270 (CptPort
     8712*271 (CptPort
    86658713uid 6317,0
    86668714ps "OnEdgeStrategy"
     
    87168764stg "VerticalLayoutStrategy"
    87178765textVec [
    8718 *271 (Text
     8766*272 (Text
    87198767uid 5796,0
    87208768va (VaSet
     
    87268774tm "BdLibraryNameMgr"
    87278775)
    8728 *272 (Text
     8776*273 (Text
    87298777uid 5797,0
    87308778va (VaSet
     
    87368784tm "CptNameMgr"
    87378785)
    8738 *273 (Text
     8786*274 (Text
    87398787uid 5798,0
    87408788va (VaSet
     
    87828830archFileType "UNKNOWN"
    87838831)
    8784 *274 (Net
     8832*275 (Net
    87858833uid 5811,0
    87868834decl (Decl
     
    88008848)
    88018849)
    8802 *275 (Net
     8850*276 (Net
    88038851uid 5819,0
    88048852decl (Decl
     
    88208868)
    88218869)
    8822 *276 (Net
     8870*277 (Net
    88238871uid 5827,0
    88248872decl (Decl
     
    88388886)
    88398887)
    8840 *277 (Net
     8888*278 (Net
    88418889uid 5835,0
    88428890decl (Decl
     
    88578905)
    88588906)
    8859 *278 (PortIoOut
     8907*279 (PortIoOut
    88608908uid 5843,0
    88618909shape (CompositeShape
     
    88708918sl 0
    88718919ro 90
    8872 xt "10000,106625,11500,107375"
     8920xt "-20000,111625,-18500,112375"
    88738921)
    88748922(Line
     
    88768924sl 0
    88778925ro 90
    8878 xt "11500,107000,12000,107000"
     8926xt "-18500,112000,-18000,112000"
    88798927pts [
    8880 "12000,107000"
    8881 "11500,107000"
     8928"-18000,112000"
     8929"-18500,112000"
    88828930]
    88838931)
     
    88948942va (VaSet
    88958943)
    8896 xt "7300,106500,9000,107500"
     8944xt "-22700,111500,-21000,112500"
    88978945st "sclk"
    88988946ju 2
    8899 blo "9000,107300"
     8947blo "-21000,112300"
    89008948tm "WireNameMgr"
    89018949)
    89028950)
    89038951)
    8904 *279 (PortIoInOut
     8952*280 (PortIoInOut
    89058953uid 5849,0
    89068954shape (CompositeShape
     
    89478995)
    89488996)
    8949 *280 (PortIoOut
     8997*281 (PortIoOut
    89508998uid 5855,0
    89518999shape (CompositeShape
     
    89929040)
    89939041)
    8994 *281 (PortIoOut
     9042*282 (PortIoOut
    89959043uid 5861,0
    89969044shape (CompositeShape
     
    90379085)
    90389086)
    9039 *282 (Net
     9087*283 (Net
    90409088uid 5948,0
    90419089decl (Decl
     
    90569104)
    90579105)
    9058 *283 (Net
     9106*284 (Net
    90599107uid 5960,0
    90609108decl (Decl
     
    90749122)
    90759123)
    9076 *284 (Net
     9124*285 (Net
    90779125uid 6012,0
    90789126decl (Decl
     
    90939141)
    90949142)
    9095 *285 (Net
     9143*286 (Net
    90969144uid 6014,0
    90979145decl (Decl
     
    91129160)
    91139161)
    9114 *286 (Net
     9162*287 (Net
    91159163uid 6016,0
    91169164decl (Decl
     
    91309178)
    91319179)
    9132 *287 (Net
     9180*288 (Net
    91339181uid 6158,0
    91349182decl (Decl
     
    91499197)
    91509198)
    9151 *288 (PortIoOut
     9199*289 (PortIoOut
    91529200uid 6166,0
    91539201shape (CompositeShape
     
    91949242)
    91959243)
    9196 *289 (Net
     9244*290 (Net
    91979245uid 6360,0
    91989246decl (Decl
     
    92159263)
    92169264)
    9217 *290 (PortIoOut
     9265*291 (PortIoOut
    92189266uid 6368,0
    92199267shape (CompositeShape
     
    92599307)
    92609308)
    9261 *291 (Net
     9309*292 (Net
    92629310uid 6450,0
    92639311decl (Decl
     
    92789326)
    92799327)
    9280 *292 (MWC
     9328*293 (MWC
    92819329uid 6529,0
    92829330optionalChildren [
    9283 *293 (CptPort
     9331*294 (CptPort
    92849332uid 6501,0
    92859333optionalChildren [
    9286 *294 (Line
     9334*295 (Line
    92879335uid 6505,0
    92889336layer 5
     
    92979345]
    92989346)
    9299 *295 (Property
     9347*296 (Property
    93009348uid 6506,0
    93019349pclass "_MW_GEOM_"
     
    93429390)
    93439391)
    9344 *296 (CptPort
     9392*297 (CptPort
    93459393uid 6507,0
    93469394optionalChildren [
    9347 *297 (Line
     9395*298 (Line
    93489396uid 6511,0
    93499397layer 5
     
    93979445)
    93989446)
    9399 *298 (CptPort
     9447*299 (CptPort
    94009448uid 6512,0
    94019449optionalChildren [
    9402 *299 (Line
     9450*300 (Line
    94039451uid 6516,0
    94049452layer 5
     
    94529500)
    94539501)
    9454 *300 (CommentGraphic
     9502*301 (CommentGraphic
    94559503uid 6517,0
    94569504optionalChildren [
    9457 *301 (Property
     9505*302 (Property
    94589506uid 6519,0
    94599507pclass "_MW_GEOM_"
     
    94799527oxt "11000,10000,11000,10000"
    94809528)
    9481 *302 (CommentGraphic
     9529*303 (CommentGraphic
    94829530uid 6520,0
    94839531optionalChildren [
    9484 *303 (Property
     9532*304 (Property
    94859533uid 6522,0
    94869534pclass "_MW_GEOM_"
     
    95069554oxt "11000,6000,11000,6000"
    95079555)
    9508 *304 (Grouping
     9556*305 (Grouping
    95099557uid 6523,0
    95109558optionalChildren [
    9511 *305 (CommentGraphic
     9559*306 (CommentGraphic
    95129560uid 6525,0
    95139561shape (PolyLine2D
     
    95309578oxt "9000,6000,11000,10000"
    95319579)
    9532 *306 (CommentGraphic
     9580*307 (CommentGraphic
    95339581uid 6527,0
    95349582shape (Arc2D
     
    95839631stg "VerticalLayoutStrategy"
    95849632textVec [
    9585 *307 (Text
     9633*308 (Text
    95869634uid 6532,0
    95879635va (VaSet
     
    95939641blo "3500,59300"
    95949642)
    9595 *308 (Text
     9643*309 (Text
    95969644uid 6533,0
    95979645va (VaSet
     
    96029650blo "3500,60300"
    96039651)
    9604 *309 (Text
     9652*310 (Text
    96059653uid 6534,0
    96069654va (VaSet
     
    96479695)
    96489696)
    9649 *310 (Net
     9697*311 (Net
    96509698uid 6544,0
    96519699decl (Decl
     
    96669714)
    96679715)
    9668 *311 (SaComponent
     9716*312 (SaComponent
    96699717uid 8277,0
    96709718optionalChildren [
    9671 *312 (CptPort
     9719*313 (CptPort
    96729720uid 8246,0
    96739721ps "OnEdgeStrategy"
     
    97069754)
    97079755)
    9708 *313 (CptPort
     9756*314 (CptPort
    97099757uid 8250,0
    97109758ps "OnEdgeStrategy"
     
    97449792)
    97459793)
    9746 *314 (CptPort
     9794*315 (CptPort
    97479795uid 8254,0
    97489796ps "OnEdgeStrategy"
     
    97829830)
    97839831)
    9784 *315 (CptPort
     9832*316 (CptPort
    97859833uid 8258,0
    97869834ps "OnEdgeStrategy"
     
    98209868)
    98219869)
    9822 *316 (CptPort
     9870*317 (CptPort
    98239871uid 8262,0
    98249872ps "OnEdgeStrategy"
     
    98589906)
    98599907)
    9860 *317 (CptPort
     9908*318 (CptPort
    98619909uid 8266,0
    98629910ps "OnEdgeStrategy"
     
    98979945)
    98989946)
    9899 *318 (CptPort
     9947*319 (CptPort
    99009948uid 8270,0
    99019949ps "OnEdgeStrategy"
     
    995410002stg "VerticalLayoutStrategy"
    995510003textVec [
    9956 *319 (Text
     10004*320 (Text
    995710005uid 8280,0
    995810006va (VaSet
     
    996410012tm "BdLibraryNameMgr"
    996510013)
    9966 *320 (Text
     10014*321 (Text
    996710015uid 8281,0
    996810016va (VaSet
     
    997410022tm "CptNameMgr"
    997510023)
    9976 *321 (Text
     10024*322 (Text
    997710025uid 8282,0
    997810026va (VaSet
     
    1002210070archFileType "UNKNOWN"
    1002310071)
    10024 *322 (Net
     10072*323 (Net
    1002510073uid 8414,0
    1002610074lang 2
     
    1003610084font "Courier New,8,0"
    1003710085)
    10038 xt "-85000,80200,-62500,81000"
     10086xt "-85000,81800,-62500,82600"
    1003910087st "SIGNAL wiz_ack                : std_logic
    1004010088"
    1004110089)
    1004210090)
    10043 *323 (Net
     10091*324 (Net
    1004410092uid 8508,0
    1004510093decl (Decl
     
    1006110109)
    1006210110)
    10063 *324 (Net
     10111*325 (Net
    1006410112uid 8516,0
    1006510113decl (Decl
     
    1007910127)
    1008010128)
    10081 *325 (MWC
     10129*326 (MWC
    1008210130uid 8562,0
    1008310131optionalChildren [
    10084 *326 (CptPort
     10132*327 (CptPort
    1008510133uid 8524,0
    1008610134optionalChildren [
    10087 *327 (Line
     10135*328 (Line
    1008810136uid 8528,0
    1008910137layer 5
     
    1014910197)
    1015010198)
    10151 *328 (CptPort
     10199*329 (CptPort
    1015210200uid 8529,0
    1015310201optionalChildren [
    10154 *329 (Line
     10202*330 (Line
    1015510203uid 8533,0
    1015610204layer 5
     
    1016610214]
    1016710215)
    10168 *330 (Property
     10216*331 (Property
    1016910217uid 8534,0
    1017010218pclass "_MW_GEOM_"
     
    1022110269)
    1022210270)
    10223 *331 (CptPort
     10271*332 (CptPort
    1022410272uid 8535,0
    1022510273optionalChildren [
    10226 *332 (Line
     10274*333 (Line
    1022710275uid 8539,0
    1022810276layer 5
     
    1028810336)
    1028910337)
    10290 *333 (CptPort
     10338*334 (CptPort
    1029110339uid 8540,0
    1029210340optionalChildren [
    10293 *334 (Line
     10341*335 (Line
    1029410342uid 8544,0
    1029510343layer 5
     
    1035110399)
    1035210400)
    10353 *335 (CommentGraphic
     10401*336 (CommentGraphic
    1035410402uid 8545,0
    1035510403shape (CustomPolygon
     
    1037410422oxt "7000,7000,9000,11000"
    1037510423)
    10376 *336 (CommentGraphic
     10424*337 (CommentGraphic
    1037710425uid 8547,0
    1037810426optionalChildren [
    10379 *337 (Property
     10427*338 (Property
    1038010428uid 8549,0
    1038110429pclass "_MW_GEOM_"
     
    1040110449oxt "9000,7000,9000,7000"
    1040210450)
    10403 *338 (CommentGraphic
     10451*339 (CommentGraphic
    1040410452uid 8550,0
    1040510453optionalChildren [
    10406 *339 (Property
     10454*340 (Property
    1040710455uid 8552,0
    1040810456pclass "_MW_GEOM_"
     
    1042810476oxt "9000,11000,9000,11000"
    1042910477)
    10430 *340 (CommentText
     10478*341 (CommentText
    1043110479uid 8553,0
    1043210480shape (Rectangle
     
    1045910507)
    1046010508)
    10461 *341 (CommentText
     10509*342 (CommentText
    1046210510uid 8556,0
    1046310511shape (Rectangle
     
    1049110539)
    1049210540)
    10493 *342 (CommentText
     10541*343 (CommentText
    1049410542uid 8559,0
    1049510543shape (Rectangle
     
    1054210590stg "VerticalLayoutStrategy"
    1054310591textVec [
    10544 *343 (Text
     10592*344 (Text
    1054510593uid 8565,0
    1054610594va (VaSet
     
    1055210600blo "-30650,102900"
    1055310601)
    10554 *344 (Text
     10602*345 (Text
    1055510603uid 8566,0
    1055610604va (VaSet
     
    1056110609blo "-30650,103900"
    1056210610)
    10563 *345 (Text
     10611*346 (Text
    1056410612uid 8567,0
    1056510613va (VaSet
     
    1060710655)
    1060810656)
    10609 *346 (Net
     10657*347 (Net
    1061010658uid 8583,0
    1061110659decl (Decl
     
    1062710675)
    1062810676)
    10629 *347 (Wire
     10677*348 (MWC
     10678uid 8721,0
     10679optionalChildren [
     10680*349 (CptPort
     10681uid 8693,0
     10682optionalChildren [
     10683*350 (Line
     10684uid 8697,0
     10685layer 5
     10686sl 0
     10687va (VaSet
     10688vasetType 3
     10689)
     10690xt "-13000,112000,-12000,112000"
     10691pts [
     10692"-13000,112000"
     10693"-12000,112000"
     10694]
     10695)
     10696*351 (Property
     10697uid 8698,0
     10698pclass "_MW_GEOM_"
     10699pname "fixed"
     10700ptn "String"
     10701)
     10702]
     10703ps "OnEdgeStrategy"
     10704shape (Triangle
     10705uid 8694,0
     10706ro 270
     10707va (VaSet
     10708vasetType 1
     10709isHidden 1
     10710fg "0,65535,65535"
     10711)
     10712xt "-13750,111625,-13000,112375"
     10713)
     10714tg (CPTG
     10715uid 8695,0
     10716ps "CptPortTextPlaceStrategy"
     10717stg "VerticalLayoutStrategy"
     10718f (Text
     10719uid 8696,0
     10720sl 0
     10721va (VaSet
     10722isHidden 1
     10723font "arial,8,0"
     10724)
     10725xt "-14331,341342,-12531,342342"
     10726st "dout"
     10727blo "-14331,342142"
     10728)
     10729)
     10730thePort (LogicalPort
     10731m 1
     10732decl (Decl
     10733n "dout"
     10734t "std_logic"
     10735o 23
     10736suid 1,0
     10737)
     10738)
     10739)
     10740*352 (CptPort
     10741uid 8699,0
     10742optionalChildren [
     10743*353 (Line
     10744uid 8703,0
     10745layer 5
     10746sl 0
     10747va (VaSet
     10748vasetType 3
     10749)
     10750xt "-7999,113000,-7000,113000"
     10751pts [
     10752"-7000,113000"
     10753"-7999,113000"
     10754]
     10755)
     10756]
     10757ps "OnEdgeStrategy"
     10758shape (Triangle
     10759uid 8700,0
     10760ro 270
     10761va (VaSet
     10762vasetType 1
     10763isHidden 1
     10764fg "0,65535,65535"
     10765)
     10766xt "-7000,112625,-6250,113375"
     10767)
     10768tg (CPTG
     10769uid 8701,0
     10770ps "CptPortTextPlaceStrategy"
     10771stg "RightVerticalLayoutStrategy"
     10772f (Text
     10773uid 8702,0
     10774sl 0
     10775va (VaSet
     10776isHidden 1
     10777font "arial,8,0"
     10778)
     10779xt "-11365,342294,-9565,343294"
     10780st "din0"
     10781ju 2
     10782blo "-9565,343094"
     10783)
     10784)
     10785thePort (LogicalPort
     10786decl (Decl
     10787n "din0"
     10788t "std_logic"
     10789o 86
     10790suid 2,0
     10791)
     10792)
     10793)
     10794*354 (CptPort
     10795uid 8704,0
     10796optionalChildren [
     10797*355 (Line
     10798uid 8708,0
     10799layer 5
     10800sl 0
     10801va (VaSet
     10802vasetType 3
     10803)
     10804xt "-8000,111000,-7000,111000"
     10805pts [
     10806"-7000,111000"
     10807"-8000,111000"
     10808]
     10809)
     10810]
     10811ps "OnEdgeStrategy"
     10812shape (Triangle
     10813uid 8705,0
     10814ro 270
     10815va (VaSet
     10816vasetType 1
     10817isHidden 1
     10818fg "0,65535,65535"
     10819)
     10820xt "-7000,110625,-6250,111375"
     10821)
     10822tg (CPTG
     10823uid 8706,0
     10824ps "CptPortTextPlaceStrategy"
     10825stg "RightVerticalLayoutStrategy"
     10826f (Text
     10827uid 8707,0
     10828sl 0
     10829va (VaSet
     10830isHidden 1
     10831font "arial,8,0"
     10832)
     10833xt "-11250,340700,-9450,341700"
     10834st "din1"
     10835ju 2
     10836blo "-9450,341500"
     10837)
     10838)
     10839thePort (LogicalPort
     10840decl (Decl
     10841n "din1"
     10842t "std_logic"
     10843o 85
     10844suid 3,0
     10845)
     10846)
     10847)
     10848*356 (CommentGraphic
     10849uid 8709,0
     10850optionalChildren [
     10851*357 (Property
     10852uid 8711,0
     10853pclass "_MW_GEOM_"
     10854pname "expand"
     10855ptn "String"
     10856)
     10857]
     10858shape (PolyLine2D
     10859pts [
     10860"-8000,110000"
     10861"-8000,110000"
     10862]
     10863uid 8710,0
     10864layer 0
     10865sl 0
     10866va (VaSet
     10867vasetType 1
     10868transparent 1
     10869fg "49152,49152,49152"
     10870)
     10871xt "-8000,110000,-8000,110000"
     10872)
     10873oxt "11000,6000,11000,6000"
     10874)
     10875*358 (CommentGraphic
     10876uid 8712,0
     10877optionalChildren [
     10878*359 (Property
     10879uid 8714,0
     10880pclass "_MW_GEOM_"
     10881pname "expand"
     10882ptn "String"
     10883)
     10884]
     10885shape (PolyLine2D
     10886pts [
     10887"-8000,114000"
     10888"-8000,114000"
     10889]
     10890uid 8713,0
     10891layer 0
     10892sl 0
     10893va (VaSet
     10894vasetType 1
     10895transparent 1
     10896fg "49152,49152,49152"
     10897)
     10898xt "-8000,114000,-8000,114000"
     10899)
     10900oxt "11000,10000,11000,10000"
     10901)
     10902*360 (Grouping
     10903uid 8715,0
     10904optionalChildren [
     10905*361 (CommentGraphic
     10906uid 8717,0
     10907shape (PolyLine2D
     10908pts [
     10909"-10000,110000"
     10910"-8000,110000"
     10911"-8000,114000"
     10912"-10000,114000"
     10913]
     10914uid 8718,0
     10915layer 0
     10916sl 0
     10917va (VaSet
     10918vasetType 1
     10919fg "0,65535,65535"
     10920lineColor "26368,26368,26368"
     10921)
     10922xt "-10000,110000,-8000,114000"
     10923)
     10924oxt "9000,6000,11000,10000"
     10925)
     10926*362 (CommentGraphic
     10927uid 8719,0
     10928shape (Arc2D
     10929pts [
     10930"-10000,114000"
     10931"-12000,112000"
     10932"-10000,110000"
     10933]
     10934uid 8720,0
     10935layer 0
     10936sl 0
     10937va (VaSet
     10938vasetType 1
     10939fg "0,65535,65535"
     10940lineColor "26368,26368,26368"
     10941)
     10942xt "-12000,110000,-10000,114000"
     10943)
     10944oxt "7000,6000,9000,10000"
     10945)
     10946]
     10947shape (GroupingShape
     10948uid 8716,0
     10949sl 0
     10950va (VaSet
     10951vasetType 1
     10952fg "65535,65535,65535"
     10953lineStyle 2
     10954lineWidth 2
     10955)
     10956xt "-12000,110000,-8000,114000"
     10957)
     10958oxt "7000,6000,11000,10000"
     10959)
     10960]
     10961shape (Rectangle
     10962uid 8722,0
     10963va (VaSet
     10964vasetType 1
     10965transparent 1
     10966fg "65535,65535,65535"
     10967lineWidth -1
     10968)
     10969xt "-13000,110000,-7000,114000"
     10970fos 1
     10971)
     10972showPorts 0
     10973oxt "6000,6000,12000,10000"
     10974ttg (MlTextGroup
     10975uid 8723,0
     10976ps "CenterOffsetStrategy"
     10977stg "VerticalLayoutStrategy"
     10978textVec [
     10979*363 (Text
     10980uid 8724,0
     10981va (VaSet
     10982isHidden 1
     10983font "arial,8,0"
     10984)
     10985xt "-11500,112500,-6700,113500"
     10986st "moduleware"
     10987blo "-11500,113300"
     10988)
     10989*364 (Text
     10990uid 8725,0
     10991va (VaSet
     10992font "arial,8,0"
     10993)
     10994xt "-11500,113500,-9900,114500"
     10995st "and"
     10996blo "-11500,114300"
     10997)
     10998*365 (Text
     10999uid 8726,0
     11000va (VaSet
     11001font "arial,8,0"
     11002)
     11003xt "-11500,114500,-9700,115500"
     11004st "U_1"
     11005blo "-11500,115300"
     11006tm "InstanceNameMgr"
     11007)
     11008]
     11009)
     11010ga (GenericAssociation
     11011uid 8727,0
     11012ps "EdgeToEdgeStrategy"
     11013matrix (Matrix
     11014uid 8728,0
     11015text (MLText
     11016uid 8729,0
     11017va (VaSet
     11018font "arial,8,0"
     11019)
     11020xt "-28000,101000,-28000,101000"
     11021)
     11022header ""
     11023)
     11024elements [
     11025]
     11026)
     11027sed 1
     11028awe 1
     11029portVis (PortSigDisplay
     11030sN 0
     11031sTC 0
     11032selT 0
     11033)
     11034prms (Property
     11035pclass "params"
     11036pname "params"
     11037ptn "String"
     11038)
     11039de 1
     11040visOptions (mwParamsVisibilityOptions
     11041)
     11042)
     11043*366 (Net
     11044uid 8730,0
     11045decl (Decl
     11046n "sclk1"
     11047t "std_logic"
     11048o 85
     11049suid 191,0
     11050)
     11051declText (MLText
     11052uid 8731,0
     11053va (VaSet
     11054font "Courier New,8,0"
     11055)
     11056xt "-85000,77800,-62500,78600"
     11057st "SIGNAL sclk1                  : std_logic
     11058"
     11059)
     11060)
     11061*367 (Net
     11062uid 8746,0
     11063decl (Decl
     11064n "sclk_enable"
     11065t "std_logic"
     11066o 86
     11067suid 194,0
     11068)
     11069declText (MLText
     11070uid 8747,0
     11071va (VaSet
     11072font "Courier New,8,0"
     11073)
     11074xt "-85000,78600,-62500,79400"
     11075st "SIGNAL sclk_enable            : std_logic
     11076"
     11077)
     11078)
     11079*368 (Wire
    1063011080uid 322,0
    1063111081shape (OrthoPolyLine
     
    1064311093)
    1064411094start &26
    10645 end &315
     11095end &316
    1064611096sat 32
    1064711097eat 32
     
    1066611116on &2
    1066711117)
    10668 *348 (Wire
     11118*369 (Wire
    1066911119uid 328,0
    1067011120shape (OrthoPolyLine
     
    1068211132)
    1068311133start &25
    10684 end &314
     11134end &315
    1068511135sat 32
    1068611136eat 32
     
    1070511155on &3
    1070611156)
    10707 *349 (Wire
     11157*370 (Wire
    1070811158uid 334,0
    1070911159shape (OrthoPolyLine
     
    1072111171)
    1072211172start &24
    10723 end &313
     11173end &314
    1072411174sat 32
    1072511175eat 32
     
    1074411194on &4
    1074511195)
    10746 *350 (Wire
     11196*371 (Wire
    1074711197uid 364,0
    1074811198shape (OrthoPolyLine
     
    1076111211)
    1076211212start &79
    10763 end &317
     11213end &318
    1076411214sat 32
    1076511215eat 32
     
    1078411234on &5
    1078511235)
    10786 *351 (Wire
     11236*372 (Wire
    1078711237uid 370,0
    1078811238shape (OrthoPolyLine
     
    1080111251)
    1080211252start &78
    10803 end &318
     11253end &319
    1080411254sat 32
    1080511255eat 32
     
    1082411274on &6
    1082511275)
    10826 *352 (Wire
     11276*373 (Wire
    1082711277uid 376,0
    1082811278shape (OrthoPolyLine
     
    1086211312on &7
    1086311313)
    10864 *353 (Wire
     11314*374 (Wire
    1086511315uid 384,0
    1086611316shape (OrthoPolyLine
     
    1090211352on &8
    1090311353)
    10904 *354 (Wire
     11354*375 (Wire
    1090511355uid 392,0
    1090611356shape (OrthoPolyLine
     
    1094211392on &9
    1094311393)
    10944 *355 (Wire
     11394*376 (Wire
    1094511395uid 400,0
    1094611396shape (OrthoPolyLine
     
    1098011430on &10
    1098111431)
    10982 *356 (Wire
     11432*377 (Wire
    1098311433uid 408,0
    1098411434shape (OrthoPolyLine
     
    1101811468on &11
    1101911469)
    11020 *357 (Wire
     11470*378 (Wire
    1102111471uid 424,0
    1102211472shape (OrthoPolyLine
     
    1105611506on &12
    1105711507)
    11058 *358 (Wire
     11508*379 (Wire
    1105911509uid 432,0
    1106011510shape (OrthoPolyLine
     
    1109411544on &13
    1109511545)
    11096 *359 (Wire
     11546*380 (Wire
    1109711547uid 1411,0
    1109811548shape (OrthoPolyLine
     
    1110811558]
    1110911559)
    11110 start &149
     11560start &150
    1111111561end &28
    1111211562sat 32
     
    1113311583on &64
    1113411584)
    11135 *360 (Wire
     11585*381 (Wire
    1113611586uid 1425,0
    1113711587optionalChildren [
    11138 *361 (BdJunction
     11588*382 (BdJunction
    1113911589uid 4391,0
    1114011590ps "OnConnectorStrategy"
     
    1118611636on &65
    1118711637)
    11188 *362 (Wire
     11638*383 (Wire
    1118911639uid 1682,0
    1119011640shape (OrthoPolyLine
     
    1120011650]
    1120111651)
    11202 start &150
     11652start &151
    1120311653end &31
    1120411654sat 32
     
    1122311673)
    1122411674)
    11225 on &100
    11226 )
    11227 *363 (Wire
     11675on &101
     11676)
     11677*384 (Wire
    1122811678uid 1983,0
    1122911679shape (OrthoPolyLine
     
    1124111691]
    1124211692)
    11243 start &102
     11693start &103
    1124411694end &29
    1124511695sat 32
     
    1126211712)
    1126311713)
    11264 on &108
    11265 )
    11266 *364 (Wire
     11714on &109
     11715)
     11716*385 (Wire
    1126711717uid 2299,0
    1126811718shape (OrthoPolyLine
     
    1128011730]
    1128111731)
    11282 start &111
     11732start &112
    1128311733end &27
    1128411734sat 32
     
    1130211752)
    1130311753)
    11304 on &109
    11305 )
    11306 *365 (Wire
     11754on &110
     11755)
     11756*386 (Wire
    1130711757uid 2470,0
    1130811758shape (OrthoPolyLine
     
    1131811768]
    1131911769)
    11320 start &118
     11770start &119
    1132111771end &81
    1132211772sat 32
     
    1133911789)
    1134011790)
    11341 on &132
    11342 )
    11343 *366 (Wire
     11791on &133
     11792)
     11793*387 (Wire
    1134411794uid 2476,0
    1134511795shape (OrthoPolyLine
     
    1135511805]
    1135611806)
    11357 start &121
     11807start &122
    1135811808end &80
    1135911809sat 32
     
    1137611826)
    1137711827)
    11378 on &133
    11379 )
    11380 *367 (Wire
     11828on &134
     11829)
     11830*388 (Wire
    1138111831uid 2482,0
    1138211832shape (OrthoPolyLine
     
    1139311843]
    1139411844)
    11395 start &124
     11845start &125
    1139611846end &76
    1139711847sat 32
     
    1141511865)
    1141611866)
    11417 on &134
    11418 )
    11419 *368 (Wire
     11867on &135
     11868)
     11869*389 (Wire
    1142011870uid 2488,0
    1142111871shape (OrthoPolyLine
     
    1143211882]
    1143311883)
    11434 start &120
     11884start &121
    1143511885end &77
    1143611886sat 32
     
    1145411904)
    1145511905)
    11456 on &135
    11457 )
    11458 *369 (Wire
     11906on &136
     11907)
     11908*390 (Wire
    1145911909uid 2494,0
    1146011910shape (OrthoPolyLine
     
    1147111921]
    1147211922)
    11473 start &119
     11923start &120
    1147411924end &82
    1147511925sat 32
     
    1149311943)
    1149411944)
    11495 on &136
    11496 )
    11497 *370 (Wire
     11945on &137
     11946)
     11947*391 (Wire
    1149811948uid 2500,0
    1149911949shape (OrthoPolyLine
     
    1150911959]
    1151011960)
    11511 start &122
     11961start &123
    1151211962end &83
    1151311963sat 32
     
    1153011980)
    1153111981)
    11532 on &137
    11533 )
    11534 *371 (Wire
     11982on &138
     11983)
     11984*392 (Wire
    1153511985uid 2506,0
    1153611986shape (OrthoPolyLine
     
    1154611996]
    1154711997)
    11548 start &123
     11998start &124
    1154911999end &84
    1155012000sat 32
     
    1156712017)
    1156812018)
    11569 on &138
    11570 )
    11571 *372 (Wire
     12019on &139
     12020)
     12021*393 (Wire
    1157212022uid 2576,0
    1157312023shape (OrthoPolyLine
     
    1158512035)
    1158612036start &32
    11587 end &115
     12037end &116
    1158812038sat 32
    1158912039eat 32
     
    1160512055)
    1160612056)
    11607 on &139
    11608 )
    11609 *373 (Wire
     12057on &140
     12058)
     12059*394 (Wire
    1161012060uid 2582,0
    1161112061shape (OrthoPolyLine
     
    1162312073)
    1162412074start &33
    11625 end &116
     12075end &117
    1162612076sat 32
    1162712077eat 32
     
    1164312093)
    1164412094)
    11645 on &140
    11646 )
    11647 *374 (Wire
     12095on &141
     12096)
     12097*395 (Wire
    1164812098uid 2588,0
    1164912099shape (OrthoPolyLine
     
    1166112111)
    1166212112start &53
    11663 end &114
     12113end &115
    1166412114ss 0
    1166512115sat 32
     
    1168212132)
    1168312133)
    11684 on &141
    11685 )
    11686 *375 (Wire
     12134on &142
     12135)
     12136*396 (Wire
    1168712137uid 2594,0
    1168812138shape (OrthoPolyLine
     
    1170012150)
    1170112151start &49
    11702 end &113
     12152end &114
    1170312153sat 32
    1170412154eat 32
     
    1172012170)
    1172112171)
    11722 on &142
    11723 )
    11724 *376 (Wire
     12172on &143
     12173)
     12174*397 (Wire
    1172512175uid 2600,0
    1172612176shape (OrthoPolyLine
     
    1173812188)
    1173912189start &34
    11740 end &117
     12190end &118
    1174112191sat 32
    1174212192eat 32
     
    1175812208)
    1175912209)
    11760 on &143
    11761 )
    11762 *377 (Wire
     12210on &144
     12211)
     12212*398 (Wire
    1176312213uid 2642,0
    1176412214shape (OrthoPolyLine
     
    1177712227)
    1177812228start &36
    11779 end &126
     12229end &127
    1178012230sat 32
    1178112231eat 32
     
    1179812248)
    1179912249)
    11800 on &144
    11801 )
    11802 *378 (Wire
     12250on &145
     12251)
     12252*399 (Wire
    1180312253uid 2778,0
    1180412254shape (OrthoPolyLine
     
    1181412264)
    1181512265start &37
    11816 end &146
     12266end &147
    1181712267sat 32
    1181812268eat 32
     
    1183612286)
    1183712287)
    11838 on &145
    11839 )
    11840 *379 (Wire
     12288on &146
     12289)
     12290*400 (Wire
    1184112291uid 2786,0
    1184212292shape (OrthoPolyLine
     
    1185212302]
    1185312303)
    11854 start &147
    11855 end &252
     12304start &148
     12305end &253
    1185612306sat 32
    1185712307eat 32
     
    1187612326)
    1187712327)
    11878 on &176
    11879 )
    11880 *380 (Wire
     12328on &177
     12329)
     12330*401 (Wire
    1188112331uid 2876,0
    1188212332shape (OrthoPolyLine
     
    1189212342]
    1189312343)
    11894 start &361
    11895 end &103
     12344start &382
     12345end &104
    1189612346es 0
    1189712347sat 32
     
    1191612366on &65
    1191712367)
    11918 *381 (Wire
     12368*402 (Wire
    1191912369uid 3888,0
    1192012370optionalChildren [
    11921 *382 (BdJunction
     12371*403 (BdJunction
    1192212372uid 4230,0
    1192312373ps "OnConnectorStrategy"
     
    1193112381)
    1193212382)
    11933 *383 (BdJunction
     12383*404 (BdJunction
    1193412384uid 4244,0
    1193512385ps "OnConnectorStrategy"
     
    1195812408]
    1195912409)
    11960 start &166
     12410start &167
    1196112411end &68
    1196212412sat 32
     
    1198012430)
    1198112431)
    11982 on &164
    11983 )
    11984 *384 (Wire
     12432on &165
     12433)
     12434*405 (Wire
    1198512435uid 3984,0
    1198612436shape (OrthoPolyLine
     
    1199812448]
    1199912449)
    12000 start &163
    12001 end &168
     12450start &164
     12451end &169
    1200212452sat 32
    1200312453eat 32
     
    1202112471)
    1202212472)
    12023 on &162
    12024 )
    12025 *385 (Wire
     12473on &163
     12474)
     12475*406 (Wire
    1202612476uid 4042,0
    1202712477shape (OrthoPolyLine
     
    1203712487)
    1203812488start &1
    12039 end &169
     12489end &170
    1204012490sat 32
    1204112491eat 32
     
    1205912509)
    1206012510)
    12061 on &175
    12062 )
    12063 *386 (Wire
     12511on &176
     12512)
     12513*407 (Wire
    1206412514uid 4226,0
    1206512515shape (OrthoPolyLine
     
    1207612526]
    1207712527)
    12078 start &174
    12079 end &382
     12528start &175
     12529end &403
    1208012530sat 32
    1208112531eat 32
     
    1209912549)
    1210012550)
    12101 on &164
    12102 )
    12103 *387 (Wire
     12551on &165
     12552)
     12553*408 (Wire
    1210412554uid 4240,0
    1210512555shape (OrthoPolyLine
     
    1211612566]
    1211712567)
    12118 start &316
    12119 end &383
     12568start &317
     12569end &404
    1212012570sat 32
    1212112571eat 32
     
    1213812588)
    1213912589)
    12140 on &164
    12141 )
    12142 *388 (Wire
     12590on &165
     12591)
     12592*409 (Wire
    1214312593uid 4272,0
    1214412594shape (OrthoPolyLine
     
    1215312603]
    1215412604)
    12155 start &178
    12156 end &249
     12605start &179
     12606end &250
    1215712607sat 32
    1215812608eat 32
     
    1217612626)
    1217712627)
    12178 on &177
    12179 )
    12180 *389 (Wire
     12628on &178
     12629)
     12630*410 (Wire
    1218112631uid 4401,0
    1218212632shape (OrthoPolyLine
     
    1219212642)
    1219312643start &40
    12194 end &197
     12644end &198
    1219512645sat 32
    1219612646eat 32
     
    1221212662)
    1221312663)
    12214 on &179
    12215 )
    12216 *390 (Wire
     12664on &180
     12665)
     12666*411 (Wire
    1221712667uid 4407,0
    1221812668shape (OrthoPolyLine
     
    1222812678)
    1222912679start &44
    12230 end &203
     12680end &204
    1223112681sat 32
    1223212682eat 32
     
    1224812698)
    1224912699)
    12250 on &180
    12251 )
    12252 *391 (Wire
     12700on &181
     12701)
     12702*412 (Wire
    1225312703uid 4419,0
    1225412704shape (OrthoPolyLine
     
    1226412714)
    1226512715start &42
    12266 end &198
     12716end &199
    1226712717sat 32
    1226812718eat 32
     
    1228412734)
    1228512735)
    12286 on &181
    12287 )
    12288 *392 (Wire
     12736on &182
     12737)
     12738*413 (Wire
    1228912739uid 4537,0
    1229012740shape (OrthoPolyLine
     
    1230012750]
    1230112751)
    12302 start &328
    12303 end &184
     12752start &329
     12753end &185
    1230412754sat 32
    1230512755eat 32
     
    1232412774)
    1232512775)
    12326 on &182
    12327 )
    12328 *393 (Wire
     12776on &183
     12777)
     12778*414 (Wire
    1232912779uid 4545,0
    1233012780shape (OrthoPolyLine
     
    1233912789]
    1234012790)
    12341 start &293
    12342 end &185
     12791start &294
     12792end &186
    1234312793sat 32
    1234412794eat 32
     
    1236112811)
    1236212812)
    12363 on &183
    12364 )
    12365 *394 (Wire
     12813on &184
     12814)
     12815*415 (Wire
    1236612816uid 4671,0
    1236712817shape (OrthoPolyLine
     
    1237612826]
    1237712827)
    12378 start &190
    12379 end &199
     12828start &191
     12829end &200
    1238012830sat 32
    1238112831eat 32
     
    1239912849)
    1240012850)
    12401 on &186
    12402 )
    12403 *395 (Wire
     12851on &187
     12852)
     12853*416 (Wire
    1240412854uid 4679,0
    1240512855shape (OrthoPolyLine
     
    1241412864]
    1241512865)
    12416 start &191
    12417 end &200
     12866start &192
     12867end &201
    1241812868sat 32
    1241912869eat 32
     
    1243712887)
    1243812888)
    12439 on &187
    12440 )
    12441 *396 (Wire
     12889on &188
     12890)
     12891*417 (Wire
    1244212892uid 4687,0
    1244312893shape (OrthoPolyLine
     
    1245212902]
    1245312903)
    12454 start &192
    12455 end &201
     12904start &193
     12905end &202
    1245612906sat 32
    1245712907eat 32
     
    1247512925)
    1247612926)
    12477 on &188
    12478 )
    12479 *397 (Wire
     12927on &189
     12928)
     12929*418 (Wire
    1248012930uid 4695,0
    1248112931shape (OrthoPolyLine
     
    1249012940]
    1249112941)
    12492 start &193
    12493 end &202
     12942start &194
     12943end &203
    1249412944sat 32
    1249512945eat 32
     
    1251312963)
    1251412964)
    12515 on &189
    12516 )
    12517 *398 (Wire
     12965on &190
     12966)
     12967*419 (Wire
    1251812968uid 4743,0
    1251912969shape (OrthoPolyLine
     
    1252812978]
    1252912979)
    12530 start &204
     12980start &205
    1253112981end &43
    1253212982sat 32
     
    1254912999)
    1255013000)
    12551 on &194
    12552 )
    12553 *399 (Wire
     13001on &195
     13002)
     13003*420 (Wire
    1255413004uid 4757,0
    1255513005optionalChildren [
    12556 *400 (BdJunction
     13006*421 (BdJunction
    1255713007uid 6076,0
    1255813008ps "OnConnectorStrategy"
     
    1258113031]
    1258213032)
    12583 start &196
    12584 end *401 (BdJunction
     13033start &197
     13034end *422 (BdJunction
    1258513035uid 6080,0
    1258613036ps "OnConnectorStrategy"
     
    1261413064)
    1261513065)
    12616 on &173
    12617 )
    12618 *402 (Wire
     13066on &174
     13067)
     13068*423 (Wire
    1261913069uid 4948,0
    1262013070shape (OrthoPolyLine
     
    1262913079]
    1263013080)
    12631 start &205
    12632 end &211
     13081start &206
     13082end &212
    1263313083sat 32
    1263413084eat 32
     
    1265213102)
    1265313103)
    12654 on &210
    12655 )
    12656 *403 (Wire
     13104on &211
     13105)
     13106*424 (Wire
    1265713107uid 4962,0
    1265813108shape (OrthoPolyLine
     
    1266713117]
    1266813118)
    12669 start &206
    12670 end &213
     13119start &207
     13120end &214
    1267113121sat 32
    1267213122eat 32
     
    1269013140)
    1269113141)
    12692 on &212
    12693 )
    12694 *404 (Wire
     13142on &213
     13143)
     13144*425 (Wire
    1269513145uid 5090,0
    1269613146shape (OrthoPolyLine
     
    1270913159)
    1271013160start &87
    12711 end &216
     13161end &217
    1271213162sat 32
    1271313163eat 32
     
    1272913179)
    1273013180)
    12731 on &232
    12732 )
    12733 *405 (Wire
     13181on &233
     13182)
     13183*426 (Wire
    1273413184uid 5098,0
    1273513185shape (OrthoPolyLine
     
    1274413194]
    1274513195)
    12746 start &217
     13196start &218
    1274713197sat 32
    1274813198eat 16
     
    1276313213)
    1276413214)
    12765 on &233
    12766 )
    12767 *406 (Wire
     13215on &234
     13216)
     13217*427 (Wire
    1276813218uid 5106,0
    1276913219shape (OrthoPolyLine
     
    1278013230]
    1278113231)
    12782 start &218
     13232start &219
    1278313233end &88
    1278413234sat 32
     
    1280013250)
    1280113251)
    12802 on &234
    12803 )
    12804 *407 (Wire
     13252on &235
     13253)
     13254*428 (Wire
    1280513255uid 5114,0
    1280613256shape (OrthoPolyLine
     
    1281813268]
    1281913269)
    12820 start &219
     13270start &220
    1282113271end &89
    1282213272sat 32
     
    1283913289)
    1284013290)
    12841 on &235
    12842 )
    12843 *408 (Wire
     13291on &236
     13292)
     13293*429 (Wire
    1284413294uid 5122,0
    1284513295shape (OrthoPolyLine
     
    1285713307)
    1285813308start &91
    12859 end &221
     13309end &222
    1286013310sat 32
    1286113311eat 32
     
    1287613326)
    1287713327)
    12878 on &236
    12879 )
    12880 *409 (Wire
     13328on &237
     13329)
     13330*430 (Wire
    1288113331uid 5130,0
    1288213332shape (OrthoPolyLine
     
    1289413344)
    1289513345start &93
    12896 end &223
     13346end &224
    1289713347sat 32
    1289813348eat 32
     
    1291313363)
    1291413364)
    12915 on &237
    12916 )
    12917 *410 (Wire
     13365on &238
     13366)
     13367*431 (Wire
    1291813368uid 5138,0
    1291913369optionalChildren [
    12920 *411 (BdJunction
     13370*432 (BdJunction
    1292113371uid 5400,0
    1292213372ps "OnConnectorStrategy"
     
    1294413394]
    1294513395)
    12946 start &220
     13396start &221
    1294713397end &35
    1294813398ss 0
     
    1296613416)
    1296713417)
    12968 on &148
    12969 )
    12970 *412 (Wire
     13418on &149
     13419)
     13420*433 (Wire
    1297113421uid 5146,0
    1297213422shape (OrthoPolyLine
     
    1298113431]
    1298213432)
    12983 start &222
    12984 end &260
     13433start &223
     13434end &261
    1298513435es 0
    1298613436sat 32
     
    1300213452)
    1300313453)
    13004 on &238
    13005 )
    13006 *413 (Wire
     13454on &239
     13455)
     13456*434 (Wire
    1300713457uid 5168,0
    1300813458shape (OrthoPolyLine
     
    1301713467]
    1301813468)
    13019 start &411
    13020 end &125
     13469start &432
     13470end &126
    1302113471sat 32
    1302213472eat 32
     
    1303813488)
    1303913489)
    13040 on &148
    13041 )
    13042 *414 (Wire
     13490on &149
     13491)
     13492*435 (Wire
    1304313493uid 5184,0
    1304413494shape (OrthoPolyLine
     
    1305513505]
    1305613506)
    13057 start &224
     13507start &225
    1305813508end &47
    1305913509sat 32
     
    1307513525)
    1307613526)
    13077 on &239
    13078 )
    13079 *415 (Wire
     13527on &240
     13528)
     13529*436 (Wire
    1308013530uid 5190,0
    1308113531shape (OrthoPolyLine
     
    1309213542]
    1309313543)
    13094 start &225
     13544start &226
    1309513545end &46
    1309613546sat 32
     
    1311213562)
    1311313563)
    13114 on &240
    13115 )
    13116 *416 (Wire
     13564on &241
     13565)
     13566*437 (Wire
    1311713567uid 5222,0
    1311813568shape (OrthoPolyLine
     
    1315213602)
    1315313603)
    13154 on &241
    13155 )
    13156 *417 (Wire
     13604on &242
     13605)
     13606*438 (Wire
    1315713607uid 5281,0
    1315813608shape (OrthoPolyLine
     
    1319013640)
    1319113641)
    13192 on &242
    13193 )
    13194 *418 (Wire
     13642on &243
     13643)
     13644*439 (Wire
    1319513645uid 5404,0
    1319613646shape (OrthoPolyLine
     
    1320713657]
    1320813658)
    13209 start &261
     13659start &262
    1321013660end &50
    1321113661sat 32
     
    1322713677)
    1322813678)
    13229 on &245
    13230 )
    13231 *419 (Wire
     13679on &246
     13680)
     13681*440 (Wire
    1323213682uid 5474,0
    1323313683shape (OrthoPolyLine
     
    1324413694]
    1324513695)
    13246 start &264
     13696start &265
    1324713697end &52
    1324813698sat 32
     
    1326413714)
    1326513715)
    13266 on &243
    13267 )
    13268 *420 (Wire
     13716on &244
     13717)
     13718*441 (Wire
    1326913719uid 5480,0
    1327013720shape (OrthoPolyLine
     
    1328113731]
    1328213732)
    13283 start &263
     13733start &264
    1328413734end &51
    1328513735sat 32
     
    1330113751)
    1330213752)
    13303 on &244
    13304 )
    13305 *421 (Wire
     13753on &245
     13754)
     13755*442 (Wire
    1330613756uid 5582,0
    1330713757shape (OrthoPolyLine
     
    1331713767]
    1331813768)
    13319 end &215
     13769end &216
    1332013770sat 16
    1332113771eat 32
     
    1333613786)
    1333713787)
    13338 on &164
    13339 )
    13340 *422 (Wire
     13788on &165
     13789)
     13790*443 (Wire
    1334113791uid 5602,0
    1334213792optionalChildren [
    13343 &401
    13344 *423 (BdJunction
     13793&422
     13794*444 (BdJunction
    1334513795uid 6086,0
    1334613796ps "OnConnectorStrategy"
     
    1337213822)
    1337313823start &23
    13374 end &312
     13824end &313
    1337513825sat 32
    1337613826eat 32
     
    1339313843)
    1339413844)
    13395 on &173
    13396 )
    13397 *424 (Wire
     13845on &174
     13846)
     13847*445 (Wire
    1339813848uid 5626,0
    1339913849shape (OrthoPolyLine
     
    1340913859)
    1341013860start &45
    13411 end &250
     13861end &251
    1341213862sat 32
    1341313863eat 32
     
    1342913879)
    1343013880)
    13431 on &247
    13432 )
    13433 *425 (Wire
     13881on &248
     13882)
     13883*446 (Wire
    1343413884uid 5634,0
    1343513885shape (OrthoPolyLine
     
    1344613896)
    1344713897start &38
    13448 end &251
     13898end &252
    1344913899sat 32
    1345013900eat 32
     
    1346713917)
    1346813918)
    13469 on &246
    13470 )
    13471 *426 (Wire
     13919on &247
     13920)
     13921*447 (Wire
    1347213922uid 5646,0
    1347313923shape (OrthoPolyLine
     
    1348313933]
    1348413934)
    13485 end &253
     13935end &254
    1348613936sat 16
    1348713937eat 32
     
    1350313953)
    1350413954)
    13505 on &162
    13506 )
    13507 *427 (Wire
     13955on &163
     13956)
     13957*448 (Wire
    1350813958uid 5745,0
    1350913959shape (OrthoPolyLine
     
    1352113971)
    1352213972start &54
    13523 end &262
     13973end &263
    1352413974sat 32
    1352513975eat 32
     
    1354113991)
    1354213992)
    13543 on &257
    13544 )
    13545 *428 (Wire
     13993on &258
     13994)
     13995*449 (Wire
    1354613996uid 5805,0
    1354713997shape (OrthoPolyLine
     
    1355614006]
    1355714007)
    13558 end &267
     14008end &268
    1355914009sat 16
    1356014010eat 32
     
    1357514025)
    1357614026)
    13577 on &164
    13578 )
    13579 *429 (Wire
     14027on &165
     14028)
     14029*450 (Wire
    1358014030uid 5813,0
    1358114031shape (OrthoPolyLine
     
    1358414034vasetType 3
    1358514035)
    13586 xt "12000,107000,20250,107000"
     14036xt "-18000,112000,-13000,112000"
    1358714037pts [
    13588 "20250,107000"
    13589 "12000,107000"
    13590 ]
    13591 )
    13592 start &259
    13593 end &278
     14038"-13000,112000"
     14039"-18000,112000"
     14040]
     14041)
     14042start &349
     14043end &279
    1359414044sat 32
    1359514045eat 32
     
    1360714057isHidden 1
    1360814058)
    13609 xt "13000,106000,14700,107000"
     14059xt "-17000,111000,-15300,112000"
    1361014060st "sclk"
    13611 blo "13000,106800"
     14061blo "-17000,111800"
    1361214062tm "WireNameMgr"
    1361314063)
    1361414064)
    13615 on &274
    13616 )
    13617 *430 (Wire
     14065on &275
     14066)
     14067*451 (Wire
    1361814068uid 5821,0
    1361914069shape (OrthoPolyLine
     
    1362814078]
    1362914079)
    13630 start &270
    13631 end &279
     14080start &271
     14081end &280
    1363214082sat 32
    1363314083eat 32
     
    1365114101)
    1365214102)
    13653 on &275
    13654 )
    13655 *431 (Wire
     14103on &276
     14104)
     14105*452 (Wire
    1365614106uid 5829,0
    1365714107shape (OrthoPolyLine
     
    1366614116]
    1366714117)
    13668 start &265
    13669 end &280
     14118start &266
     14119end &281
    1367014120sat 32
    1367114121eat 32
     
    1368914139)
    1369014140)
    13691 on &276
    13692 )
    13693 *432 (Wire
     14141on &277
     14142)
     14143*453 (Wire
    1369414144uid 5837,0
    1369514145shape (OrthoPolyLine
     
    1370514155]
    1370614156)
    13707 start &266
    13708 end &281
     14157start &267
     14158end &282
    1370914159sat 32
    1371014160eat 32
     
    1372914179)
    1373014180)
    13731 on &277
    13732 )
    13733 *433 (Wire
     14181on &278
     14182)
     14183*454 (Wire
    1373414184uid 5950,0
    1373514185shape (OrthoPolyLine
     
    1376714217)
    1376814218)
    13769 on &282
    13770 )
    13771 *434 (Wire
     14219on &283
     14220)
     14221*455 (Wire
    1377214222uid 5962,0
    1377314223shape (OrthoPolyLine
     
    1380514255)
    1380614256)
    13807 on &283
    13808 )
    13809 *435 (Wire
     14257on &284
     14258)
     14259*456 (Wire
    1381014260uid 6002,0
    1381114261shape (OrthoPolyLine
     
    1382214272]
    1382314273)
    13824 start &226
     14274start &227
    1382514275end &57
    1382614276sat 32
     
    1384314293)
    1384414294)
    13845 on &285
    13846 )
    13847 *436 (Wire
     14295on &286
     14296)
     14297*457 (Wire
    1384814298uid 6008,0
    1384914299shape (OrthoPolyLine
     
    1386014310]
    1386114311)
    13862 start &268
     14312start &269
    1386314313end &59
    1386414314sat 32
     
    1388114331)
    1388214332)
    13883 on &284
    13884 )
    13885 *437 (Wire
     14333on &285
     14334)
     14335*458 (Wire
    1388614336uid 6018,0
    1388714337shape (OrthoPolyLine
     
    1389914349)
    1390014350start &58
    13901 end &127
     14351end &128
    1390214352sat 32
    1390314353eat 32
     
    1391914369)
    1392014370)
    13921 on &286
    13922 )
    13923 *438 (Wire
     14371on &287
     14372)
     14373*459 (Wire
    1392414374uid 6064,0
    1392514375shape (OrthoPolyLine
     
    1395414404)
    1395514405)
    13956 on &238
    13957 )
    13958 *439 (Wire
     14406on &239
     14407)
     14408*460 (Wire
    1395914409uid 6072,0
    1396014410shape (OrthoPolyLine
     
    1397114421]
    1397214422)
    13973 start &167
    13974 end &400
     14423start &168
     14424end &421
    1397514425sat 32
    1397614426eat 32
     
    1399314443)
    1399414444)
    13995 on &173
    13996 )
    13997 *440 (Wire
     14445on &174
     14446)
     14447*461 (Wire
    1399814448uid 6082,0
    1399914449shape (OrthoPolyLine
     
    1401014460]
    1401114461)
    14012 start &112
    14013 end &423
     14462start &113
     14463end &444
    1401414464sat 32
    1401514465eat 32
     
    1403214482)
    1403314483)
    14034 on &173
    14035 )
    14036 *441 (Wire
     14484on &174
     14485)
     14486*462 (Wire
    1403714487uid 6160,0
    1403814488shape (OrthoPolyLine
     
    1404714497]
    1404814498)
    14049 start &269
    14050 end &288
     14499start &270
     14500end &289
    1405114501sat 32
    1405214502eat 32
     
    1407014520)
    1407114521)
    14072 on &287
    14073 )
    14074 *442 (Wire
     14522on &288
     14523)
     14524*463 (Wire
    1407514525uid 6276,0
    1407614526shape (OrthoPolyLine
     
    1408514535]
    1408614536)
    14087 end &104
     14537end &105
    1408814538sat 16
    1408914539eat 32
     
    1410414554)
    1410514555)
    14106 on &162
    14107 )
    14108 *443 (Wire
     14556on &163
     14557)
     14558*464 (Wire
    1410914559uid 6362,0
    1411014560shape (OrthoPolyLine
     
    1412014570)
    1412114571start &94
    14122 end &290
     14572end &291
    1412314573sat 32
    1412414574eat 32
     
    1414214592)
    1414314593)
    14144 on &289
    14145 )
    14146 *444 (Wire
     14594on &290
     14595)
     14596*465 (Wire
    1414714597uid 6452,0
    1414814598shape (OrthoPolyLine
     
    1417914629)
    1418014630)
    14181 on &291
    14182 )
    14183 *445 (Wire
     14631on &292
     14632)
     14633*466 (Wire
    1418414634uid 6540,0
    1418514635shape (OrthoPolyLine
     
    1419414644]
    1419514645)
    14196 start &296
     14646start &297
    1419714647end &41
    1419814648sat 32
     
    1421614666)
    1421714667)
    14218 on &310
    14219 )
    14220 *446 (Wire
     14668on &311
     14669)
     14670*467 (Wire
    1422114671uid 6548,0
    1422214672shape (OrthoPolyLine
     
    1423114681]
    1423214682)
    14233 start &298
     14683start &299
    1423414684sat 32
    1423514685eat 16
     
    1425214702)
    1425314703)
    14254 on &291
    14255 )
    14256 *447 (Wire
     14704on &292
     14705)
     14706*468 (Wire
    1425714707uid 8416,0
    1425814708shape (OrthoPolyLine
     
    1426714717]
    1426814718)
    14269 start &128
     14719start &129
    1427014720end &96
    1427114721sat 32
     
    1428814738)
    1428914739)
    14290 on &322
    14291 )
    14292 *448 (Wire
     14740on &323
     14741)
     14742*469 (Wire
    1429314743uid 8510,0
    1429414744shape (OrthoPolyLine
     
    1430414754]
    1430514755)
    14306 start &227
     14756start &228
    1430714757sat 32
    1430814758eat 16
     
    1432514775)
    1432614776)
    14327 on &323
    14328 )
    14329 *449 (Wire
     14777on &324
     14778)
     14779*470 (Wire
    1433014780uid 8518,0
    1433114781shape (OrthoPolyLine
     
    1434014790]
    1434114791)
    14342 start &228
     14792start &229
    1434314793sat 32
    1434414794eat 16
     
    1436014810)
    1436114811)
    14362 on &324
    14363 )
    14364 *450 (Wire
     14812on &325
     14813)
     14814*471 (Wire
    1436514815uid 8577,0
    1436614816shape (OrthoPolyLine
     
    1439714847)
    1439814848)
    14399 on &346
    14400 )
    14401 *451 (Wire
     14849on &347
     14850)
     14851*472 (Wire
    1440214852uid 8587,0
    1440314853shape (OrthoPolyLine
     
    1441314863]
    1441414864)
    14415 end &326
     14865end &327
    1441614866sat 16
    1441714867eat 32
     
    1443514885)
    1443614886)
    14437 on &346
    14438 )
    14439 *452 (Wire
     14887on &347
     14888)
     14889*473 (Wire
    1444014890uid 8595,0
    1444114891shape (OrthoPolyLine
     
    1445114901]
    1445214902)
    14453 end &331
     14903end &332
    1445414904sat 16
    1445514905eat 32
     
    1447314923)
    1447414924)
    14475 on &323
    14476 )
    14477 *453 (Wire
     14925on &324
     14926)
     14927*474 (Wire
    1447814928uid 8603,0
    1447914929shape (OrthoPolyLine
     
    1448914939]
    1449014940)
    14491 end &333
     14941end &334
    1449214942sat 16
    1449314943eat 32
     
    1451014960)
    1451114961)
    14512 on &324
     14962on &325
     14963)
     14964*475 (Wire
     14965uid 8732,0
     14966shape (OrthoPolyLine
     14967uid 8733,0
     14968va (VaSet
     14969vasetType 3
     14970)
     14971xt "-7000,107000,20250,111000"
     14972pts [
     14973"20250,107000"
     14974"-1000,107000"
     14975"-1000,111000"
     14976"-7000,111000"
     14977]
     14978)
     14979start &260
     14980end &354
     14981sat 32
     14982eat 32
     14983st 0
     14984sf 1
     14985si 0
     14986tg (WTG
     14987uid 8734,0
     14988ps "ConnStartEndStrategy"
     14989stg "STSignalDisplayStrategy"
     14990f (Text
     14991uid 8735,0
     14992va (VaSet
     14993)
     14994xt "17250,106000,19350,107000"
     14995st "sclk1"
     14996blo "17250,106800"
     14997tm "WireNameMgr"
     14998)
     14999)
     15000on &366
     15001)
     15002*476 (Wire
     15003uid 8738,0
     15004shape (OrthoPolyLine
     15005uid 8739,0
     15006va (VaSet
     15007vasetType 3
     15008)
     15009xt "-7000,113000,4000,113000"
     15010pts [
     15011"4000,113000"
     15012"-7000,113000"
     15013]
     15014)
     15015end &352
     15016sat 16
     15017eat 32
     15018stc 0
     15019st 0
     15020sf 1
     15021si 0
     15022tg (WTG
     15023uid 8742,0
     15024ps "ConnStartEndStrategy"
     15025stg "STSignalDisplayStrategy"
     15026f (Text
     15027uid 8743,0
     15028va (VaSet
     15029)
     15030xt "-5000,112000,-300,113000"
     15031st "sclk_enable"
     15032blo "-5000,112800"
     15033tm "WireNameMgr"
     15034)
     15035)
     15036on &367
     15037)
     15038*477 (Wire
     15039uid 8752,0
     15040shape (OrthoPolyLine
     15041uid 8753,0
     15042va (VaSet
     15043vasetType 3
     15044)
     15045xt "148750,77000,156000,77000"
     15046pts [
     15047"148750,77000"
     15048"156000,77000"
     15049]
     15050)
     15051start &97
     15052sat 32
     15053eat 16
     15054st 0
     15055sf 1
     15056si 0
     15057tg (WTG
     15058uid 8756,0
     15059ps "ConnStartEndStrategy"
     15060stg "STSignalDisplayStrategy"
     15061f (Text
     15062uid 8757,0
     15063va (VaSet
     15064)
     15065xt "150000,76000,154700,77000"
     15066st "sclk_enable"
     15067blo "150000,76800"
     15068tm "WireNameMgr"
     15069)
     15070)
     15071on &367
    1451315072)
    1451415073]
     
    1452415083color "26368,26368,26368"
    1452515084)
    14526 packageList *454 (PackageList
     15085packageList *478 (PackageList
    1452715086uid 41,0
    1452815087stg "VerticalLayoutStrategy"
    1452915088textVec [
    14530 *455 (Text
     15089*479 (Text
    1453115090uid 42,0
    1453215091va (VaSet
     
    1453715096blo "-87000,1800"
    1453815097)
    14539 *456 (MLText
     15098*480 (MLText
    1454015099uid 43,0
    1454115100va (VaSet
     
    1456215121stg "VerticalLayoutStrategy"
    1456315122textVec [
    14564 *457 (Text
     15123*481 (Text
    1456515124uid 45,0
    1456615125va (VaSet
     
    1457215131blo "20000,800"
    1457315132)
    14574 *458 (Text
     15133*482 (Text
    1457515134uid 46,0
    1457615135va (VaSet
     
    1458215141blo "20000,1800"
    1458315142)
    14584 *459 (MLText
     15143*483 (MLText
    1458515144uid 47,0
    1458615145va (VaSet
     
    1459215151tm "BdCompilerDirectivesTextMgr"
    1459315152)
    14594 *460 (Text
     15153*484 (Text
    1459515154uid 48,0
    1459615155va (VaSet
     
    1460215161blo "20000,4800"
    1460315162)
    14604 *461 (MLText
     15163*485 (MLText
    1460515164uid 49,0
    1460615165va (VaSet
     
    1461015169tm "BdCompilerDirectivesTextMgr"
    1461115170)
    14612 *462 (Text
     15171*486 (Text
    1461315172uid 50,0
    1461415173va (VaSet
     
    1462015179blo "20000,5800"
    1462115180)
    14622 *463 (MLText
     15181*487 (MLText
    1462315182uid 51,0
    1462415183va (VaSet
     
    1463215191)
    1463315192windowSize "0,0,1281,1024"
    14634 viewArea "-73966,37109,33461,125703"
    14635 cachedDiagramExtent "-100999,0,162300,301700"
     15193viewArea "58743,41963,165915,130347"
     15194cachedDiagramExtent "-100999,0,162300,343294"
    1463615195pageSetupInfo (PageSetupInfo
    1463715196ptrCmd "eDocPrintPro,winspool,"
     
    1465815217hasePageBreakOrigin 1
    1465915218pageBreakOrigin "-73000,0"
    14660 lastUid 8614,0
     15219lastUid 8761,0
    1466115220defaultCommentText (CommentText
    1466215221shape (Rectangle
     
    1472015279stg "VerticalLayoutStrategy"
    1472115280textVec [
    14722 *464 (Text
     15281*488 (Text
    1472315282va (VaSet
    1472415283font "Arial,8,1"
     
    1472915288tm "BdLibraryNameMgr"
    1473015289)
    14731 *465 (Text
     15290*489 (Text
    1473215291va (VaSet
    1473315292font "Arial,8,1"
     
    1473815297tm "BlkNameMgr"
    1473915298)
    14740 *466 (Text
     15299*490 (Text
    1474115300va (VaSet
    1474215301font "Arial,8,1"
     
    1478915348stg "VerticalLayoutStrategy"
    1479015349textVec [
    14791 *467 (Text
     15350*491 (Text
    1479215351va (VaSet
    1479315352font "Arial,8,1"
     
    1479715356blo "550,4300"
    1479815357)
    14799 *468 (Text
     15358*492 (Text
    1480015359va (VaSet
    1480115360font "Arial,8,1"
     
    1480515364blo "550,5300"
    1480615365)
    14807 *469 (Text
     15366*493 (Text
    1480815367va (VaSet
    1480915368font "Arial,8,1"
     
    1485415413stg "VerticalLayoutStrategy"
    1485515414textVec [
    14856 *470 (Text
     15415*494 (Text
    1485715416va (VaSet
    1485815417font "Arial,8,1"
     
    1486315422tm "BdLibraryNameMgr"
    1486415423)
    14865 *471 (Text
     15424*495 (Text
    1486615425va (VaSet
    1486715426font "Arial,8,1"
     
    1487215431tm "CptNameMgr"
    1487315432)
    14874 *472 (Text
     15433*496 (Text
    1487515434va (VaSet
    1487615435font "Arial,8,1"
     
    1492615485stg "VerticalLayoutStrategy"
    1492715486textVec [
    14928 *473 (Text
     15487*497 (Text
    1492915488va (VaSet
    1493015489font "Arial,8,1"
     
    1493415493blo "500,4300"
    1493515494)
    14936 *474 (Text
     15495*498 (Text
    1493715496va (VaSet
    1493815497font "Arial,8,1"
     
    1494215501blo "500,5300"
    1494315502)
    14944 *475 (Text
     15503*499 (Text
    1494515504va (VaSet
    1494615505font "Arial,8,1"
     
    1498715546stg "VerticalLayoutStrategy"
    1498815547textVec [
    14989 *476 (Text
     15548*500 (Text
    1499015549va (VaSet
    1499115550font "Arial,8,1"
     
    1499515554blo "50,4300"
    1499615555)
    14997 *477 (Text
     15556*501 (Text
    1499815557va (VaSet
    1499915558font "Arial,8,1"
     
    1500315562blo "50,5300"
    1500415563)
    15005 *478 (Text
     15564*502 (Text
    1500615565va (VaSet
    1500715566font "Arial,8,1"
     
    1504415603stg "VerticalLayoutStrategy"
    1504515604textVec [
    15046 *479 (Text
     15605*503 (Text
    1504715606va (VaSet
    1504815607font "Arial,8,1"
     
    1505315612tm "HdlTextNameMgr"
    1505415613)
    15055 *480 (Text
     15614*504 (Text
    1505615615va (VaSet
    1505715616font "Arial,8,1"
     
    1545616015stg "VerticalLayoutStrategy"
    1545716016textVec [
    15458 *481 (Text
     16017*505 (Text
    1545916018va (VaSet
    1546016019font "Arial,8,1"
     
    1546416023blo "14100,20800"
    1546516024)
    15466 *482 (MLText
     16025*506 (MLText
    1546716026va (VaSet
    1546816027)
     
    1551616075stg "VerticalLayoutStrategy"
    1551716076textVec [
    15518 *483 (Text
     16077*507 (Text
    1551916078va (VaSet
    1552016079font "Arial,8,1"
     
    1552416083blo "14100,20800"
    1552516084)
    15526 *484 (MLText
     16085*508 (MLText
    1552716086va (VaSet
    1552816087)
     
    1564916208font "Arial,8,1"
    1565016209)
    15651 xt "-87000,87400,-82300,88400"
     16210xt "-87000,89000,-82300,90000"
    1565216211st "Post User:"
    15653 blo "-87000,88200"
     16212blo "-87000,89800"
    1565416213)
    1565516214postUserText (MLText
     
    1566416223commonDM (CommonDM
    1566516224ldm (LogicalDM
    15666 suid 190,0
     16225suid 194,0
    1566716226usingSuid 1
    15668 emptyRow *485 (LEmptyRow
     16227emptyRow *509 (LEmptyRow
    1566916228)
    1567016229uid 54,0
    1567116230optionalChildren [
    15672 *486 (RefLabelRowHdr
    15673 )
    15674 *487 (TitleRowHdr
    15675 )
    15676 *488 (FilterRowHdr
    15677 )
    15678 *489 (RefLabelColHdr
     16231*510 (RefLabelRowHdr
     16232)
     16233*511 (TitleRowHdr
     16234)
     16235*512 (FilterRowHdr
     16236)
     16237*513 (RefLabelColHdr
    1567916238tm "RefLabelColHdrMgr"
    1568016239)
    15681 *490 (RowExpandColHdr
     16240*514 (RowExpandColHdr
    1568216241tm "RowExpandColHdrMgr"
    1568316242)
    15684 *491 (GroupColHdr
     16243*515 (GroupColHdr
    1568516244tm "GroupColHdrMgr"
    1568616245)
    15687 *492 (NameColHdr
     16246*516 (NameColHdr
    1568816247tm "BlockDiagramNameColHdrMgr"
    1568916248)
    15690 *493 (ModeColHdr
     16249*517 (ModeColHdr
    1569116250tm "BlockDiagramModeColHdrMgr"
    1569216251)
    15693 *494 (TypeColHdr
     16252*518 (TypeColHdr
    1569416253tm "BlockDiagramTypeColHdrMgr"
    1569516254)
    15696 *495 (BoundsColHdr
     16255*519 (BoundsColHdr
    1569716256tm "BlockDiagramBoundsColHdrMgr"
    1569816257)
    15699 *496 (InitColHdr
     16258*520 (InitColHdr
    1570016259tm "BlockDiagramInitColHdrMgr"
    1570116260)
    15702 *497 (EolColHdr
     16261*521 (EolColHdr
    1570316262tm "BlockDiagramEolColHdrMgr"
    1570416263)
    15705 *498 (LeafLogPort
     16264*522 (LeafLogPort
    1570616265port (LogicalPort
    1570716266m 4
     
    1571716276uid 516,0
    1571816277)
    15719 *499 (LeafLogPort
     16278*523 (LeafLogPort
    1572016279port (LogicalPort
    1572116280m 4
     
    1573016289uid 518,0
    1573116290)
    15732 *500 (LeafLogPort
     16291*524 (LeafLogPort
    1573316292port (LogicalPort
    1573416293m 4
     
    1574316302uid 520,0
    1574416303)
    15745 *501 (LeafLogPort
     16304*525 (LeafLogPort
    1574616305port (LogicalPort
    1574716306m 4
     
    1575616315uid 530,0
    1575716316)
    15758 *502 (LeafLogPort
     16317*526 (LeafLogPort
    1575916318port (LogicalPort
    1576016319m 4
     
    1576916328uid 532,0
    1577016329)
    15771 *503 (LeafLogPort
     16330*527 (LeafLogPort
    1577216331port (LogicalPort
    1577316332m 1
     
    1578216341uid 534,0
    1578316342)
    15784 *504 (LeafLogPort
     16343*528 (LeafLogPort
    1578516344port (LogicalPort
    1578616345m 1
     
    1579516354uid 536,0
    1579616355)
    15797 *505 (LeafLogPort
     16356*529 (LeafLogPort
    1579816357port (LogicalPort
    1579916358m 2
     
    1580816367uid 538,0
    1580916368)
    15810 *506 (LeafLogPort
     16369*530 (LeafLogPort
    1581116370port (LogicalPort
    1581216371m 1
     
    1582116380uid 540,0
    1582216381)
    15823 *507 (LeafLogPort
     16382*531 (LeafLogPort
    1582416383port (LogicalPort
    1582516384m 1
     
    1583416393uid 542,0
    1583516394)
    15836 *508 (LeafLogPort
     16395*532 (LeafLogPort
    1583716396port (LogicalPort
    1583816397m 1
     
    1584716406uid 546,0
    1584816407)
    15849 *509 (LeafLogPort
     16408*533 (LeafLogPort
    1585016409port (LogicalPort
    1585116410decl (Decl
     
    1585816417uid 548,0
    1585916418)
    15860 *510 (LeafLogPort
     16419*534 (LeafLogPort
    1586116420port (LogicalPort
    1586216421decl (Decl
     
    1587216431uid 1455,0
    1587316432)
    15874 *511 (LeafLogPort
     16433*535 (LeafLogPort
    1587516434port (LogicalPort
    1587616435decl (Decl
     
    1588516444uid 1457,0
    1588616445)
    15887 *512 (LeafLogPort
     16446*536 (LeafLogPort
    1588816447port (LogicalPort
    1588916448decl (Decl
     
    1589716456uid 1694,0
    1589816457)
    15899 *513 (LeafLogPort
     16458*537 (LeafLogPort
    1590016459port (LogicalPort
    1590116460lang 2
     
    1591316472uid 1993,0
    1591416473)
    15915 *514 (LeafLogPort
     16474*538 (LeafLogPort
    1591616475port (LogicalPort
    1591716476m 4
     
    1592816487uid 2305,0
    1592916488)
    15930 *515 (LeafLogPort
     16489*539 (LeafLogPort
    1593116490port (LogicalPort
    1593216491lang 2
     
    1594116500uid 2510,0
    1594216501)
    15943 *516 (LeafLogPort
     16502*540 (LeafLogPort
    1594416503port (LogicalPort
    1594516504lang 2
     
    1595516514uid 2512,0
    1595616515)
    15957 *517 (LeafLogPort
     16516*541 (LeafLogPort
    1595816517port (LogicalPort
    1595916518lang 2
     
    1597016529uid 2514,0
    1597116530)
    15972 *518 (LeafLogPort
     16531*542 (LeafLogPort
    1597316532port (LogicalPort
    1597416533lang 2
     
    1598616545uid 2516,0
    1598716546)
    15988 *519 (LeafLogPort
     16547*543 (LeafLogPort
    1598916548port (LogicalPort
    1599016549lang 2
     
    1600116560uid 2518,0
    1600216561)
    16003 *520 (LeafLogPort
     16562*544 (LeafLogPort
    1600416563port (LogicalPort
    1600516564lang 2
     
    1601516574uid 2520,0
    1601616575)
    16017 *521 (LeafLogPort
     16576*545 (LeafLogPort
    1601816577port (LogicalPort
    1601916578lang 2
     
    1602916588uid 2522,0
    1603016589)
    16031 *522 (LeafLogPort
     16590*546 (LeafLogPort
    1603216591port (LogicalPort
    1603316592m 4
     
    1604116600uid 2604,0
    1604216601)
    16043 *523 (LeafLogPort
     16602*547 (LeafLogPort
    1604416603port (LogicalPort
    1604516604m 4
     
    1605416613uid 2606,0
    1605516614)
    16056 *524 (LeafLogPort
     16615*548 (LeafLogPort
    1605716616port (LogicalPort
    1605816617m 4
     
    1606716626uid 2608,0
    1606816627)
    16069 *525 (LeafLogPort
     16628*549 (LeafLogPort
    1607016629port (LogicalPort
    1607116630m 4
     
    1607916638uid 2610,0
    1608016639)
    16081 *526 (LeafLogPort
     16640*550 (LeafLogPort
    1608216641port (LogicalPort
    1608316642m 4
     
    1609116650uid 2612,0
    1609216651)
    16093 *527 (LeafLogPort
     16652*551 (LeafLogPort
    1609416653port (LogicalPort
    1609516654m 4
     
    1610416663uid 2646,0
    1610516664)
    16106 *528 (LeafLogPort
     16665*552 (LeafLogPort
    1610716666port (LogicalPort
    1610816667m 1
     
    1611716676uid 2812,0
    1611816677)
    16119 *529 (LeafLogPort
     16678*553 (LeafLogPort
    1612016679port (LogicalPort
    1612116680m 4
     
    1612916688uid 2962,0
    1613016689)
    16131 *530 (LeafLogPort
     16690*554 (LeafLogPort
    1613216691port (LogicalPort
    1613316692m 1
     
    1614116700uid 3902,0
    1614216701)
    16143 *531 (LeafLogPort
     16702*555 (LeafLogPort
    1614416703port (LogicalPort
    1614516704m 1
     
    1615316712uid 4070,0
    1615416713)
    16155 *532 (LeafLogPort
     16714*556 (LeafLogPort
    1615616715port (LogicalPort
    1615716716m 4
     
    1616516724uid 4212,0
    1616616725)
    16167 *533 (LeafLogPort
     16726*557 (LeafLogPort
    1616816727port (LogicalPort
    1616916728decl (Decl
     
    1617616735uid 4234,0
    1617716736)
    16178 *534 (LeafLogPort
     16737*558 (LeafLogPort
    1617916738port (LogicalPort
    1618016739decl (Decl
     
    1618816747uid 4262,0
    1618916748)
    16190 *535 (LeafLogPort
     16749*559 (LeafLogPort
    1619116750port (LogicalPort
    1619216751decl (Decl
     
    1619916758uid 4276,0
    1620016759)
    16201 *536 (LeafLogPort
     16760*560 (LeafLogPort
    1620216761port (LogicalPort
    1620316762m 4
     
    1621216771uid 4563,0
    1621316772)
    16214 *537 (LeafLogPort
     16773*561 (LeafLogPort
    1621516774port (LogicalPort
    1621616775m 4
     
    1622416783uid 4565,0
    1622516784)
    16226 *538 (LeafLogPort
     16785*562 (LeafLogPort
    1622716786port (LogicalPort
    1622816787m 4
     
    1623716796uid 4569,0
    1623816797)
    16239 *539 (LeafLogPort
     16798*563 (LeafLogPort
    1624016799port (LogicalPort
    1624116800m 1
     
    1625116810uid 4585,0
    1625216811)
    16253 *540 (LeafLogPort
     16812*564 (LeafLogPort
    1625416813port (LogicalPort
    1625516814m 1
     
    1626416823uid 4587,0
    1626516824)
    16266 *541 (LeafLogPort
     16825*565 (LeafLogPort
    1626716826port (LogicalPort
    1626816827decl (Decl
     
    1627516834uid 4733,0
    1627616835)
    16277 *542 (LeafLogPort
     16836*566 (LeafLogPort
    1627816837port (LogicalPort
    1627916838decl (Decl
     
    1628616845uid 4735,0
    1628716846)
    16288 *543 (LeafLogPort
     16847*567 (LeafLogPort
    1628916848port (LogicalPort
    1629016849decl (Decl
     
    1629716856uid 4737,0
    1629816857)
    16299 *544 (LeafLogPort
     16858*568 (LeafLogPort
    1630016859port (LogicalPort
    1630116860decl (Decl
     
    1630816867uid 4739,0
    1630916868)
    16310 *545 (LeafLogPort
     16869*569 (LeafLogPort
    1631116870port (LogicalPort
    1631216871m 4
     
    1632016879uid 4749,0
    1632116880)
    16322 *546 (LeafLogPort
     16881*570 (LeafLogPort
    1632316882port (LogicalPort
    1632416883m 1
     
    1633316892uid 4974,0
    1633416893)
    16335 *547 (LeafLogPort
     16894*571 (LeafLogPort
    1633616895port (LogicalPort
    1633716896m 1
     
    1634616905uid 4976,0
    1634716906)
    16348 *548 (LeafLogPort
     16907*572 (LeafLogPort
    1634916908port (LogicalPort
    1635016909m 4
     
    1635916918uid 5198,0
    1636016919)
    16361 *549 (LeafLogPort
     16920*573 (LeafLogPort
    1636216921port (LogicalPort
    1636316922m 4
     
    1637116930uid 5200,0
    1637216931)
    16373 *550 (LeafLogPort
     16932*574 (LeafLogPort
    1637416933port (LogicalPort
    1637516934m 4
     
    1638316942uid 5202,0
    1638416943)
    16385 *551 (LeafLogPort
     16944*575 (LeafLogPort
    1638616945port (LogicalPort
    1638716946m 4
     
    1639616955uid 5204,0
    1639716956)
    16398 *552 (LeafLogPort
     16957*576 (LeafLogPort
    1639916958port (LogicalPort
    1640016959m 4
     
    1640816967uid 5206,0
    1640916968)
    16410 *553 (LeafLogPort
     16969*577 (LeafLogPort
    1641116970port (LogicalPort
    1641216971m 4
     
    1642016979uid 5208,0
    1642116980)
    16422 *554 (LeafLogPort
     16981*578 (LeafLogPort
    1642316982port (LogicalPort
    1642416983m 4
     
    1643216991uid 5210,0
    1643316992)
    16434 *555 (LeafLogPort
     16993*579 (LeafLogPort
    1643516994port (LogicalPort
    1643616995m 4
     
    1644417003uid 5212,0
    1644517004)
    16446 *556 (LeafLogPort
     17005*580 (LeafLogPort
    1644717006port (LogicalPort
    1644817007m 4
     
    1645617015uid 5214,0
    1645717016)
    16458 *557 (LeafLogPort
     17017*581 (LeafLogPort
    1645917018port (LogicalPort
    1646017019m 1
     
    1647117030uid 5226,0
    1647217031)
    16473 *558 (LeafLogPort
     17032*582 (LeafLogPort
    1647417033port (LogicalPort
    1647517034m 4
     
    1648417043uid 5285,0
    1648517044)
    16486 *559 (LeafLogPort
     17045*583 (LeafLogPort
    1648717046port (LogicalPort
    1648817047m 4
     
    1649617055uid 5502,0
    1649717056)
    16498 *560 (LeafLogPort
     17057*584 (LeafLogPort
    1649917058port (LogicalPort
    1650017059m 4
     
    1650817067uid 5504,0
    1650917068)
    16510 *561 (LeafLogPort
     17069*585 (LeafLogPort
    1651117070port (LogicalPort
    1651217071m 4
     
    1652017079uid 5600,0
    1652117080)
    16522 *562 (LeafLogPort
     17081*586 (LeafLogPort
    1652317082port (LogicalPort
    1652417083lang 10
     
    1653417093uid 5642,0
    1653517094)
    16536 *563 (LeafLogPort
     17095*587 (LeafLogPort
    1653717096port (LogicalPort
    1653817097m 4
     
    1654617105uid 5644,0
    1654717106)
    16548 *564 (LeafLogPort
     17107*588 (LeafLogPort
    1654917108port (LogicalPort
    1655017109m 4
     
    1655917118uid 5751,0
    1656017119)
    16561 *565 (LeafLogPort
     17120*589 (LeafLogPort
    1656217121port (LogicalPort
    1656317122m 1
     
    1657117130uid 5867,0
    1657217131)
    16573 *566 (LeafLogPort
     17132*590 (LeafLogPort
    1657417133port (LogicalPort
    1657517134m 2
     
    1658517144uid 5869,0
    1658617145)
    16587 *567 (LeafLogPort
     17146*591 (LeafLogPort
    1658817147port (LogicalPort
    1658917148m 1
     
    1659717156uid 5871,0
    1659817157)
    16599 *568 (LeafLogPort
     17158*592 (LeafLogPort
    1660017159port (LogicalPort
    1660117160m 1
     
    1661017169uid 5873,0
    1661117170)
    16612 *569 (LeafLogPort
     17171*593 (LeafLogPort
    1661317172port (LogicalPort
    1661417173m 4
     
    1662317182uid 5966,0
    1662417183)
    16625 *570 (LeafLogPort
     17184*594 (LeafLogPort
    1662617185port (LogicalPort
    1662717186m 4
     
    1663517194uid 5968,0
    1663617195)
    16637 *571 (LeafLogPort
     17196*595 (LeafLogPort
    1663817197port (LogicalPort
    1663917198m 4
     
    1664817207uid 6022,0
    1664917208)
    16650 *572 (LeafLogPort
     17209*596 (LeafLogPort
    1665117210port (LogicalPort
    1665217211m 4
     
    1666117220uid 6024,0
    1666217221)
    16663 *573 (LeafLogPort
     17222*597 (LeafLogPort
    1666417223port (LogicalPort
    1666517224m 4
     
    1667317232uid 6026,0
    1667417233)
    16675 *574 (LeafLogPort
     17234*598 (LeafLogPort
    1667617235port (LogicalPort
    1667717236m 1
     
    1668617245uid 6172,0
    1668717246)
    16688 *575 (LeafLogPort
     17247*599 (LeafLogPort
    1668917248port (LogicalPort
    1669017249m 1
     
    1670117260uid 6374,0
    1670217261)
    16703 *576 (LeafLogPort
     17262*600 (LeafLogPort
    1670417263port (LogicalPort
    1670517264m 4
     
    1671417273uid 6464,0
    1671517274)
    16716 *577 (LeafLogPort
     17275*601 (LeafLogPort
    1671717276port (LogicalPort
    1671817277m 4
     
    1672717286uid 6554,0
    1672817287)
    16729 *578 (LeafLogPort
     17288*602 (LeafLogPort
    1673017289port (LogicalPort
    1673117290lang 2
     
    1674017299uid 8420,0
    1674117300)
    16742 *579 (LeafLogPort
     17301*603 (LeafLogPort
    1674317302port (LogicalPort
    1674417303m 4
     
    1675417313uid 8609,0
    1675517314)
    16756 *580 (LeafLogPort
     17315*604 (LeafLogPort
    1675717316port (LogicalPort
    1675817317m 4
     
    1676617325uid 8611,0
    1676717326)
    16768 *581 (LeafLogPort
     17327*605 (LeafLogPort
    1676917328port (LogicalPort
    1677017329m 4
     
    1678017339uid 8613,0
    1678117340)
     17341*606 (LeafLogPort
     17342port (LogicalPort
     17343m 4
     17344decl (Decl
     17345n "sclk1"
     17346t "std_logic"
     17347o 85
     17348suid 191,0
     17349)
     17350)
     17351uid 8758,0
     17352)
     17353*607 (LeafLogPort
     17354port (LogicalPort
     17355m 4
     17356decl (Decl
     17357n "sclk_enable"
     17358t "std_logic"
     17359o 86
     17360suid 194,0
     17361)
     17362)
     17363uid 8760,0
     17364)
    1678217365]
    1678317366)
     
    1678717370uid 67,0
    1678817371optionalChildren [
    16789 *582 (Sheet
     17372*608 (Sheet
    1679017373sheetRow (SheetRow
    1679117374headerVa (MVa
     
    1680417387font "Tahoma,10,0"
    1680517388)
    16806 emptyMRCItem *583 (MRCItem
    16807 litem &485
    16808 pos 84
     17389emptyMRCItem *609 (MRCItem
     17390litem &509
     17391pos 86
    1680917392dimension 20
    1681017393)
    1681117394uid 69,0
    1681217395optionalChildren [
    16813 *584 (MRCItem
    16814 litem &486
     17396*610 (MRCItem
     17397litem &510
    1681517398pos 0
    1681617399dimension 20
    1681717400uid 70,0
    1681817401)
    16819 *585 (MRCItem
    16820 litem &487
     17402*611 (MRCItem
     17403litem &511
    1682117404pos 1
    1682217405dimension 23
    1682317406uid 71,0
    1682417407)
    16825 *586 (MRCItem
    16826 litem &488
     17408*612 (MRCItem
     17409litem &512
    1682717410pos 2
    1682817411hidden 1
     
    1683017413uid 72,0
    1683117414)
    16832 *587 (MRCItem
    16833 litem &498
     17415*613 (MRCItem
     17416litem &522
    1683417417pos 31
    1683517418dimension 20
    1683617419uid 517,0
    1683717420)
    16838 *588 (MRCItem
    16839 litem &499
     17421*614 (MRCItem
     17422litem &523
    1684017423pos 32
    1684117424dimension 20
    1684217425uid 519,0
    1684317426)
    16844 *589 (MRCItem
    16845 litem &500
     17427*615 (MRCItem
     17428litem &524
    1684617429pos 33
    1684717430dimension 20
    1684817431uid 521,0
    1684917432)
    16850 *590 (MRCItem
    16851 litem &501
     17433*616 (MRCItem
     17434litem &525
    1685217435pos 34
    1685317436dimension 20
    1685417437uid 531,0
    1685517438)
    16856 *591 (MRCItem
    16857 litem &502
     17439*617 (MRCItem
     17440litem &526
    1685817441pos 35
    1685917442dimension 20
    1686017443uid 533,0
    1686117444)
    16862 *592 (MRCItem
    16863 litem &503
     17445*618 (MRCItem
     17446litem &527
    1686417447pos 0
    1686517448dimension 20
    1686617449uid 535,0
    1686717450)
    16868 *593 (MRCItem
    16869 litem &504
     17451*619 (MRCItem
     17452litem &528
    1687017453pos 1
    1687117454dimension 20
    1687217455uid 537,0
    1687317456)
    16874 *594 (MRCItem
    16875 litem &505
     17457*620 (MRCItem
     17458litem &529
    1687617459pos 2
    1687717460dimension 20
    1687817461uid 539,0
    1687917462)
    16880 *595 (MRCItem
    16881 litem &506
     17463*621 (MRCItem
     17464litem &530
    1688217465pos 3
    1688317466dimension 20
    1688417467uid 541,0
    1688517468)
    16886 *596 (MRCItem
    16887 litem &507
     17469*622 (MRCItem
     17470litem &531
    1688817471pos 4
    1688917472dimension 20
    1689017473uid 543,0
    1689117474)
    16892 *597 (MRCItem
    16893 litem &508
     17475*623 (MRCItem
     17476litem &532
    1689417477pos 5
    1689517478dimension 20
    1689617479uid 547,0
    1689717480)
    16898 *598 (MRCItem
    16899 litem &509
     17481*624 (MRCItem
     17482litem &533
    1690017483pos 6
    1690117484dimension 20
    1690217485uid 549,0
    1690317486)
    16904 *599 (MRCItem
    16905 litem &510
     17487*625 (MRCItem
     17488litem &534
    1690617489pos 8
    1690717490dimension 20
    1690817491uid 1456,0
    1690917492)
    16910 *600 (MRCItem
    16911 litem &511
     17493*626 (MRCItem
     17494litem &535
    1691217495pos 7
    1691317496dimension 20
    1691417497uid 1458,0
    1691517498)
    16916 *601 (MRCItem
    16917 litem &512
     17499*627 (MRCItem
     17500litem &536
    1691817501pos 9
    1691917502dimension 20
    1692017503uid 1695,0
    1692117504)
    16922 *602 (MRCItem
    16923 litem &513
     17505*628 (MRCItem
     17506litem &537
    1692417507pos 36
    1692517508dimension 20
    1692617509uid 1994,0
    1692717510)
    16928 *603 (MRCItem
    16929 litem &514
     17511*629 (MRCItem
     17512litem &538
    1693017513pos 37
    1693117514dimension 20
    1693217515uid 2306,0
    1693317516)
    16934 *604 (MRCItem
    16935 litem &515
     17517*630 (MRCItem
     17518litem &539
    1693617519pos 38
    1693717520dimension 20
    1693817521uid 2511,0
    1693917522)
    16940 *605 (MRCItem
    16941 litem &516
     17523*631 (MRCItem
     17524litem &540
    1694217525pos 39
    1694317526dimension 20
    1694417527uid 2513,0
    1694517528)
    16946 *606 (MRCItem
    16947 litem &517
     17529*632 (MRCItem
     17530litem &541
    1694817531pos 40
    1694917532dimension 20
    1695017533uid 2515,0
    1695117534)
    16952 *607 (MRCItem
    16953 litem &518
     17535*633 (MRCItem
     17536litem &542
    1695417537pos 41
    1695517538dimension 20
    1695617539uid 2517,0
    1695717540)
    16958 *608 (MRCItem
    16959 litem &519
     17541*634 (MRCItem
     17542litem &543
    1696017543pos 42
    1696117544dimension 20
    1696217545uid 2519,0
    1696317546)
    16964 *609 (MRCItem
    16965 litem &520
     17547*635 (MRCItem
     17548litem &544
    1696617549pos 43
    1696717550dimension 20
    1696817551uid 2521,0
    1696917552)
    16970 *610 (MRCItem
    16971 litem &521
     17553*636 (MRCItem
     17554litem &545
    1697217555pos 44
    1697317556dimension 20
    1697417557uid 2523,0
    1697517558)
    16976 *611 (MRCItem
    16977 litem &522
     17559*637 (MRCItem
     17560litem &546
    1697817561pos 45
    1697917562dimension 20
    1698017563uid 2605,0
    1698117564)
    16982 *612 (MRCItem
    16983 litem &523
     17565*638 (MRCItem
     17566litem &547
    1698417567pos 46
    1698517568dimension 20
    1698617569uid 2607,0
    1698717570)
    16988 *613 (MRCItem
    16989 litem &524
     17571*639 (MRCItem
     17572litem &548
    1699017573pos 47
    1699117574dimension 20
    1699217575uid 2609,0
    1699317576)
    16994 *614 (MRCItem
    16995 litem &525
     17577*640 (MRCItem
     17578litem &549
    1699617579pos 48
    1699717580dimension 20
    1699817581uid 2611,0
    1699917582)
    17000 *615 (MRCItem
    17001 litem &526
     17583*641 (MRCItem
     17584litem &550
    1700217585pos 49
    1700317586dimension 20
    1700417587uid 2613,0
    1700517588)
    17006 *616 (MRCItem
    17007 litem &527
     17589*642 (MRCItem
     17590litem &551
    1700817591pos 50
    1700917592dimension 20
    1701017593uid 2647,0
    1701117594)
    17012 *617 (MRCItem
    17013 litem &528
     17595*643 (MRCItem
     17596litem &552
    1701417597pos 10
    1701517598dimension 20
    1701617599uid 2813,0
    1701717600)
    17018 *618 (MRCItem
    17019 litem &529
     17601*644 (MRCItem
     17602litem &553
    1702017603pos 51
    1702117604dimension 20
    1702217605uid 2963,0
    1702317606)
    17024 *619 (MRCItem
    17025 litem &530
     17607*645 (MRCItem
     17608litem &554
    1702617609pos 11
    1702717610dimension 20
    1702817611uid 3903,0
    1702917612)
    17030 *620 (MRCItem
    17031 litem &531
     17613*646 (MRCItem
     17614litem &555
    1703217615pos 12
    1703317616dimension 20
    1703417617uid 4071,0
    1703517618)
    17036 *621 (MRCItem
    17037 litem &532
     17619*647 (MRCItem
     17620litem &556
    1703817621pos 52
    1703917622dimension 20
    1704017623uid 4213,0
    1704117624)
    17042 *622 (MRCItem
    17043 litem &533
     17625*648 (MRCItem
     17626litem &557
    1704417627pos 13
    1704517628dimension 20
    1704617629uid 4235,0
    1704717630)
    17048 *623 (MRCItem
    17049 litem &534
     17631*649 (MRCItem
     17632litem &558
    1705017633pos 14
    1705117634dimension 20
    1705217635uid 4263,0
    1705317636)
    17054 *624 (MRCItem
    17055 litem &535
     17637*650 (MRCItem
     17638litem &559
    1705617639pos 15
    1705717640dimension 20
    1705817641uid 4277,0
    1705917642)
    17060 *625 (MRCItem
    17061 litem &536
     17643*651 (MRCItem
     17644litem &560
    1706217645pos 53
    1706317646dimension 20
    1706417647uid 4564,0
    1706517648)
    17066 *626 (MRCItem
    17067 litem &537
     17649*652 (MRCItem
     17650litem &561
    1706817651pos 54
    1706917652dimension 20
    1707017653uid 4566,0
    1707117654)
    17072 *627 (MRCItem
    17073 litem &538
     17655*653 (MRCItem
     17656litem &562
    1707417657pos 55
    1707517658dimension 20
    1707617659uid 4570,0
    1707717660)
    17078 *628 (MRCItem
    17079 litem &539
     17661*654 (MRCItem
     17662litem &563
    1708017663pos 16
    1708117664dimension 20
    1708217665uid 4586,0
    1708317666)
    17084 *629 (MRCItem
    17085 litem &540
     17667*655 (MRCItem
     17668litem &564
    1708617669pos 17
    1708717670dimension 20
    1708817671uid 4588,0
    1708917672)
    17090 *630 (MRCItem
    17091 litem &541
     17673*656 (MRCItem
     17674litem &565
    1709217675pos 18
    1709317676dimension 20
    1709417677uid 4734,0
    1709517678)
    17096 *631 (MRCItem
    17097 litem &542
     17679*657 (MRCItem
     17680litem &566
    1709817681pos 19
    1709917682dimension 20
    1710017683uid 4736,0
    1710117684)
    17102 *632 (MRCItem
    17103 litem &543
     17685*658 (MRCItem
     17686litem &567
    1710417687pos 20
    1710517688dimension 20
    1710617689uid 4738,0
    1710717690)
    17108 *633 (MRCItem
    17109 litem &544
     17691*659 (MRCItem
     17692litem &568
    1711017693pos 21
    1711117694dimension 20
    1711217695uid 4740,0
    1711317696)
    17114 *634 (MRCItem
    17115 litem &545
     17697*660 (MRCItem
     17698litem &569
    1711617699pos 56
    1711717700dimension 20
    1711817701uid 4750,0
    1711917702)
    17120 *635 (MRCItem
    17121 litem &546
     17703*661 (MRCItem
     17704litem &570
    1712217705pos 22
    1712317706dimension 20
    1712417707uid 4975,0
    1712517708)
    17126 *636 (MRCItem
    17127 litem &547
     17709*662 (MRCItem
     17710litem &571
    1712817711pos 23
    1712917712dimension 20
    1713017713uid 4977,0
    1713117714)
    17132 *637 (MRCItem
    17133 litem &548
     17715*663 (MRCItem
     17716litem &572
    1713417717pos 57
    1713517718dimension 20
    1713617719uid 5199,0
    1713717720)
    17138 *638 (MRCItem
    17139 litem &549
     17721*664 (MRCItem
     17722litem &573
    1714017723pos 58
    1714117724dimension 20
    1714217725uid 5201,0
    1714317726)
    17144 *639 (MRCItem
    17145 litem &550
     17727*665 (MRCItem
     17728litem &574
    1714617729pos 59
    1714717730dimension 20
    1714817731uid 5203,0
    1714917732)
    17150 *640 (MRCItem
    17151 litem &551
     17733*666 (MRCItem
     17734litem &575
    1715217735pos 60
    1715317736dimension 20
    1715417737uid 5205,0
    1715517738)
    17156 *641 (MRCItem
    17157 litem &552
     17739*667 (MRCItem
     17740litem &576
    1715817741pos 61
    1715917742dimension 20
    1716017743uid 5207,0
    1716117744)
    17162 *642 (MRCItem
    17163 litem &553
     17745*668 (MRCItem
     17746litem &577
    1716417747pos 62
    1716517748dimension 20
    1716617749uid 5209,0
    1716717750)
    17168 *643 (MRCItem
    17169 litem &554
     17751*669 (MRCItem
     17752litem &578
    1717017753pos 63
    1717117754dimension 20
    1717217755uid 5211,0
    1717317756)
    17174 *644 (MRCItem
    17175 litem &555
     17757*670 (MRCItem
     17758litem &579
    1717617759pos 64
    1717717760dimension 20
    1717817761uid 5213,0
    1717917762)
    17180 *645 (MRCItem
    17181 litem &556
     17763*671 (MRCItem
     17764litem &580
    1718217765pos 65
    1718317766dimension 20
    1718417767uid 5215,0
    1718517768)
    17186 *646 (MRCItem
    17187 litem &557
     17769*672 (MRCItem
     17770litem &581
    1718817771pos 24
    1718917772dimension 20
    1719017773uid 5227,0
    1719117774)
    17192 *647 (MRCItem
    17193 litem &558
     17775*673 (MRCItem
     17776litem &582
    1719417777pos 66
    1719517778dimension 20
    1719617779uid 5286,0
    1719717780)
    17198 *648 (MRCItem
    17199 litem &559
     17781*674 (MRCItem
     17782litem &583
    1720017783pos 67
    1720117784dimension 20
    1720217785uid 5503,0
    1720317786)
    17204 *649 (MRCItem
    17205 litem &560
     17787*675 (MRCItem
     17788litem &584
    1720617789pos 68
    1720717790dimension 20
    1720817791uid 5505,0
    1720917792)
    17210 *650 (MRCItem
    17211 litem &561
     17793*676 (MRCItem
     17794litem &585
    1721217795pos 69
    1721317796dimension 20
    1721417797uid 5601,0
    1721517798)
    17216 *651 (MRCItem
    17217 litem &562
     17799*677 (MRCItem
     17800litem &586
    1721817801pos 70
    1721917802dimension 20
    1722017803uid 5643,0
    1722117804)
    17222 *652 (MRCItem
    17223 litem &563
     17805*678 (MRCItem
     17806litem &587
    1722417807pos 71
    1722517808dimension 20
    1722617809uid 5645,0
    1722717810)
    17228 *653 (MRCItem
    17229 litem &564
     17811*679 (MRCItem
     17812litem &588
    1723017813pos 72
    1723117814dimension 20
    1723217815uid 5752,0
    1723317816)
    17234 *654 (MRCItem
    17235 litem &565
     17817*680 (MRCItem
     17818litem &589
    1723617819pos 25
    1723717820dimension 20
    1723817821uid 5868,0
    1723917822)
    17240 *655 (MRCItem
    17241 litem &566
     17823*681 (MRCItem
     17824litem &590
    1724217825pos 26
    1724317826dimension 20
    1724417827uid 5870,0
    1724517828)
    17246 *656 (MRCItem
    17247 litem &567
     17829*682 (MRCItem
     17830litem &591
    1724817831pos 27
    1724917832dimension 20
    1725017833uid 5872,0
    1725117834)
    17252 *657 (MRCItem
    17253 litem &568
     17835*683 (MRCItem
     17836litem &592
    1725417837pos 28
    1725517838dimension 20
    1725617839uid 5874,0
    1725717840)
    17258 *658 (MRCItem
    17259 litem &569
     17841*684 (MRCItem
     17842litem &593
    1726017843pos 73
    1726117844dimension 20
    1726217845uid 5967,0
    1726317846)
    17264 *659 (MRCItem
    17265 litem &570
     17847*685 (MRCItem
     17848litem &594
    1726617849pos 74
    1726717850dimension 20
    1726817851uid 5969,0
    1726917852)
    17270 *660 (MRCItem
    17271 litem &571
     17853*686 (MRCItem
     17854litem &595
    1727217855pos 75
    1727317856dimension 20
    1727417857uid 6023,0
    1727517858)
    17276 *661 (MRCItem
    17277 litem &572
     17859*687 (MRCItem
     17860litem &596
    1727817861pos 76
    1727917862dimension 20
    1728017863uid 6025,0
    1728117864)
    17282 *662 (MRCItem
    17283 litem &573
     17865*688 (MRCItem
     17866litem &597
    1728417867pos 77
    1728517868dimension 20
    1728617869uid 6027,0
    1728717870)
    17288 *663 (MRCItem
    17289 litem &574
     17871*689 (MRCItem
     17872litem &598
    1729017873pos 29
    1729117874dimension 20
    1729217875uid 6173,0
    1729317876)
    17294 *664 (MRCItem
    17295 litem &575
     17877*690 (MRCItem
     17878litem &599
    1729617879pos 30
    1729717880dimension 20
    1729817881uid 6375,0
    1729917882)
    17300 *665 (MRCItem
    17301 litem &576
     17883*691 (MRCItem
     17884litem &600
    1730217885pos 78
    1730317886dimension 20
    1730417887uid 6465,0
    1730517888)
    17306 *666 (MRCItem
    17307 litem &577
     17889*692 (MRCItem
     17890litem &601
    1730817891pos 79
    1730917892dimension 20
    1731017893uid 6555,0
    1731117894)
    17312 *667 (MRCItem
    17313 litem &578
     17895*693 (MRCItem
     17896litem &602
    1731417897pos 80
    1731517898dimension 20
    1731617899uid 8421,0
    1731717900)
    17318 *668 (MRCItem
    17319 litem &579
     17901*694 (MRCItem
     17902litem &603
    1732017903pos 81
    1732117904dimension 20
    1732217905uid 8610,0
    1732317906)
    17324 *669 (MRCItem
    17325 litem &580
     17907*695 (MRCItem
     17908litem &604
    1732617909pos 82
    1732717910dimension 20
    1732817911uid 8612,0
    1732917912)
    17330 *670 (MRCItem
    17331 litem &581
     17913*696 (MRCItem
     17914litem &605
    1733217915pos 83
    1733317916dimension 20
    1733417917uid 8614,0
     17918)
     17919*697 (MRCItem
     17920litem &606
     17921pos 84
     17922dimension 20
     17923uid 8759,0
     17924)
     17925*698 (MRCItem
     17926litem &607
     17927pos 85
     17928dimension 20
     17929uid 8761,0
    1733517930)
    1733617931]
     
    1734517940uid 73,0
    1734617941optionalChildren [
    17347 *671 (MRCItem
    17348 litem &489
     17942*699 (MRCItem
     17943litem &513
    1734917944pos 0
    1735017945dimension 20
    1735117946uid 74,0
    1735217947)
    17353 *672 (MRCItem
    17354 litem &491
     17948*700 (MRCItem
     17949litem &515
    1735517950pos 1
    1735617951dimension 50
    1735717952uid 75,0
    1735817953)
    17359 *673 (MRCItem
    17360 litem &492
     17954*701 (MRCItem
     17955litem &516
    1736117956pos 2
    1736217957dimension 100
    1736317958uid 76,0
    1736417959)
    17365 *674 (MRCItem
    17366 litem &493
     17960*702 (MRCItem
     17961litem &517
    1736717962pos 3
    1736817963dimension 50
    1736917964uid 77,0
    1737017965)
    17371 *675 (MRCItem
    17372 litem &494
     17966*703 (MRCItem
     17967litem &518
    1737317968pos 4
    1737417969dimension 100
    1737517970uid 78,0
    1737617971)
    17377 *676 (MRCItem
    17378 litem &495
     17972*704 (MRCItem
     17973litem &519
    1737917974pos 5
    1738017975dimension 100
    1738117976uid 79,0
    1738217977)
    17383 *677 (MRCItem
    17384 litem &496
     17978*705 (MRCItem
     17979litem &520
    1738517980pos 6
    1738617981dimension 50
    1738717982uid 80,0
    1738817983)
    17389 *678 (MRCItem
    17390 litem &497
     17984*706 (MRCItem
     17985litem &521
    1739117986pos 7
    1739217987dimension 80
     
    1740818003genericsCommonDM (CommonDM
    1740918004ldm (LogicalDM
    17410 emptyRow *679 (LEmptyRow
     18005emptyRow *707 (LEmptyRow
    1741118006)
    1741218007uid 83,0
    1741318008optionalChildren [
    17414 *680 (RefLabelRowHdr
    17415 )
    17416 *681 (TitleRowHdr
    17417 )
    17418 *682 (FilterRowHdr
    17419 )
    17420 *683 (RefLabelColHdr
     18009*708 (RefLabelRowHdr
     18010)
     18011*709 (TitleRowHdr
     18012)
     18013*710 (FilterRowHdr
     18014)
     18015*711 (RefLabelColHdr
    1742118016tm "RefLabelColHdrMgr"
    1742218017)
    17423 *684 (RowExpandColHdr
     18018*712 (RowExpandColHdr
    1742418019tm "RowExpandColHdrMgr"
    1742518020)
    17426 *685 (GroupColHdr
     18021*713 (GroupColHdr
    1742718022tm "GroupColHdrMgr"
    1742818023)
    17429 *686 (NameColHdr
     18024*714 (NameColHdr
    1743018025tm "GenericNameColHdrMgr"
    1743118026)
    17432 *687 (TypeColHdr
     18027*715 (TypeColHdr
    1743318028tm "GenericTypeColHdrMgr"
    1743418029)
    17435 *688 (InitColHdr
     18030*716 (InitColHdr
    1743618031tm "GenericValueColHdrMgr"
    1743718032)
    17438 *689 (PragmaColHdr
     18033*717 (PragmaColHdr
    1743918034tm "GenericPragmaColHdrMgr"
    1744018035)
    17441 *690 (EolColHdr
     18036*718 (EolColHdr
    1744218037tm "GenericEolColHdrMgr"
    1744318038)
    17444 *691 (LogGeneric
     18039*719 (LogGeneric
    1744518040generic (GiElement
    1744618041name "RAMADDRWIDTH64b"
     
    1745718052uid 95,0
    1745818053optionalChildren [
    17459 *692 (Sheet
     18054*720 (Sheet
    1746018055sheetRow (SheetRow
    1746118056headerVa (MVa
     
    1747418069font "Tahoma,10,0"
    1747518070)
    17476 emptyMRCItem *693 (MRCItem
    17477 litem &679
     18071emptyMRCItem *721 (MRCItem
     18072litem &707
    1747818073pos 1
    1747918074dimension 20
     
    1748118076uid 97,0
    1748218077optionalChildren [
    17483 *694 (MRCItem
    17484 litem &680
     18078*722 (MRCItem
     18079litem &708
    1748518080pos 0
    1748618081dimension 20
    1748718082uid 98,0
    1748818083)
    17489 *695 (MRCItem
    17490 litem &681
     18084*723 (MRCItem
     18085litem &709
    1749118086pos 1
    1749218087dimension 23
    1749318088uid 99,0
    1749418089)
    17495 *696 (MRCItem
    17496 litem &682
     18090*724 (MRCItem
     18091litem &710
    1749718092pos 2
    1749818093hidden 1
     
    1750018095uid 100,0
    1750118096)
    17502 *697 (MRCItem
    17503 litem &691
     18097*725 (MRCItem
     18098litem &719
    1750418099pos 0
    1750518100dimension 20
     
    1751718112uid 101,0
    1751818113optionalChildren [
    17519 *698 (MRCItem
    17520 litem &683
     18114*726 (MRCItem
     18115litem &711
    1752118116pos 0
    1752218117dimension 20
    1752318118uid 102,0
    1752418119)
    17525 *699 (MRCItem
    17526 litem &685
     18120*727 (MRCItem
     18121litem &713
    1752718122pos 1
    1752818123dimension 50
    1752918124uid 103,0
    1753018125)
    17531 *700 (MRCItem
    17532 litem &686
     18126*728 (MRCItem
     18127litem &714
    1753318128pos 2
    1753418129dimension 186
    1753518130uid 104,0
    1753618131)
    17537 *701 (MRCItem
    17538 litem &687
     18132*729 (MRCItem
     18133litem &715
    1753918134pos 3
    1754018135dimension 96
    1754118136uid 105,0
    1754218137)
    17543 *702 (MRCItem
    17544 litem &688
     18138*730 (MRCItem
     18139litem &716
    1754518140pos 4
    1754618141dimension 50
    1754718142uid 106,0
    1754818143)
    17549 *703 (MRCItem
    17550 litem &689
     18144*731 (MRCItem
     18145litem &717
    1755118146pos 5
    1755218147dimension 50
    1755318148uid 107,0
    1755418149)
    17555 *704 (MRCItem
    17556 litem &690
     18150*732 (MRCItem
     18151litem &718
    1755718152pos 6
    1755818153dimension 80
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak

    r252 r260  
    152152uid 8277,0
    153153)
     154(Instance
     155name "U_0"
     156duLibraryName "moduleware"
     157duName "mux"
     158elements [
     159]
     160mwi 1
     161uid 8562,0
     162)
    154163]
    155164libraryRefs [
     
    359368(vvPair
    360369variable "time"
    361 value "11:42:03"
     370value "14:21:30"
    362371)
    363372(vvPair
     
    450459font "Courier New,8,0"
    451460)
    452 xt "-85000,84200,-41500,85000"
    453 st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\""
     461xt "-85000,86600,-41500,87400"
     462st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
     463"
    454464)
    455465)
     
    469479)
    470480xt "-85000,47400,-45000,48200"
    471 st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     481st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     482"
    472483)
    473484)
     
    487498)
    488499xt "-85000,61800,-52500,62600"
    489 st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)"
     500st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)
     501"
    490502)
    491503)
     
    504516font "Courier New,8,0"
    505517)
    506 xt "-85000,69000,-45000,69800"
    507 st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
     518xt "-85000,71400,-45000,72200"
     519st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
     520"
    508521)
    509522)
     
    522535font "Courier New,8,0"
    523536)
    524 xt "-85000,69800,-52500,70600"
    525 st "SIGNAL ram_data               : std_logic_vector(15 downto 0)"
     537xt "-85000,72200,-52500,73000"
     538st "SIGNAL ram_data               : std_logic_vector(15 downto 0)
     539"
    526540)
    527541)
     
    541555)
    542556xt "-85000,39800,-45000,40600"
    543 st "wiz_reset              : std_logic                                    := '1'"
     557st "wiz_reset              : std_logic                                    := '1'
     558"
    544559)
    545560)
     
    559574)
    560575xt "-85000,37400,-56500,38200"
    561 st "wiz_addr               : std_logic_vector(9 DOWNTO 0)"
     576st "wiz_addr               : std_logic_vector(9 DOWNTO 0)
     577"
    562578)
    563579)
     
    577593)
    578594xt "-85000,42200,-56000,43000"
    579 st "wiz_data               : std_logic_vector(15 DOWNTO 0)"
     595st "wiz_data               : std_logic_vector(15 DOWNTO 0)
     596"
    580597)
    581598)
     
    595612)
    596613xt "-85000,38200,-45000,39000"
    597 st "wiz_cs                 : std_logic                                    := '1'"
     614st "wiz_cs                 : std_logic                                    := '1'
     615"
    598616)
    599617)
     
    613631)
    614632xt "-85000,40600,-45000,41400"
    615 st "wiz_wr                 : std_logic                                    := '1'"
     633st "wiz_wr                 : std_logic                                    := '1'
     634"
    616635)
    617636)
     
    631650)
    632651xt "-85000,39000,-45000,39800"
    633 st "wiz_rd                 : std_logic                                    := '1'"
     652st "wiz_rd                 : std_logic                                    := '1'
     653"
    634654)
    635655)
     
    648668)
    649669xt "-85000,26200,-66500,27000"
    650 st "wiz_int                : std_logic"
     670st "wiz_int                : std_logic
     671"
    651672)
    652673)
     
    24732494)
    24742495xt "-85000,23800,-56500,24600"
    2475 st "board_id               : std_logic_vector(3 downto 0)"
     2496st "board_id               : std_logic_vector(3 downto 0)
     2497"
    24762498)
    24772499)
     
    24922514)
    24932515xt "-85000,25400,-66500,26200"
    2494 st "trigger                : std_logic"
     2516st "trigger                : std_logic
     2517"
    24952518)
    24962519)
     
    37203743)
    37213744xt "-85000,24600,-56500,25400"
    3722 st "crate_id               : std_logic_vector(1 downto 0)"
     3745st "crate_id               : std_logic_vector(1 downto 0)
     3746"
    37233747)
    37243748)
     
    39403964font "Courier New,8,0"
    39413965)
    3942 xt "-85000,77000,-52500,77800"
    3943 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)"
     3966xt "-85000,79400,-52500,80200"
     3967st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
     3968"
    39443969)
    39453970)
     
    39603985font "Courier New,8,0"
    39613986)
    3962 xt "-85000,70600,-45000,71400"
    3963 st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     3987xt "-85000,73000,-45000,73800"
     3988st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     3989"
    39643990)
    39653991)
     
    47664792font "Courier New,8,0"
    47674793)
    4768 xt "-85000,78600,-62500,79400"
    4769 st "SIGNAL wiz_busy               : std_logic"
     4794xt "-85000,81000,-62500,81800"
     4795st "SIGNAL wiz_busy               : std_logic
     4796"
    47704797)
    47714798)
     
    47854812font "Courier New,8,0"
    47864813)
    4787 xt "-85000,81000,-41500,81800"
    4788 st "SIGNAL wiz_write_ea           : std_logic                                    := '0'"
     4814xt "-85000,83400,-41500,84200"
     4815st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
     4816"
    47894817)
    47904818)
     
    48054833font "Courier New,8,0"
    48064834)
    4807 xt "-85000,83400,-35500,84200"
    4808 st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')"
     4835xt "-85000,85800,-35500,86600"
     4836st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
     4837"
    48094838)
    48104839)
     
    48264855font "Courier New,8,0"
    48274856)
    4828 xt "-85000,80200,-35500,81000"
    4829 st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
     4857xt "-85000,82600,-35500,83400"
     4858st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
     4859"
    48304860)
    48314861)
     
    48464876font "Courier New,8,0"
    48474877)
    4848 xt "-85000,79400,-35500,80200"
    4849 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')"
     4878xt "-85000,81800,-35500,82600"
     4879st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
     4880"
    48504881)
    48514882)
     
    48654896font "Courier New,8,0"
    48664897)
    4867 xt "-85000,81800,-41500,82600"
    4868 st "SIGNAL wiz_write_end          : std_logic                                    := '0'"
     4898xt "-85000,84200,-41500,85000"
     4899st "SIGNAL wiz_write_end          : std_logic                                    := '0'
     4900"
    48694901)
    48704902)
     
    48844916font "Courier New,8,0"
    48854917)
    4886 xt "-85000,82600,-41500,83400"
    4887 st "SIGNAL wiz_write_header       : std_logic                                    := '0'"
     4918xt "-85000,85000,-41500,85800"
     4919st "SIGNAL wiz_write_header       : std_logic                                    := '0'
     4920"
    48884921)
    48894922)
     
    49014934font "Courier New,8,0"
    49024935)
    4903 xt "-85000,71400,-62500,72200"
    4904 st "SIGNAL ram_write_ea           : std_logic"
     4936xt "-85000,73800,-62500,74600"
     4937st "SIGNAL ram_write_ea           : std_logic
     4938"
    49054939)
    49064940)
     
    49194953font "Courier New,8,0"
    49204954)
    4921 xt "-85000,72200,-41500,73000"
    4922 st "SIGNAL ram_write_ready        : std_logic                                    := '0'"
     4955xt "-85000,74600,-41500,75400"
     4956st "SIGNAL ram_write_ready        : std_logic                                    := '0'
     4957"
    49234958)
    49244959)
     
    49384973)
    49394974xt "-85000,54600,-41500,55400"
    4940 st "SIGNAL config_start           : std_logic                                    := '0'"
     4975st "SIGNAL config_start           : std_logic                                    := '0'
     4976"
    49414977)
    49424978)
     
    49554991)
    49564992xt "-85000,52200,-62500,53000"
    4957 st "SIGNAL config_ready           : std_logic"
     4993st "SIGNAL config_ready           : std_logic
     4994"
    49584995)
    49594996)
     
    49715008font "Courier New,8,0"
    49725009)
    4973 xt "-85000,73800,-61000,74600"
    4974 st "SIGNAL roi_max                : roi_max_type"
     5010xt "-85000,76200,-61000,77000"
     5011st "SIGNAL roi_max                : roi_max_type
     5012"
    49755013)
    49765014)
     
    49895027font "Courier New,8,0"
    49905028)
    4991 xt "-85000,68200,-52500,69000"
    4992 st "SIGNAL package_length         : std_logic_vector(15 downto 0)"
     5029xt "-85000,70600,-52500,71400"
     5030st "SIGNAL package_length         : std_logic_vector(15 downto 0)
     5031"
    49935032)
    49945033)
     
    50085047)
    50095048xt "-85000,30200,-45000,31000"
    5010 st "adc_oeb                : std_logic                                    := '1'"
     5049st "adc_oeb                : std_logic                                    := '1'
     5050"
    50115051)
    50125052)
     
    51145154font "Courier New,8,0"
    51155155)
    5116 xt "-85000,73000,-60000,73800"
    5117 st "SIGNAL roi_array              : roi_array_type"
     5156xt "-85000,75400,-60000,76200"
     5157st "SIGNAL roi_array              : roi_array_type
     5158"
    51185159)
    51195160)
     
    55485589)
    55495590xt "-85000,27000,-66500,27800"
    5550 st "CLK_25_PS              : std_logic"
     5591st "CLK_25_PS              : std_logic
     5592"
    55515593)
    55525594)
     
    56105652)
    56115653xt "-85000,27800,-66500,28600"
    5612 st "CLK_50                 : std_logic"
     5654st "CLK_50                 : std_logic
     5655"
    56135656)
    56145657)
     
    58605903)
    58615904xt "-85000,45000,-62500,45800"
    5862 st "SIGNAL CLK_25                 : std_logic"
     5905st "SIGNAL CLK_25                 : std_logic
     5906"
    58635907)
    58645908)
     
    59225966)
    59235967xt "-85000,18200,-66500,19000"
    5924 st "CLK                    : std_logic"
     5968st "CLK                    : std_logic
     5969"
    59255970)
    59265971)
     
    59405985)
    59415986xt "-85000,23000,-56500,23800"
    5942 st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)"
     5987st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)
     5988"
    59435989)
    59445990)
     
    59576003)
    59586004xt "-85000,22200,-61000,23000"
    5959 st "adc_data_array         : adc_data_array_type"
     6005st "adc_data_array         : adc_data_array_type
     6006"
    59606007)
    59616008)
     
    60196066font "Courier New,8,0"
    60206067)
    6021 xt "-85000,62600,-41500,63400"
    6022 st "SIGNAL drs_clk_en             : std_logic                                    := '0'"
     6068xt "-85000,65000,-41500,65800"
     6069st "SIGNAL drs_clk_en             : std_logic                                    := '0'
     6070"
    60236071)
    60246072)
     
    60366084font "Courier New,8,0"
    60376085)
    6038 xt "-85000,65000,-56500,65800"
    6039 st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type"
     6086xt "-85000,67400,-56500,68200"
     6087st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type
     6088"
    60406089)
    60416090)
     
    60546103font "Courier New,8,0"
    60556104)
    6056 xt "-85000,63400,-41500,64200"
    6057 st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'"
     6105xt "-85000,65800,-41500,66600"
     6106st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'
     6107"
    60586108)
    60596109)
     
    60746124)
    60756125xt "-85000,32600,-39000,33400"
    6076 st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')"
     6126st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')
     6127"
    60776128)
    60786129)
     
    60926143)
    60936144xt "-85000,33400,-45000,34200"
    6094 st "drs_dwrite             : std_logic                                    := '1'"
     6145st "drs_dwrite             : std_logic                                    := '1'
     6146"
    60956147)
    60966148)
     
    61086160sl 0
    61096161ro 90
    6110 xt "-28000,56625,-26500,57375"
     6162xt "-39000,99625,-37500,100375"
    61116163)
    61126164(Line
     
    61146166sl 0
    61156167ro 90
    6116 xt "-26500,57000,-26000,57000"
     6168xt "-37500,100000,-37000,100000"
    61176169pts [
    6118 "-26000,57000"
    6119 "-26500,57000"
     6170"-37000,100000"
     6171"-37500,100000"
    61206172]
    61216173)
     
    61326184va (VaSet
    61336185)
    6134 xt "-34900,56500,-29000,57500"
     6186xt "-45900,99500,-40000,100500"
    61356187st "drs_channel_id"
    61366188ju 2
    6137 blo "-29000,57300"
     6189blo "-40000,100300"
    61386190tm "WireNameMgr"
    61396191)
     
    61996251)
    62006252xt "-85000,19000,-66500,19800"
    6201 st "SROUT_in_0             : std_logic"
     6253st "SROUT_in_0             : std_logic
     6254"
    62026255)
    62036256)
     
    62166269)
    62176270xt "-85000,19800,-66500,20600"
    6218 st "SROUT_in_1             : std_logic"
     6271st "SROUT_in_1             : std_logic
     6272"
    62196273)
    62206274)
     
    62336287)
    62346288xt "-85000,20600,-66500,21400"
    6235 st "SROUT_in_2             : std_logic"
     6289st "SROUT_in_2             : std_logic
     6290"
    62366291)
    62376292)
     
    62506305)
    62516306xt "-85000,21400,-66500,22200"
    6252 st "SROUT_in_3             : std_logic"
     6307st "SROUT_in_3             : std_logic
     6308"
    62536309)
    62546310)
     
    64466502font "Courier New,8,0"
    64476503)
    6448 xt "-85000,64200,-62500,65000"
    6449 st "SIGNAL drs_read_s_cell_ready  : std_logic"
     6504xt "-85000,66600,-62500,67400"
     6505st "SIGNAL drs_read_s_cell_ready  : std_logic
     6506"
    64506507)
    64516508)
     
    69266983)
    69276984xt "-85000,28600,-45000,29400"
    6928 st "RSRLOAD                : std_logic                                    := '0'"
     6985st "RSRLOAD                : std_logic                                    := '0'
     6986"
    69296987)
    69306988)
     
    69897047)
    69907048xt "-85000,29400,-45000,30200"
    6991 st "SRCLK                  : std_logic                                    := '0'"
     7049st "SRCLK                  : std_logic                                    := '0'
     7050"
    69927051)
    69937052)
     
    72147273t "std_logic_vector"
    72157274b "(15 DOWNTO 0)"
    7216 o 12
     7275o 14
    72177276suid 5,0
    72187277)
     
    72497308n "roi_array"
    72507309t "roi_array_type"
    7251 o 11
     7310o 13
    72527311suid 6,0
    72537312)
     
    74647523)
    74657524)
     7525*227 (CptPort
     7526uid 8500,0
     7527ps "OnEdgeStrategy"
     7528shape (Triangle
     7529uid 8501,0
     7530ro 90
     7531va (VaSet
     7532vasetType 1
     7533fg "0,65535,0"
     7534)
     7535xt "92000,109625,92750,110375"
     7536)
     7537tg (CPTG
     7538uid 8502,0
     7539ps "CptPortTextPlaceStrategy"
     7540stg "RightVerticalLayoutStrategy"
     7541f (Text
     7542uid 8503,0
     7543va (VaSet
     7544)
     7545xt "83800,109500,91000,110500"
     7546st "drs_address : (3:0)"
     7547ju 2
     7548blo "91000,110300"
     7549)
     7550)
     7551thePort (LogicalPort
     7552m 1
     7553decl (Decl
     7554n "drs_address"
     7555t "std_logic_vector"
     7556b "(3 DOWNTO 0)"
     7557o 11
     7558suid 13,0
     7559)
     7560)
     7561)
     7562*228 (CptPort
     7563uid 8504,0
     7564ps "OnEdgeStrategy"
     7565shape (Triangle
     7566uid 8505,0
     7567ro 90
     7568va (VaSet
     7569vasetType 1
     7570fg "0,65535,0"
     7571)
     7572xt "92000,110625,92750,111375"
     7573)
     7574tg (CPTG
     7575uid 8506,0
     7576ps "CptPortTextPlaceStrategy"
     7577stg "RightVerticalLayoutStrategy"
     7578f (Text
     7579uid 8507,0
     7580va (VaSet
     7581)
     7582xt "83800,110500,91000,111500"
     7583st "drs_address_mode"
     7584ju 2
     7585blo "91000,111300"
     7586)
     7587)
     7588thePort (LogicalPort
     7589m 1
     7590decl (Decl
     7591n "drs_address_mode"
     7592t "std_logic"
     7593o 12
     7594suid 14,0
     7595)
     7596)
     7597)
    74667598]
    74677599shape (Rectangle
     
    74817613stg "VerticalLayoutStrategy"
    74827614textVec [
    7483 *227 (Text
     7615*229 (Text
    74847616uid 5075,0
    74857617va (VaSet
     
    74917623tm "BdLibraryNameMgr"
    74927624)
    7493 *228 (Text
     7625*230 (Text
    74947626uid 5076,0
    74957627va (VaSet
     
    75017633tm "CptNameMgr"
    75027634)
    7503 *229 (Text
     7635*231 (Text
    75047636uid 5077,0
    75057637va (VaSet
     
    75477679archFileType "UNKNOWN"
    75487680)
    7549 *230 (Net
     7681*232 (Net
    75507682uid 5088,0
    75517683decl (Decl
     
    75627694)
    75637695xt "-85000,48200,-53000,49000"
    7564 st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)"
    7565 )
    7566 )
    7567 *231 (Net
     7696st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)
     7697"
     7698)
     7699)
     7700*233 (Net
    75687701uid 5096,0
    75697702decl (Decl
     
    75797712)
    75807713xt "-85000,50600,-62500,51400"
    7581 st "SIGNAL config_data_valid      : std_logic"
    7582 )
    7583 )
    7584 *232 (Net
     7714st "SIGNAL config_data_valid      : std_logic
     7715"
     7716)
     7717)
     7718*234 (Net
    75857719uid 5104,0
    75867720decl (Decl
     
    75967730)
    75977731xt "-85000,49000,-62500,49800"
    7598 st "SIGNAL config_busy            : std_logic"
    7599 )
    7600 )
    7601 *233 (Net
     7732st "SIGNAL config_busy            : std_logic
     7733"
     7734)
     7735)
     7736*235 (Net
    76027737uid 5112,0
    76037738decl (Decl
     
    76147749)
    76157750xt "-85000,49800,-52500,50600"
    7616 st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)"
    7617 )
    7618 )
    7619 *234 (Net
     7751st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)
     7752"
     7753)
     7754)
     7755*236 (Net
    76207756uid 5120,0
    76217757decl (Decl
     
    76317767)
    76327768xt "-85000,60200,-62500,61000"
    7633 st "SIGNAL config_wr_en           : std_logic"
    7634 )
    7635 )
    7636 *235 (Net
     7769st "SIGNAL config_wr_en           : std_logic
     7770"
     7771)
     7772)
     7773*237 (Net
    76377774uid 5128,0
    76387775decl (Decl
     
    76487785)
    76497786xt "-85000,51400,-62500,52200"
    7650 st "SIGNAL config_rd_en           : std_logic"
    7651 )
    7652 )
    7653 *236 (Net
     7787st "SIGNAL config_rd_en           : std_logic
     7788"
     7789)
     7790)
     7791*238 (Net
    76547792uid 5144,0
    76557793decl (Decl
     
    76657803)
    76667804xt "-85000,61000,-60000,61800"
    7667 st "SIGNAL dac_array              : dac_array_type"
    7668 )
    7669 )
    7670 *237 (Net
     7805st "SIGNAL dac_array              : dac_array_type
     7806"
     7807)
     7808)
     7809*239 (Net
    76717810uid 5194,0
    76727811decl (Decl
     
    76827821)
    76837822xt "-85000,55400,-62500,56200"
    7684 st "SIGNAL config_start_cm        : std_logic"
    7685 )
    7686 )
    7687 *238 (Net
     7823st "SIGNAL config_start_cm        : std_logic
     7824"
     7825)
     7826)
     7827*240 (Net
    76887828uid 5196,0
    76897829decl (Decl
     
    76997839)
    77007840xt "-85000,53000,-62500,53800"
    7701 st "SIGNAL config_ready_cm        : std_logic"
    7702 )
    7703 )
    7704 *239 (Net
     7841st "SIGNAL config_ready_cm        : std_logic
     7842"
     7843)
     7844)
     7845*241 (Net
    77057846uid 5220,0
    77067847decl (Decl
     
    77197860)
    77207861xt "-85000,34200,-39000,35000"
    7721 st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    7722 )
    7723 )
    7724 *240 (Net
     7862st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
     7863"
     7864)
     7865)
     7866*242 (Net
    77257867uid 5279,0
    77267868decl (Decl
     
    77367878font "Courier New,8,0"
    77377879)
    7738 xt "-85000,74600,-41500,75400"
    7739 st "SIGNAL s_trigger              : std_logic                                    := '0'"
    7740 )
    7741 )
    7742 *241 (Net
     7880xt "-85000,77000,-41500,77800"
     7881st "SIGNAL s_trigger              : std_logic                                    := '0'
     7882"
     7883)
     7884)
     7885*243 (Net
    77437886uid 5472,0
    77447887decl (Decl
     
    77537896font "Courier New,8,0"
    77547897)
    7755 xt "-85000,76200,-62500,77000"
    7756 st "SIGNAL sensor_ready           : std_logic"
    7757 )
    7758 )
    7759 *242 (Net
     7898xt "-85000,78600,-62500,79400"
     7899st "SIGNAL sensor_ready           : std_logic
     7900"
     7901)
     7902)
     7903*244 (Net
    77607904uid 5478,0
    77617905decl (Decl
     
    77707914font "Courier New,8,0"
    77717915)
    7772 xt "-85000,75400,-58500,76200"
    7773 st "SIGNAL sensor_array           : sensor_array_type"
    7774 )
    7775 )
    7776 *243 (Net
     7916xt "-85000,77800,-58500,78600"
     7917st "SIGNAL sensor_array           : sensor_array_type
     7918"
     7919)
     7920)
     7921*245 (Net
    77777922uid 5588,0
    77787923decl (Decl
     
    77887933)
    77897934xt "-85000,53800,-62500,54600"
    7790 st "SIGNAL config_ready_spi       : std_logic"
    7791 )
    7792 )
    7793 *244 (Net
     7935st "SIGNAL config_ready_spi       : std_logic
     7936"
     7937)
     7938)
     7939*246 (Net
    77947940uid 5632,0
    77957941lang 10
     
    78077953)
    78087954xt "-85000,46600,-53000,47400"
    7809 st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)"
    7810 )
    7811 )
    7812 *245 (Net
     7955st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)
     7956"
     7957)
     7958)
     7959*247 (Net
    78137960uid 5640,0
    78147961decl (Decl
     
    78247971)
    78257972xt "-85000,45800,-57500,46600"
    7826 st "SIGNAL adc_data_array_int     : adc_data_array_type"
    7827 )
    7828 )
    7829 *246 (SaComponent
     7973st "SIGNAL adc_data_array_int     : adc_data_array_type
     7974"
     7975)
     7976)
     7977*248 (SaComponent
    78307978uid 5678,0
    78317979optionalChildren [
    7832 *247 (CptPort
     7980*249 (CptPort
    78337981uid 5658,0
    78347982ps "OnEdgeStrategy"
     
    78658013)
    78668014)
    7867 *248 (CptPort
     8015*250 (CptPort
    78688016uid 5662,0
    78698017ps "OnEdgeStrategy"
     
    79028050)
    79038051)
    7904 *249 (CptPort
     8052*251 (CptPort
    79058053uid 5666,0
    79068054ps "OnEdgeStrategy"
     
    79418089)
    79428090)
    7943 *250 (CptPort
     8091*252 (CptPort
    79448092uid 5670,0
    79458093ps "OnEdgeStrategy"
     
    79778125)
    79788126)
    7979 *251 (CptPort
     8127*253 (CptPort
    79808128uid 5674,0
    79818129ps "OnEdgeStrategy"
     
    80308178stg "VerticalLayoutStrategy"
    80318179textVec [
    8032 *252 (Text
     8180*254 (Text
    80338181uid 5681,0
    80348182va (VaSet
     
    80408188tm "BdLibraryNameMgr"
    80418189)
    8042 *253 (Text
     8190*255 (Text
    80438191uid 5682,0
    80448192va (VaSet
     
    80508198tm "CptNameMgr"
    80518199)
    8052 *254 (Text
     8200*256 (Text
    80538201uid 5683,0
    80548202va (VaSet
     
    80998247archFileType "UNKNOWN"
    81008248)
    8101 *255 (Net
     8249*257 (Net
    81028250uid 5743,0
    81038251decl (Decl
     
    81148262)
    81158263xt "-85000,56200,-41500,57000"
    8116 st "SIGNAL config_start_spi       : std_logic                                    := '0'"
    8117 )
    8118 )
    8119 *256 (SaComponent
     8264st "SIGNAL config_start_spi       : std_logic                                    := '0'
     8265"
     8266)
     8267)
     8268*258 (SaComponent
    81208269uid 5793,0
    81218270optionalChildren [
    8122 *257 (CptPort
     8271*259 (CptPort
    81238272uid 5753,0
    81248273ps "OnEdgeStrategy"
     
    81558304)
    81568305)
    8157 *258 (CptPort
     8306*260 (CptPort
    81588307uid 5761,0
    81598308ps "OnEdgeStrategy"
     
    81908339)
    81918340)
    8192 *259 (CptPort
     8341*261 (CptPort
    81938342uid 5765,0
    81948343ps "OnEdgeStrategy"
     
    82268375)
    82278376)
    8228 *260 (CptPort
     8377*262 (CptPort
    82298378uid 5769,0
    82308379ps "OnEdgeStrategy"
     
    82618410)
    82628411)
    8263 *261 (CptPort
     8412*263 (CptPort
    82648413uid 5773,0
    82658414ps "OnEdgeStrategy"
     
    82978446)
    82988447)
    8299 *262 (CptPort
     8448*264 (CptPort
    83008449uid 5777,0
    83018450ps "OnEdgeStrategy"
     
    83338482)
    83348483)
    8335 *263 (CptPort
     8484*265 (CptPort
    83368485uid 5781,0
    83378486ps "OnEdgeStrategy"
     
    83688517)
    83698518)
    8370 *264 (CptPort
     8519*266 (CptPort
    83718520uid 5785,0
    83728521ps "OnEdgeStrategy"
     
    84048553)
    84058554)
    8406 *265 (CptPort
     8555*267 (CptPort
    84078556uid 5789,0
    84088557ps "OnEdgeStrategy"
     
    84408589)
    84418590)
    8442 *266 (CptPort
     8591*268 (CptPort
    84438592uid 5986,0
    84448593ps "OnEdgeStrategy"
     
    84778626)
    84788627)
    8479 *267 (CptPort
     8628*269 (CptPort
    84808629uid 6154,0
    84818630ps "OnEdgeStrategy"
     
    85138662)
    85148663)
    8515 *268 (CptPort
     8664*270 (CptPort
    85168665uid 6317,0
    85178666ps "OnEdgeStrategy"
     
    85678716stg "VerticalLayoutStrategy"
    85688717textVec [
    8569 *269 (Text
     8718*271 (Text
    85708719uid 5796,0
    85718720va (VaSet
     
    85778726tm "BdLibraryNameMgr"
    85788727)
    8579 *270 (Text
     8728*272 (Text
    85808729uid 5797,0
    85818730va (VaSet
     
    85878736tm "CptNameMgr"
    85888737)
    8589 *271 (Text
     8738*273 (Text
    85908739uid 5798,0
    85918740va (VaSet
     
    86338782archFileType "UNKNOWN"
    86348783)
    8635 *272 (Net
     8784*274 (Net
    86368785uid 5811,0
    86378786decl (Decl
     
    86478796)
    86488797xt "-85000,35800,-66500,36600"
    8649 st "sclk                   : std_logic"
    8650 )
    8651 )
    8652 *273 (Net
     8798st "sclk                   : std_logic
     8799"
     8800)
     8801)
     8802*275 (Net
    86538803uid 5819,0
    86548804decl (Decl
     
    86668816)
    86678817xt "-85000,41400,-66500,42200"
    8668 st "sio                    : std_logic"
    8669 )
    8670 )
    8671 *274 (Net
     8818st "sio                    : std_logic
     8819"
     8820)
     8821)
     8822*276 (Net
    86728823uid 5827,0
    86738824decl (Decl
     
    86838834)
    86848835xt "-85000,31000,-66500,31800"
    8685 st "dac_cs                 : std_logic"
    8686 )
    8687 )
    8688 *275 (Net
     8836st "dac_cs                 : std_logic
     8837"
     8838)
     8839)
     8840*277 (Net
    86898841uid 5835,0
    86908842decl (Decl
     
    87018853)
    87028854xt "-85000,36600,-56500,37400"
    8703 st "sensor_cs              : std_logic_vector(3 DOWNTO 0)"
    8704 )
    8705 )
    8706 *276 (PortIoOut
     8855st "sensor_cs              : std_logic_vector(3 DOWNTO 0)
     8856"
     8857)
     8858)
     8859*278 (PortIoOut
    87078860uid 5843,0
    87088861shape (CompositeShape
     
    87498902)
    87508903)
    8751 *277 (PortIoInOut
     8904*279 (PortIoInOut
    87528905uid 5849,0
    87538906shape (CompositeShape
     
    87948947)
    87958948)
    8796 *278 (PortIoOut
     8949*280 (PortIoOut
    87978950uid 5855,0
    87988951shape (CompositeShape
     
    88398992)
    88408993)
    8841 *279 (PortIoOut
     8994*281 (PortIoOut
    88428995uid 5861,0
    88438996shape (CompositeShape
     
    88849037)
    88859038)
    8886 *280 (Net
     9039*282 (Net
    88879040uid 5948,0
    88889041decl (Decl
     
    88989051font "Courier New,8,0"
    88999052)
    8900 xt "-85000,67400,-41500,68200"
    8901 st "SIGNAL new_config             : std_logic                                    := '0'"
    8902 )
    8903 )
    8904 *281 (Net
     9053xt "-85000,69800,-41500,70600"
     9054st "SIGNAL new_config             : std_logic                                    := '0'
     9055"
     9056)
     9057)
     9058*283 (Net
    89059059uid 5960,0
    89069060decl (Decl
     
    89169070)
    89179071xt "-85000,57000,-62500,57800"
    8918 st "SIGNAL config_started         : std_logic"
    8919 )
    8920 )
    8921 *282 (Net
     9072st "SIGNAL config_started         : std_logic
     9073"
     9074)
     9075)
     9076*284 (Net
    89229077uid 6012,0
    89239078decl (Decl
     
    89349089)
    89359090xt "-85000,59400,-41500,60200"
    8936 st "SIGNAL config_started_spi     : std_logic                                    := '0'"
    8937 )
    8938 )
    8939 *283 (Net
     9091st "SIGNAL config_started_spi     : std_logic                                    := '0'
     9092"
     9093)
     9094)
     9095*285 (Net
    89409096uid 6014,0
    89419097decl (Decl
     
    89529108)
    89539109xt "-85000,57800,-41500,58600"
    8954 st "SIGNAL config_started_cu      : std_logic                                    := '0'"
    8955 )
    8956 )
    8957 *284 (Net
     9110st "SIGNAL config_started_cu      : std_logic                                    := '0'
     9111"
     9112)
     9113)
     9114*286 (Net
    89589115uid 6016,0
    89599116decl (Decl
     
    89699126)
    89709127xt "-85000,58600,-62500,59400"
    8971 st "SIGNAL config_started_mm      : std_logic"
    8972 )
    8973 )
    8974 *285 (Net
     9128st "SIGNAL config_started_mm      : std_logic
     9129"
     9130)
     9131)
     9132*287 (Net
    89759133uid 6158,0
    89769134decl (Decl
     
    89879145)
    89889146xt "-85000,35000,-45000,35800"
    8989 st "mosi                   : std_logic                                    := '0'"
    8990 )
    8991 )
    8992 *286 (PortIoOut
     9147st "mosi                   : std_logic                                    := '0'
     9148"
     9149)
     9150)
     9151*288 (PortIoOut
    89939152uid 6166,0
    89949153shape (CompositeShape
     
    90359194)
    90369195)
    9037 *287 (Net
     9196*289 (Net
    90389197uid 6360,0
    90399198decl (Decl
     
    90529211)
    90539212xt "-85000,31800,-31500,32600"
    9054 st "denable                : std_logic                                    := '0' -- default domino wave off"
    9055 )
    9056 )
    9057 *288 (PortIoOut
     9213st "denable                : std_logic                                    := '0' -- default domino wave off
     9214"
     9215)
     9216)
     9217*290 (PortIoOut
    90589218uid 6368,0
    90599219shape (CompositeShape
     
    90999259)
    91009260)
    9101 *289 (Net
     9261*291 (Net
    91029262uid 6450,0
    91039263decl (Decl
     
    91139273font "Courier New,8,0"
    91149274)
    9115 xt "-85000,66600,-41500,67400"
    9116 st "SIGNAL dwrite_enable          : std_logic                                    := '1'"
    9117 )
    9118 )
    9119 *290 (MWC
     9275xt "-85000,69000,-41500,69800"
     9276st "SIGNAL dwrite_enable          : std_logic                                    := '1'
     9277"
     9278)
     9279)
     9280*292 (MWC
    91209281uid 6529,0
    91219282optionalChildren [
    9122 *291 (CptPort
     9283*293 (CptPort
    91239284uid 6501,0
    91249285optionalChildren [
    9125 *292 (Line
     9286*294 (Line
    91269287uid 6505,0
    91279288layer 5
     
    91369297]
    91379298)
    9138 *293 (Property
     9299*295 (Property
    91399300uid 6506,0
    91409301pclass "_MW_GEOM_"
     
    91819342)
    91829343)
    9183 *294 (CptPort
     9344*296 (CptPort
    91849345uid 6507,0
    91859346optionalChildren [
    9186 *295 (Line
     9347*297 (Line
    91879348uid 6511,0
    91889349layer 5
     
    92369397)
    92379398)
    9238 *296 (CptPort
     9399*298 (CptPort
    92399400uid 6512,0
    92409401optionalChildren [
    9241 *297 (Line
     9402*299 (Line
    92429403uid 6516,0
    92439404layer 5
     
    92919452)
    92929453)
    9293 *298 (CommentGraphic
     9454*300 (CommentGraphic
    92949455uid 6517,0
    92959456optionalChildren [
    9296 *299 (Property
     9457*301 (Property
    92979458uid 6519,0
    92989459pclass "_MW_GEOM_"
     
    93189479oxt "11000,10000,11000,10000"
    93199480)
    9320 *300 (CommentGraphic
     9481*302 (CommentGraphic
    93219482uid 6520,0
    93229483optionalChildren [
    9323 *301 (Property
     9484*303 (Property
    93249485uid 6522,0
    93259486pclass "_MW_GEOM_"
     
    93459506oxt "11000,6000,11000,6000"
    93469507)
    9347 *302 (Grouping
     9508*304 (Grouping
    93489509uid 6523,0
    93499510optionalChildren [
    9350 *303 (CommentGraphic
     9511*305 (CommentGraphic
    93519512uid 6525,0
    93529513shape (PolyLine2D
     
    93699530oxt "9000,6000,11000,10000"
    93709531)
    9371 *304 (CommentGraphic
     9532*306 (CommentGraphic
    93729533uid 6527,0
    93739534shape (Arc2D
     
    94229583stg "VerticalLayoutStrategy"
    94239584textVec [
    9424 *305 (Text
     9585*307 (Text
    94259586uid 6532,0
    94269587va (VaSet
     
    94329593blo "3500,59300"
    94339594)
    9434 *306 (Text
     9595*308 (Text
    94359596uid 6533,0
    94369597va (VaSet
     
    94419602blo "3500,60300"
    94429603)
    9443 *307 (Text
     9604*309 (Text
    94449605uid 6534,0
    94459606va (VaSet
     
    94869647)
    94879648)
    9488 *308 (Net
     9649*310 (Net
    94899650uid 6544,0
    94909651decl (Decl
     
    95009661font "Courier New,8,0"
    95019662)
    9502 xt "-85000,65800,-41500,66600"
    9503 st "SIGNAL dwrite                 : std_logic                                    := '1'"
    9504 )
    9505 )
    9506 *309 (SaComponent
     9663xt "-85000,68200,-41500,69000"
     9664st "SIGNAL dwrite                 : std_logic                                    := '1'
     9665"
     9666)
     9667)
     9668*311 (SaComponent
    95079669uid 8277,0
    95089670optionalChildren [
    9509 *310 (CptPort
     9671*312 (CptPort
    95109672uid 8246,0
    95119673ps "OnEdgeStrategy"
     
    95449706)
    95459707)
    9546 *311 (CptPort
     9708*313 (CptPort
    95479709uid 8250,0
    95489710ps "OnEdgeStrategy"
     
    95829744)
    95839745)
    9584 *312 (CptPort
     9746*314 (CptPort
    95859747uid 8254,0
    95869748ps "OnEdgeStrategy"
     
    96209782)
    96219783)
    9622 *313 (CptPort
     9784*315 (CptPort
    96239785uid 8258,0
    96249786ps "OnEdgeStrategy"
     
    96589820)
    96599821)
    9660 *314 (CptPort
     9822*316 (CptPort
    96619823uid 8262,0
    96629824ps "OnEdgeStrategy"
     
    96969858)
    96979859)
    9698 *315 (CptPort
     9860*317 (CptPort
    96999861uid 8266,0
    97009862ps "OnEdgeStrategy"
     
    97359897)
    97369898)
    9737 *316 (CptPort
     9899*318 (CptPort
    97389900uid 8270,0
    97399901ps "OnEdgeStrategy"
     
    97929954stg "VerticalLayoutStrategy"
    97939955textVec [
    9794 *317 (Text
     9956*319 (Text
    97959957uid 8280,0
    97969958va (VaSet
     
    98029964tm "BdLibraryNameMgr"
    98039965)
    9804 *318 (Text
     9966*320 (Text
    98059967uid 8281,0
    98069968va (VaSet
     
    98129974tm "CptNameMgr"
    98139975)
    9814 *319 (Text
     9976*321 (Text
    98159977uid 8282,0
    98169978va (VaSet
     
    986010022archFileType "UNKNOWN"
    986110023)
    9862 *320 (Net
     10024*322 (Net
    986310025uid 8414,0
    986410026lang 2
     
    987410036font "Courier New,8,0"
    987510037)
    9876 xt "-85000,77800,-62500,78600"
    9877 st "SIGNAL wiz_ack                : std_logic"
    9878 )
    9879 )
    9880 *321 (Wire
     10038xt "-85000,80200,-62500,81000"
     10039st "SIGNAL wiz_ack                : std_logic
     10040"
     10041)
     10042)
     10043*323 (Net
     10044uid 8508,0
     10045decl (Decl
     10046n "drs_address"
     10047t "std_logic_vector"
     10048b "(3 DOWNTO 0)"
     10049o 82
     10050suid 184,0
     10051i "(others => '0')"
     10052)
     10053declText (MLText
     10054uid 8509,0
     10055va (VaSet
     10056font "Courier New,8,0"
     10057)
     10058xt "-85000,62600,-35500,63400"
     10059st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
     10060"
     10061)
     10062)
     10063*324 (Net
     10064uid 8516,0
     10065decl (Decl
     10066n "drs_address_mode"
     10067t "std_logic"
     10068o 83
     10069suid 185,0
     10070)
     10071declText (MLText
     10072uid 8517,0
     10073va (VaSet
     10074font "Courier New,8,0"
     10075)
     10076xt "-85000,63400,-62500,64200"
     10077st "SIGNAL drs_address_mode       : std_logic
     10078"
     10079)
     10080)
     10081*325 (MWC
     10082uid 8562,0
     10083optionalChildren [
     10084*326 (CptPort
     10085uid 8524,0
     10086optionalChildren [
     10087*327 (Line
     10088uid 8528,0
     10089layer 5
     10090sl 0
     10091va (VaSet
     10092vasetType 3
     10093lineWidth 2
     10094)
     10095xt "-29999,101000,-29000,101000"
     10096pts [
     10097"-29000,101000"
     10098"-29999,101000"
     10099]
     10100)
     10101]
     10102ps "OnEdgeStrategy"
     10103shape (Triangle
     10104uid 8525,0
     10105ro 270
     10106va (VaSet
     10107vasetType 1
     10108isHidden 1
     10109fg "0,65535,65535"
     10110)
     10111xt "-29000,100625,-28250,101375"
     10112)
     10113tg (CPTG
     10114uid 8526,0
     10115ps "CptPortTextPlaceStrategy"
     10116stg "RightVerticalLayoutStrategy"
     10117f (Text
     10118uid 8527,0
     10119sl 0
     10120va (VaSet
     10121isHidden 1
     10122font "arial,8,0"
     10123)
     10124xt "-98971,288551,-97171,289551"
     10125st "din0"
     10126ju 2
     10127blo "-97171,289351"
     10128)
     10129s (Text
     10130uid 8571,0
     10131sl 0
     10132va (VaSet
     10133font "arial,8,0"
     10134)
     10135xt "-97171,289551,-97171,289551"
     10136ju 2
     10137blo "-97171,289551"
     10138)
     10139)
     10140thePort (LogicalPort
     10141decl (Decl
     10142n "din0"
     10143t "std_logic_vector"
     10144b "(3 DOWNTO 0)"
     10145o 84
     10146suid 1,0
     10147i "(others => '0')"
     10148)
     10149)
     10150)
     10151*328 (CptPort
     10152uid 8529,0
     10153optionalChildren [
     10154*329 (Line
     10155uid 8533,0
     10156layer 5
     10157sl 0
     10158va (VaSet
     10159vasetType 3
     10160lineWidth 2
     10161)
     10162xt "-33000,100000,-31999,100000"
     10163pts [
     10164"-33000,100000"
     10165"-31999,100000"
     10166]
     10167)
     10168*330 (Property
     10169uid 8534,0
     10170pclass "_MW_GEOM_"
     10171pname "fixed"
     10172ptn "String"
     10173)
     10174]
     10175ps "OnEdgeStrategy"
     10176shape (Triangle
     10177uid 8530,0
     10178ro 270
     10179va (VaSet
     10180vasetType 1
     10181isHidden 1
     10182fg "0,65535,65535"
     10183)
     10184xt "-33750,99625,-33000,100375"
     10185)
     10186tg (CPTG
     10187uid 8531,0
     10188ps "CptPortTextPlaceStrategy"
     10189stg "VerticalLayoutStrategy"
     10190f (Text
     10191uid 8532,0
     10192sl 0
     10193va (VaSet
     10194isHidden 1
     10195font "arial,8,0"
     10196)
     10197xt "-100999,287527,-99199,288527"
     10198st "dout"
     10199blo "-100999,288327"
     10200)
     10201s (Text
     10202uid 8572,0
     10203sl 0
     10204va (VaSet
     10205font "arial,8,0"
     10206)
     10207xt "-100999,288527,-100999,288527"
     10208blo "-100999,288527"
     10209)
     10210)
     10211thePort (LogicalPort
     10212m 1
     10213decl (Decl
     10214n "dout"
     10215t "std_logic_vector"
     10216b "(3 DOWNTO 0)"
     10217o 19
     10218suid 2,0
     10219i "(others => '0')"
     10220)
     10221)
     10222)
     10223*331 (CptPort
     10224uid 8535,0
     10225optionalChildren [
     10226*332 (Line
     10227uid 8539,0
     10228layer 5
     10229sl 0
     10230va (VaSet
     10231vasetType 3
     10232lineWidth 2
     10233)
     10234xt "-29999,99000,-29000,99000"
     10235pts [
     10236"-29000,99000"
     10237"-29999,99000"
     10238]
     10239)
     10240]
     10241ps "OnEdgeStrategy"
     10242shape (Triangle
     10243uid 8536,0
     10244ro 270
     10245va (VaSet
     10246vasetType 1
     10247isHidden 1
     10248fg "0,65535,65535"
     10249)
     10250xt "-29000,98625,-28250,99375"
     10251)
     10252tg (CPTG
     10253uid 8537,0
     10254ps "CptPortTextPlaceStrategy"
     10255stg "RightVerticalLayoutStrategy"
     10256f (Text
     10257uid 8538,0
     10258sl 0
     10259va (VaSet
     10260isHidden 1
     10261font "arial,8,0"
     10262)
     10263xt "-98971,286503,-97171,287503"
     10264st "din1"
     10265ju 2
     10266blo "-97171,287303"
     10267)
     10268s (Text
     10269uid 8573,0
     10270sl 0
     10271va (VaSet
     10272font "arial,8,0"
     10273)
     10274xt "-97171,287503,-97171,287503"
     10275ju 2
     10276blo "-97171,287503"
     10277)
     10278)
     10279thePort (LogicalPort
     10280decl (Decl
     10281n "din1"
     10282t "std_logic_vector"
     10283b "(3 DOWNTO 0)"
     10284o 82
     10285suid 3,0
     10286i "(others => '0')"
     10287)
     10288)
     10289)
     10290*333 (CptPort
     10291uid 8540,0
     10292optionalChildren [
     10293*334 (Line
     10294uid 8544,0
     10295layer 5
     10296sl 0
     10297va (VaSet
     10298vasetType 3
     10299)
     10300xt "-31000,101333,-31000,103000"
     10301pts [
     10302"-31000,103000"
     10303"-31000,101333"
     10304]
     10305)
     10306]
     10307ps "OnEdgeStrategy"
     10308shape (Triangle
     10309uid 8541,0
     10310va (VaSet
     10311vasetType 1
     10312isHidden 1
     10313fg "0,65535,65535"
     10314)
     10315xt "-31375,103000,-30625,103750"
     10316)
     10317tg (CPTG
     10318uid 8542,0
     10319ps "CptPortTextPlaceStrategy"
     10320stg "VerticalLayoutStrategy"
     10321f (Text
     10322uid 8543,0
     10323sl 0
     10324ro 270
     10325va (VaSet
     10326isHidden 1
     10327font "arial,8,0"
     10328)
     10329xt "-99473,289183,-98473,290583"
     10330st "sel"
     10331blo "-98673,290583"
     10332)
     10333s (Text
     10334uid 8574,0
     10335sl 0
     10336ro 270
     10337va (VaSet
     10338font "arial,8,0"
     10339)
     10340xt "-98473,290583,-98473,290583"
     10341blo "-98473,290583"
     10342)
     10343)
     10344thePort (LogicalPort
     10345decl (Decl
     10346n "sel"
     10347t "std_logic"
     10348o 83
     10349suid 4,0
     10350)
     10351)
     10352)
     10353*335 (CommentGraphic
     10354uid 8545,0
     10355shape (CustomPolygon
     10356pts [
     10357"-30000,102000"
     10358"-32000,100666"
     10359"-32000,99334"
     10360"-30000,98000"
     10361"-30000,102000"
     10362]
     10363uid 8546,0
     10364layer 0
     10365sl 0
     10366va (VaSet
     10367vasetType 1
     10368fg "0,65535,65535"
     10369bg "0,65535,65535"
     10370lineColor "26368,26368,26368"
     10371)
     10372xt "-32000,98000,-30000,102000"
     10373)
     10374oxt "7000,7000,9000,11000"
     10375)
     10376*336 (CommentGraphic
     10377uid 8547,0
     10378optionalChildren [
     10379*337 (Property
     10380uid 8549,0
     10381pclass "_MW_GEOM_"
     10382pname "expand"
     10383ptn "String"
     10384)
     10385]
     10386shape (PolyLine2D
     10387pts [
     10388"-30000,98000"
     10389"-30000,98000"
     10390]
     10391uid 8548,0
     10392layer 0
     10393sl 0
     10394va (VaSet
     10395vasetType 1
     10396transparent 1
     10397fg "49152,49152,49152"
     10398)
     10399xt "-30000,98000,-30000,98000"
     10400)
     10401oxt "9000,7000,9000,7000"
     10402)
     10403*338 (CommentGraphic
     10404uid 8550,0
     10405optionalChildren [
     10406*339 (Property
     10407uid 8552,0
     10408pclass "_MW_GEOM_"
     10409pname "expand"
     10410ptn "String"
     10411)
     10412]
     10413shape (PolyLine2D
     10414pts [
     10415"-30000,102000"
     10416"-30000,102000"
     10417]
     10418uid 8551,0
     10419layer 0
     10420sl 0
     10421va (VaSet
     10422vasetType 1
     10423transparent 1
     10424fg "49152,49152,49152"
     10425)
     10426xt "-30000,102000,-30000,102000"
     10427)
     10428oxt "9000,11000,9000,11000"
     10429)
     10430*340 (CommentText
     10431uid 8553,0
     10432shape (Rectangle
     10433uid 8554,0
     10434sl 0
     10435va (VaSet
     10436vasetType 1
     10437transparent 1
     10438fg "65535,65535,65535"
     10439lineColor "65535,65535,65535"
     10440lineWidth -1
     10441)
     10442xt "-32000,100000,-30000,101506"
     10443)
     10444oxt "7000,9000,9000,10506"
     10445text (MLText
     10446uid 8555,0
     10447sl 0
     10448va (VaSet
     10449font "arial,8,0"
     10450)
     10451xt "-31800,100200,-30600,101200"
     10452st "
     10453Lo
     10454"
     10455tm "CommentText"
     10456wrapOption 3
     10457visibleHeight 1506
     10458visibleWidth 2000
     10459)
     10460)
     10461*341 (CommentText
     10462uid 8556,0
     10463shape (Rectangle
     10464uid 8557,0
     10465layer 8
     10466sl 0
     10467va (VaSet
     10468vasetType 1
     10469transparent 1
     10470fg "65535,65535,65535"
     10471lineColor "65535,65535,65535"
     10472lineWidth -1
     10473)
     10474xt "-32000,98000,-30002,99556"
     10475)
     10476oxt "7000,7000,8998,8556"
     10477text (MLText
     10478uid 8558,0
     10479sl 0
     10480va (VaSet
     10481font "arial,8,0"
     10482)
     10483xt "-31800,98200,-30600,99200"
     10484st "
     10485Hi
     10486"
     10487tm "CommentText"
     10488wrapOption 3
     10489visibleHeight 1556
     10490visibleWidth 1998
     10491)
     10492)
     10493*342 (CommentText
     10494uid 8559,0
     10495shape (Rectangle
     10496uid 8560,0
     10497layer 0
     10498sl 0
     10499va (VaSet
     10500vasetType 1
     10501transparent 1
     10502fg "65535,65535,65535"
     10503lineColor "65535,65535,65535"
     10504lineWidth -1
     10505)
     10506xt "-32111,99517,-30111,100517"
     10507)
     10508oxt "6889,8517,8889,9517"
     10509text (MLText
     10510uid 8561,0
     10511sl 0
     10512va (VaSet
     10513font "arial,8,0"
     10514)
     10515xt "-31911,99717,-30211,100717"
     10516st "
     10517mux
     10518"
     10519tm "CommentText"
     10520wrapOption 3
     10521visibleHeight 1000
     10522visibleWidth 2000
     10523)
     10524)
     10525]
     10526shape (Rectangle
     10527uid 8563,0
     10528va (VaSet
     10529vasetType 1
     10530transparent 1
     10531fg "65535,65535,65535"
     10532lineWidth -1
     10533)
     10534xt "-33000,97000,-29000,103000"
     10535fos 1
     10536)
     10537showPorts 0
     10538oxt "6000,6000,10000,12000"
     10539ttg (MlTextGroup
     10540uid 8564,0
     10541ps "CenterOffsetStrategy"
     10542stg "VerticalLayoutStrategy"
     10543textVec [
     10544*343 (Text
     10545uid 8565,0
     10546va (VaSet
     10547isHidden 1
     10548font "arial,8,0"
     10549)
     10550xt "-30650,102100,-25850,103100"
     10551st "moduleware"
     10552blo "-30650,102900"
     10553)
     10554*344 (Text
     10555uid 8566,0
     10556va (VaSet
     10557font "arial,8,0"
     10558)
     10559xt "-30650,103100,-28950,104100"
     10560st "mux"
     10561blo "-30650,103900"
     10562)
     10563*345 (Text
     10564uid 8567,0
     10565va (VaSet
     10566font "arial,8,0"
     10567)
     10568xt "-30650,104100,-28850,105100"
     10569st "U_0"
     10570blo "-30650,104900"
     10571tm "InstanceNameMgr"
     10572)
     10573]
     10574)
     10575ga (GenericAssociation
     10576uid 8568,0
     10577ps "EdgeToEdgeStrategy"
     10578matrix (Matrix
     10579uid 8569,0
     10580text (MLText
     10581uid 8570,0
     10582va (VaSet
     10583font "arial,8,0"
     10584)
     10585xt "-36000,79400,-36000,79400"
     10586)
     10587header ""
     10588)
     10589elements [
     10590]
     10591)
     10592sed 1
     10593awe 1
     10594portVis (PortSigDisplay
     10595disp 1
     10596sN 0
     10597sTC 0
     10598selT 0
     10599)
     10600prms (Property
     10601pclass "params"
     10602pname "params"
     10603ptn "String"
     10604)
     10605de 1
     10606visOptions (mwParamsVisibilityOptions
     10607)
     10608)
     10609*346 (Net
     10610uid 8583,0
     10611decl (Decl
     10612n "drs_channel_internal"
     10613t "std_logic_vector"
     10614b "(3 DOWNTO 0)"
     10615o 84
     10616suid 187,0
     10617i "(others => '0')"
     10618)
     10619declText (MLText
     10620uid 8584,0
     10621va (VaSet
     10622font "Courier New,8,0"
     10623)
     10624xt "-85000,64200,-35500,65000"
     10625st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
     10626"
     10627)
     10628)
     10629*347 (Wire
    988110630uid 322,0
    988210631shape (OrthoPolyLine
     
    989410643)
    989510644start &26
    9896 end &313
     10645end &315
    989710646sat 32
    989810647eat 32
     
    991710666on &2
    991810667)
    9919 *322 (Wire
     10668*348 (Wire
    992010669uid 328,0
    992110670shape (OrthoPolyLine
     
    993310682)
    993410683start &25
    9935 end &312
     10684end &314
    993610685sat 32
    993710686eat 32
     
    995610705on &3
    995710706)
    9958 *323 (Wire
     10707*349 (Wire
    995910708uid 334,0
    996010709shape (OrthoPolyLine
     
    997210721)
    997310722start &24
    9974 end &311
     10723end &313
    997510724sat 32
    997610725eat 32
     
    999510744on &4
    999610745)
    9997 *324 (Wire
     10746*350 (Wire
    999810747uid 364,0
    999910748shape (OrthoPolyLine
     
    1001210761)
    1001310762start &79
    10014 end &315
     10763end &317
    1001510764sat 32
    1001610765eat 32
     
    1003510784on &5
    1003610785)
    10037 *325 (Wire
     10786*351 (Wire
    1003810787uid 370,0
    1003910788shape (OrthoPolyLine
     
    1005210801)
    1005310802start &78
    10054 end &316
     10803end &318
    1005510804sat 32
    1005610805eat 32
     
    1007510824on &6
    1007610825)
    10077 *326 (Wire
     10826*352 (Wire
    1007810827uid 376,0
    1007910828shape (OrthoPolyLine
     
    1011310862on &7
    1011410863)
    10115 *327 (Wire
     10864*353 (Wire
    1011610865uid 384,0
    1011710866shape (OrthoPolyLine
     
    1015310902on &8
    1015410903)
    10155 *328 (Wire
     10904*354 (Wire
    1015610905uid 392,0
    1015710906shape (OrthoPolyLine
     
    1019310942on &9
    1019410943)
    10195 *329 (Wire
     10944*355 (Wire
    1019610945uid 400,0
    1019710946shape (OrthoPolyLine
     
    1023110980on &10
    1023210981)
    10233 *330 (Wire
     10982*356 (Wire
    1023410983uid 408,0
    1023510984shape (OrthoPolyLine
     
    1026911018on &11
    1027011019)
    10271 *331 (Wire
     11020*357 (Wire
    1027211021uid 424,0
    1027311022shape (OrthoPolyLine
     
    1030711056on &12
    1030811057)
    10309 *332 (Wire
     11058*358 (Wire
    1031011059uid 432,0
    1031111060shape (OrthoPolyLine
     
    1034511094on &13
    1034611095)
    10347 *333 (Wire
     11096*359 (Wire
    1034811097uid 1411,0
    1034911098shape (OrthoPolyLine
     
    1038411133on &64
    1038511134)
    10386 *334 (Wire
     11135*360 (Wire
    1038711136uid 1425,0
    1038811137optionalChildren [
    10389 *335 (BdJunction
     11138*361 (BdJunction
    1039011139uid 4391,0
    1039111140ps "OnConnectorStrategy"
     
    1043711186on &65
    1043811187)
    10439 *336 (Wire
     11188*362 (Wire
    1044011189uid 1682,0
    1044111190shape (OrthoPolyLine
     
    1047611225on &100
    1047711226)
    10478 *337 (Wire
     11227*363 (Wire
    1047911228uid 1983,0
    1048011229shape (OrthoPolyLine
     
    1051511264on &108
    1051611265)
    10517 *338 (Wire
     11266*364 (Wire
    1051811267uid 2299,0
    1051911268shape (OrthoPolyLine
     
    1055511304on &109
    1055611305)
    10557 *339 (Wire
     11306*365 (Wire
    1055811307uid 2470,0
    1055911308shape (OrthoPolyLine
     
    1059211341on &132
    1059311342)
    10594 *340 (Wire
     11343*366 (Wire
    1059511344uid 2476,0
    1059611345shape (OrthoPolyLine
     
    1062911378on &133
    1063011379)
    10631 *341 (Wire
     11380*367 (Wire
    1063211381uid 2482,0
    1063311382shape (OrthoPolyLine
     
    1066811417on &134
    1066911418)
    10670 *342 (Wire
     11419*368 (Wire
    1067111420uid 2488,0
    1067211421shape (OrthoPolyLine
     
    1070711456on &135
    1070811457)
    10709 *343 (Wire
     11458*369 (Wire
    1071011459uid 2494,0
    1071111460shape (OrthoPolyLine
     
    1074611495on &136
    1074711496)
    10748 *344 (Wire
     11497*370 (Wire
    1074911498uid 2500,0
    1075011499shape (OrthoPolyLine
     
    1078311532on &137
    1078411533)
    10785 *345 (Wire
     11534*371 (Wire
    1078611535uid 2506,0
    1078711536shape (OrthoPolyLine
     
    1082011569on &138
    1082111570)
    10822 *346 (Wire
     11571*372 (Wire
    1082311572uid 2576,0
    1082411573shape (OrthoPolyLine
     
    1085811607on &139
    1085911608)
    10860 *347 (Wire
     11609*373 (Wire
    1086111610uid 2582,0
    1086211611shape (OrthoPolyLine
     
    1089611645on &140
    1089711646)
    10898 *348 (Wire
     11647*374 (Wire
    1089911648uid 2588,0
    1090011649shape (OrthoPolyLine
     
    1093511684on &141
    1093611685)
    10937 *349 (Wire
     11686*375 (Wire
    1093811687uid 2594,0
    1093911688shape (OrthoPolyLine
     
    1097311722on &142
    1097411723)
    10975 *350 (Wire
     11724*376 (Wire
    1097611725uid 2600,0
    1097711726shape (OrthoPolyLine
     
    1101111760on &143
    1101211761)
    11013 *351 (Wire
     11762*377 (Wire
    1101411763uid 2642,0
    1101511764shape (OrthoPolyLine
     
    1105111800on &144
    1105211801)
    11053 *352 (Wire
     11802*378 (Wire
    1105411803uid 2778,0
    1105511804shape (OrthoPolyLine
     
    1108911838on &145
    1109011839)
    11091 *353 (Wire
     11840*379 (Wire
    1109211841uid 2786,0
    1109311842shape (OrthoPolyLine
     
    1110411853)
    1110511854start &147
    11106 end &250
     11855end &252
    1110711856sat 32
    1110811857eat 32
     
    1112911878on &176
    1113011879)
    11131 *354 (Wire
     11880*380 (Wire
    1113211881uid 2876,0
    1113311882shape (OrthoPolyLine
     
    1114311892]
    1114411893)
    11145 start &335
     11894start &361
    1114611895end &103
    1114711896es 0
     
    1116711916on &65
    1116811917)
    11169 *355 (Wire
     11918*381 (Wire
    1117011919uid 3888,0
    1117111920optionalChildren [
    11172 *356 (BdJunction
     11921*382 (BdJunction
    1117311922uid 4230,0
    1117411923ps "OnConnectorStrategy"
     
    1118211931)
    1118311932)
    11184 *357 (BdJunction
     11933*383 (BdJunction
    1118511934uid 4244,0
    1118611935ps "OnConnectorStrategy"
     
    1123311982on &164
    1123411983)
    11235 *358 (Wire
     11984*384 (Wire
    1123611985uid 3984,0
    1123711986shape (OrthoPolyLine
     
    1127412023on &162
    1127512024)
    11276 *359 (Wire
     12025*385 (Wire
    1127712026uid 4042,0
    1127812027shape (OrthoPolyLine
     
    1131212061on &175
    1131312062)
    11314 *360 (Wire
     12063*386 (Wire
    1131512064uid 4226,0
    1131612065shape (OrthoPolyLine
     
    1132812077)
    1132912078start &174
    11330 end &356
     12079end &382
    1133112080sat 32
    1133212081eat 32
     
    1135212101on &164
    1135312102)
    11354 *361 (Wire
     12103*387 (Wire
    1135512104uid 4240,0
    1135612105shape (OrthoPolyLine
     
    1136712116]
    1136812117)
    11369 start &314
    11370 end &357
     12118start &316
     12119end &383
    1137112120sat 32
    1137212121eat 32
     
    1139112140on &164
    1139212141)
    11393 *362 (Wire
     12142*388 (Wire
    1139412143uid 4272,0
    1139512144shape (OrthoPolyLine
     
    1140512154)
    1140612155start &178
    11407 end &247
     12156end &249
    1140812157sat 32
    1140912158eat 32
     
    1142912178on &177
    1143012179)
    11431 *363 (Wire
     12180*389 (Wire
    1143212181uid 4401,0
    1143312182shape (OrthoPolyLine
     
    1146512214on &179
    1146612215)
    11467 *364 (Wire
     12216*390 (Wire
    1146812217uid 4407,0
    1146912218shape (OrthoPolyLine
     
    1150112250on &180
    1150212251)
    11503 *365 (Wire
     12252*391 (Wire
    1150412253uid 4419,0
    1150512254shape (OrthoPolyLine
     
    1153712286on &181
    1153812287)
    11539 *366 (Wire
     12288*392 (Wire
    1154012289uid 4537,0
    1154112290shape (OrthoPolyLine
     
    1154512294lineWidth 2
    1154612295)
    11547 xt "-26000,57000,18250,57000"
     12296xt "-37000,100000,-33000,100000"
    1154812297pts [
    11549 "18250,57000"
    11550 "-26000,57000"
    11551 ]
    11552 )
    11553 start &39
     12298"-33000,100000"
     12299"-37000,100000"
     12300]
     12301)
     12302start &328
    1155412303end &184
    1155512304sat 32
     
    1156912318isHidden 1
    1157012319)
    11571 xt "-20000,56000,-14100,57000"
     12320xt "-71000,99000,-65100,100000"
    1157212321st "drs_channel_id"
    11573 blo "-20000,56800"
     12322blo "-71000,99800"
    1157412323tm "WireNameMgr"
    1157512324)
     
    1157712326on &182
    1157812327)
    11579 *367 (Wire
     12328*393 (Wire
    1158012329uid 4545,0
    1158112330shape (OrthoPolyLine
     
    1159012339]
    1159112340)
    11592 start &291
     12341start &293
    1159312342end &185
    1159412343sat 32
     
    1161412363on &183
    1161512364)
    11616 *368 (Wire
     12365*394 (Wire
    1161712366uid 4671,0
    1161812367shape (OrthoPolyLine
     
    1165212401on &186
    1165312402)
    11654 *369 (Wire
     12403*395 (Wire
    1165512404uid 4679,0
    1165612405shape (OrthoPolyLine
     
    1169012439on &187
    1169112440)
    11692 *370 (Wire
     12441*396 (Wire
    1169312442uid 4687,0
    1169412443shape (OrthoPolyLine
     
    1172812477on &188
    1172912478)
    11730 *371 (Wire
     12479*397 (Wire
    1173112480uid 4695,0
    1173212481shape (OrthoPolyLine
     
    1176612515on &189
    1176712516)
    11768 *372 (Wire
     12517*398 (Wire
    1176912518uid 4743,0
    1177012519shape (OrthoPolyLine
     
    1180212551on &194
    1180312552)
    11804 *373 (Wire
     12553*399 (Wire
    1180512554uid 4757,0
    1180612555optionalChildren [
    11807 *374 (BdJunction
     12556*400 (BdJunction
    1180812557uid 6076,0
    1180912558ps "OnConnectorStrategy"
     
    1183312582)
    1183412583start &196
    11835 end *375 (BdJunction
     12584end *401 (BdJunction
    1183612585uid 6080,0
    1183712586ps "OnConnectorStrategy"
     
    1186712616on &173
    1186812617)
    11869 *376 (Wire
     12618*402 (Wire
    1187012619uid 4948,0
    1187112620shape (OrthoPolyLine
     
    1190512654on &210
    1190612655)
    11907 *377 (Wire
     12656*403 (Wire
    1190812657uid 4962,0
    1190912658shape (OrthoPolyLine
     
    1194312692on &212
    1194412693)
    11945 *378 (Wire
     12694*404 (Wire
    1194612695uid 5090,0
    1194712696shape (OrthoPolyLine
     
    1198012729)
    1198112730)
    11982 on &230
    11983 )
    11984 *379 (Wire
     12731on &232
     12732)
     12733*405 (Wire
    1198512734uid 5098,0
    1198612735shape (OrthoPolyLine
     
    1201412763)
    1201512764)
    12016 on &231
    12017 )
    12018 *380 (Wire
     12765on &233
     12766)
     12767*406 (Wire
    1201912768uid 5106,0
    1202012769shape (OrthoPolyLine
     
    1205112800)
    1205212801)
    12053 on &232
    12054 )
    12055 *381 (Wire
     12802on &234
     12803)
     12804*407 (Wire
    1205612805uid 5114,0
    1205712806shape (OrthoPolyLine
     
    1209012839)
    1209112840)
    12092 on &233
    12093 )
    12094 *382 (Wire
     12841on &235
     12842)
     12843*408 (Wire
    1209512844uid 5122,0
    1209612845shape (OrthoPolyLine
     
    1212712876)
    1212812877)
    12129 on &234
    12130 )
    12131 *383 (Wire
     12878on &236
     12879)
     12880*409 (Wire
    1213212881uid 5130,0
    1213312882shape (OrthoPolyLine
     
    1216412913)
    1216512914)
    12166 on &235
    12167 )
    12168 *384 (Wire
     12915on &237
     12916)
     12917*410 (Wire
    1216912918uid 5138,0
    1217012919optionalChildren [
    12171 *385 (BdJunction
     12920*411 (BdJunction
    1217212921uid 5400,0
    1217312922ps "OnConnectorStrategy"
     
    1221912968on &148
    1222012969)
    12221 *386 (Wire
     12970*412 (Wire
    1222212971uid 5146,0
    1222312972shape (OrthoPolyLine
     
    1223312982)
    1223412983start &222
    12235 end &258
     12984end &260
    1223612985es 0
    1223712986sat 32
     
    1225313002)
    1225413003)
    12255 on &236
    12256 )
    12257 *387 (Wire
     13004on &238
     13005)
     13006*413 (Wire
    1225813007uid 5168,0
    1225913008shape (OrthoPolyLine
     
    1226813017]
    1226913018)
    12270 start &385
     13019start &411
    1227113020end &125
    1227213021sat 32
     
    1229113040on &148
    1229213041)
    12293 *388 (Wire
     13042*414 (Wire
    1229413043uid 5184,0
    1229513044shape (OrthoPolyLine
     
    1232613075)
    1232713076)
    12328 on &237
    12329 )
    12330 *389 (Wire
     13077on &239
     13078)
     13079*415 (Wire
    1233113080uid 5190,0
    1233213081shape (OrthoPolyLine
     
    1236313112)
    1236413113)
    12365 on &238
    12366 )
    12367 *390 (Wire
     13114on &240
     13115)
     13116*416 (Wire
    1236813117uid 5222,0
    1236913118shape (OrthoPolyLine
     
    1240313152)
    1240413153)
    12405 on &239
    12406 )
    12407 *391 (Wire
     13154on &241
     13155)
     13156*417 (Wire
    1240813157uid 5281,0
    1240913158shape (OrthoPolyLine
     
    1244113190)
    1244213191)
    12443 on &240
    12444 )
    12445 *392 (Wire
     13192on &242
     13193)
     13194*418 (Wire
    1244613195uid 5404,0
    1244713196shape (OrthoPolyLine
     
    1245813207]
    1245913208)
    12460 start &259
     13209start &261
    1246113210end &50
    1246213211sat 32
     
    1247813227)
    1247913228)
    12480 on &243
    12481 )
    12482 *393 (Wire
     13229on &245
     13230)
     13231*419 (Wire
    1248313232uid 5474,0
    1248413233shape (OrthoPolyLine
     
    1249513244]
    1249613245)
    12497 start &262
     13246start &264
    1249813247end &52
    1249913248sat 32
     
    1251513264)
    1251613265)
    12517 on &241
    12518 )
    12519 *394 (Wire
     13266on &243
     13267)
     13268*420 (Wire
    1252013269uid 5480,0
    1252113270shape (OrthoPolyLine
     
    1253213281]
    1253313282)
    12534 start &261
     13283start &263
    1253513284end &51
    1253613285sat 32
     
    1255213301)
    1255313302)
    12554 on &242
    12555 )
    12556 *395 (Wire
     13303on &244
     13304)
     13305*421 (Wire
    1255713306uid 5582,0
    1255813307shape (OrthoPolyLine
     
    1258913338on &164
    1259013339)
    12591 *396 (Wire
     13340*422 (Wire
    1259213341uid 5602,0
    1259313342optionalChildren [
    12594 &375
    12595 *397 (BdJunction
     13343&401
     13344*423 (BdJunction
    1259613345uid 6086,0
    1259713346ps "OnConnectorStrategy"
     
    1262313372)
    1262413373start &23
    12625 end &310
     13374end &312
    1262613375sat 32
    1262713376eat 32
     
    1264613395on &173
    1264713396)
    12648 *398 (Wire
     13397*424 (Wire
    1264913398uid 5626,0
    1265013399shape (OrthoPolyLine
     
    1266013409)
    1266113410start &45
    12662 end &248
     13411end &250
    1266313412sat 32
    1266413413eat 32
     
    1268013429)
    1268113430)
    12682 on &245
    12683 )
    12684 *399 (Wire
     13431on &247
     13432)
     13433*425 (Wire
    1268513434uid 5634,0
    1268613435shape (OrthoPolyLine
     
    1269713446)
    1269813447start &38
    12699 end &249
     13448end &251
    1270013449sat 32
    1270113450eat 32
     
    1271813467)
    1271913468)
    12720 on &244
    12721 )
    12722 *400 (Wire
     13469on &246
     13470)
     13471*426 (Wire
    1272313472uid 5646,0
    1272413473shape (OrthoPolyLine
     
    1273413483]
    1273513484)
    12736 end &251
     13485end &253
    1273713486sat 16
    1273813487eat 32
     
    1275613505on &162
    1275713506)
    12758 *401 (Wire
     13507*427 (Wire
    1275913508uid 5745,0
    1276013509shape (OrthoPolyLine
     
    1277213521)
    1277313522start &54
    12774 end &260
     13523end &262
    1277513524sat 32
    1277613525eat 32
     
    1279213541)
    1279313542)
    12794 on &255
    12795 )
    12796 *402 (Wire
     13543on &257
     13544)
     13545*428 (Wire
    1279713546uid 5805,0
    1279813547shape (OrthoPolyLine
     
    1280713556]
    1280813557)
    12809 end &265
     13558end &267
    1281013559sat 16
    1281113560eat 32
     
    1282813577on &164
    1282913578)
    12830 *403 (Wire
     13579*429 (Wire
    1283113580uid 5813,0
    1283213581shape (OrthoPolyLine
     
    1284113590]
    1284213591)
    12843 start &257
    12844 end &276
     13592start &259
     13593end &278
    1284513594sat 32
    1284613595eat 32
     
    1286413613)
    1286513614)
    12866 on &272
    12867 )
    12868 *404 (Wire
     13615on &274
     13616)
     13617*430 (Wire
    1286913618uid 5821,0
    1287013619shape (OrthoPolyLine
     
    1287913628]
    1288013629)
    12881 start &268
    12882 end &277
     13630start &270
     13631end &279
    1288313632sat 32
    1288413633eat 32
     
    1290213651)
    1290313652)
    12904 on &273
    12905 )
    12906 *405 (Wire
     13653on &275
     13654)
     13655*431 (Wire
    1290713656uid 5829,0
    1290813657shape (OrthoPolyLine
     
    1291713666]
    1291813667)
    12919 start &263
    12920 end &278
     13668start &265
     13669end &280
    1292113670sat 32
    1292213671eat 32
     
    1294013689)
    1294113690)
    12942 on &274
    12943 )
    12944 *406 (Wire
     13691on &276
     13692)
     13693*432 (Wire
    1294513694uid 5837,0
    1294613695shape (OrthoPolyLine
     
    1295613705]
    1295713706)
    12958 start &264
    12959 end &279
     13707start &266
     13708end &281
    1296013709sat 32
    1296113710eat 32
     
    1298013729)
    1298113730)
    12982 on &275
    12983 )
    12984 *407 (Wire
     13731on &277
     13732)
     13733*433 (Wire
    1298513734uid 5950,0
    1298613735shape (OrthoPolyLine
     
    1301813767)
    1301913768)
    13020 on &280
    13021 )
    13022 *408 (Wire
     13769on &282
     13770)
     13771*434 (Wire
    1302313772uid 5962,0
    1302413773shape (OrthoPolyLine
     
    1305613805)
    1305713806)
    13058 on &281
    13059 )
    13060 *409 (Wire
     13807on &283
     13808)
     13809*435 (Wire
    1306113810uid 6002,0
    1306213811shape (OrthoPolyLine
     
    1309413843)
    1309513844)
    13096 on &283
    13097 )
    13098 *410 (Wire
     13845on &285
     13846)
     13847*436 (Wire
    1309913848uid 6008,0
    1310013849shape (OrthoPolyLine
     
    1311113860]
    1311213861)
    13113 start &266
     13862start &268
    1311413863end &59
    1311513864sat 32
     
    1313213881)
    1313313882)
    13134 on &282
    13135 )
    13136 *411 (Wire
     13883on &284
     13884)
     13885*437 (Wire
    1313713886uid 6018,0
    1313813887shape (OrthoPolyLine
     
    1317013919)
    1317113920)
    13172 on &284
    13173 )
    13174 *412 (Wire
     13921on &286
     13922)
     13923*438 (Wire
    1317513924uid 6064,0
    1317613925shape (OrthoPolyLine
     
    1320513954)
    1320613955)
    13207 on &236
    13208 )
    13209 *413 (Wire
     13956on &238
     13957)
     13958*439 (Wire
    1321013959uid 6072,0
    1321113960shape (OrthoPolyLine
     
    1322313972)
    1322413973start &167
    13225 end &374
     13974end &400
    1322613975sat 32
    1322713976eat 32
     
    1324613995on &173
    1324713996)
    13248 *414 (Wire
     13997*440 (Wire
    1324913998uid 6082,0
    1325013999shape (OrthoPolyLine
     
    1326214011)
    1326314012start &112
    13264 end &397
     14013end &423
    1326514014sat 32
    1326614015eat 32
     
    1328514034on &173
    1328614035)
    13287 *415 (Wire
     14036*441 (Wire
    1328814037uid 6160,0
    1328914038shape (OrthoPolyLine
     
    1329814047]
    1329914048)
    13300 start &267
    13301 end &286
     14049start &269
     14050end &288
    1330214051sat 32
    1330314052eat 32
     
    1332114070)
    1332214071)
    13323 on &285
    13324 )
    13325 *416 (Wire
     14072on &287
     14073)
     14074*442 (Wire
    1332614075uid 6276,0
    1332714076shape (OrthoPolyLine
     
    1335714106on &162
    1335814107)
    13359 *417 (Wire
     14108*443 (Wire
    1336014109uid 6362,0
    1336114110shape (OrthoPolyLine
     
    1337114120)
    1337214121start &94
    13373 end &288
     14122end &290
    1337414123sat 32
    1337514124eat 32
     
    1339314142)
    1339414143)
    13395 on &287
    13396 )
    13397 *418 (Wire
     14144on &289
     14145)
     14146*444 (Wire
    1339814147uid 6452,0
    1339914148shape (OrthoPolyLine
     
    1343014179)
    1343114180)
    13432 on &289
    13433 )
    13434 *419 (Wire
     14181on &291
     14182)
     14183*445 (Wire
    1343514184uid 6540,0
    1343614185shape (OrthoPolyLine
     
    1344514194]
    1344614195)
    13447 start &294
     14196start &296
    1344814197end &41
    1344914198sat 32
     
    1346714216)
    1346814217)
    13469 on &308
    13470 )
    13471 *420 (Wire
     14218on &310
     14219)
     14220*446 (Wire
    1347214221uid 6548,0
    1347314222shape (OrthoPolyLine
     
    1348214231]
    1348314232)
    13484 start &296
     14233start &298
    1348514234sat 32
    1348614235eat 16
     
    1350314252)
    1350414253)
    13505 on &289
    13506 )
    13507 *421 (Wire
     14254on &291
     14255)
     14256*447 (Wire
    1350814257uid 8416,0
    1350914258shape (OrthoPolyLine
     
    1353914288)
    1354014289)
    13541 on &320
     14290on &322
     14291)
     14292*448 (Wire
     14293uid 8510,0
     14294shape (OrthoPolyLine
     14295uid 8511,0
     14296va (VaSet
     14297vasetType 3
     14298lineWidth 2
     14299)
     14300xt "92750,110000,102000,110000"
     14301pts [
     14302"92750,110000"
     14303"102000,110000"
     14304]
     14305)
     14306start &227
     14307sat 32
     14308eat 16
     14309sty 1
     14310st 0
     14311sf 1
     14312si 0
     14313tg (WTG
     14314uid 8514,0
     14315ps "ConnStartEndStrategy"
     14316stg "STSignalDisplayStrategy"
     14317f (Text
     14318uid 8515,0
     14319va (VaSet
     14320)
     14321xt "94000,109000,101200,110000"
     14322st "drs_address : (3:0)"
     14323blo "94000,109800"
     14324tm "WireNameMgr"
     14325)
     14326)
     14327on &323
     14328)
     14329*449 (Wire
     14330uid 8518,0
     14331shape (OrthoPolyLine
     14332uid 8519,0
     14333va (VaSet
     14334vasetType 3
     14335)
     14336xt "92750,111000,102000,111000"
     14337pts [
     14338"92750,111000"
     14339"102000,111000"
     14340]
     14341)
     14342start &228
     14343sat 32
     14344eat 16
     14345st 0
     14346sf 1
     14347si 0
     14348tg (WTG
     14349uid 8522,0
     14350ps "ConnStartEndStrategy"
     14351stg "STSignalDisplayStrategy"
     14352f (Text
     14353uid 8523,0
     14354va (VaSet
     14355)
     14356xt "94000,110000,101200,111000"
     14357st "drs_address_mode"
     14358blo "94000,110800"
     14359tm "WireNameMgr"
     14360)
     14361)
     14362on &324
     14363)
     14364*450 (Wire
     14365uid 8577,0
     14366shape (OrthoPolyLine
     14367uid 8578,0
     14368va (VaSet
     14369vasetType 3
     14370lineWidth 2
     14371)
     14372xt "7000,57000,18250,57000"
     14373pts [
     14374"18250,57000"
     14375"7000,57000"
     14376]
     14377)
     14378start &39
     14379sat 32
     14380eat 16
     14381sty 1
     14382st 0
     14383sf 1
     14384si 0
     14385tg (WTG
     14386uid 8581,0
     14387ps "ConnStartEndStrategy"
     14388stg "STSignalDisplayStrategy"
     14389f (Text
     14390uid 8582,0
     14391va (VaSet
     14392)
     14393xt "8000,56000,18400,57000"
     14394st "drs_channel_internal : (3:0)"
     14395blo "8000,56800"
     14396tm "WireNameMgr"
     14397)
     14398)
     14399on &346
     14400)
     14401*451 (Wire
     14402uid 8587,0
     14403shape (OrthoPolyLine
     14404uid 8588,0
     14405va (VaSet
     14406vasetType 3
     14407lineWidth 2
     14408)
     14409xt "-29000,101000,-20000,101000"
     14410pts [
     14411"-20000,101000"
     14412"-29000,101000"
     14413]
     14414)
     14415end &326
     14416sat 16
     14417eat 32
     14418sty 1
     14419stc 0
     14420st 0
     14421sf 1
     14422si 0
     14423tg (WTG
     14424uid 8591,0
     14425ps "ConnStartEndStrategy"
     14426stg "STSignalDisplayStrategy"
     14427f (Text
     14428uid 8592,0
     14429va (VaSet
     14430)
     14431xt "-29000,100000,-20800,101000"
     14432st "drs_channel_internal"
     14433blo "-29000,100800"
     14434tm "WireNameMgr"
     14435)
     14436)
     14437on &346
     14438)
     14439*452 (Wire
     14440uid 8595,0
     14441shape (OrthoPolyLine
     14442uid 8596,0
     14443va (VaSet
     14444vasetType 3
     14445lineWidth 2
     14446)
     14447xt "-29000,99000,-20000,99000"
     14448pts [
     14449"-20000,99000"
     14450"-29000,99000"
     14451]
     14452)
     14453end &331
     14454sat 16
     14455eat 32
     14456sty 1
     14457stc 0
     14458st 0
     14459sf 1
     14460si 0
     14461tg (WTG
     14462uid 8599,0
     14463ps "ConnStartEndStrategy"
     14464stg "VerticalLayoutStrategy"
     14465f (Text
     14466uid 8600,0
     14467va (VaSet
     14468)
     14469xt "-29000,98000,-24000,99000"
     14470st "drs_address"
     14471blo "-29000,98800"
     14472tm "WireNameMgr"
     14473)
     14474)
     14475on &323
     14476)
     14477*453 (Wire
     14478uid 8603,0
     14479shape (OrthoPolyLine
     14480uid 8604,0
     14481va (VaSet
     14482vasetType 3
     14483)
     14484xt "-31000,103000,-20000,107000"
     14485pts [
     14486"-20000,107000"
     14487"-31000,107000"
     14488"-31000,103000"
     14489]
     14490)
     14491end &333
     14492sat 16
     14493eat 32
     14494stc 0
     14495st 0
     14496sf 1
     14497si 0
     14498tg (WTG
     14499uid 8607,0
     14500ps "ConnStartEndStrategy"
     14501stg "VerticalLayoutStrategy"
     14502f (Text
     14503uid 8608,0
     14504va (VaSet
     14505)
     14506xt "-29000,106000,-21800,107000"
     14507st "drs_address_mode"
     14508blo "-29000,106800"
     14509tm "WireNameMgr"
     14510)
     14511)
     14512on &324
    1354214513)
    1354314514]
     
    1355314524color "26368,26368,26368"
    1355414525)
    13555 packageList *422 (PackageList
     14526packageList *454 (PackageList
    1355614527uid 41,0
    1355714528stg "VerticalLayoutStrategy"
    1355814529textVec [
    13559 *423 (Text
     14530*455 (Text
    1356014531uid 42,0
    1356114532va (VaSet
     
    1356614537blo "-87000,1800"
    1356714538)
    13568 *424 (MLText
     14539*456 (MLText
    1356914540uid 43,0
    1357014541va (VaSet
     
    1359114562stg "VerticalLayoutStrategy"
    1359214563textVec [
    13593 *425 (Text
     14564*457 (Text
    1359414565uid 45,0
    1359514566va (VaSet
     
    1360114572blo "20000,800"
    1360214573)
    13603 *426 (Text
     14574*458 (Text
    1360414575uid 46,0
    1360514576va (VaSet
     
    1361114582blo "20000,1800"
    1361214583)
    13613 *427 (MLText
     14584*459 (MLText
    1361414585uid 47,0
    1361514586va (VaSet
     
    1362114592tm "BdCompilerDirectivesTextMgr"
    1362214593)
    13623 *428 (Text
     14594*460 (Text
    1362414595uid 48,0
    1362514596va (VaSet
     
    1363114602blo "20000,4800"
    1363214603)
    13633 *429 (MLText
     14604*461 (MLText
    1363414605uid 49,0
    1363514606va (VaSet
     
    1363914610tm "BdCompilerDirectivesTextMgr"
    1364014611)
    13641 *430 (Text
     14612*462 (Text
    1364214613uid 50,0
    1364314614va (VaSet
     
    1364914620blo "20000,5800"
    1365014621)
    13651 *431 (MLText
     14622*463 (MLText
    1365214623uid 51,0
    1365314624va (VaSet
     
    1366114632)
    1366214633windowSize "0,0,1281,1024"
    13663 viewArea "-62364,34906,23843,105999"
    13664 cachedDiagramExtent "-87000,0,162300,301700"
     14634viewArea "-73966,37109,33461,125703"
     14635cachedDiagramExtent "-100999,0,162300,301700"
    1366514636pageSetupInfo (PageSetupInfo
    1366614637ptrCmd "eDocPrintPro,winspool,"
     
    1368714658hasePageBreakOrigin 1
    1368814659pageBreakOrigin "-73000,0"
    13689 lastUid 8460,0
     14660lastUid 8614,0
    1369014661defaultCommentText (CommentText
    1369114662shape (Rectangle
     
    1374914720stg "VerticalLayoutStrategy"
    1375014721textVec [
    13751 *432 (Text
     14722*464 (Text
    1375214723va (VaSet
    1375314724font "Arial,8,1"
     
    1375814729tm "BdLibraryNameMgr"
    1375914730)
    13760 *433 (Text
     14731*465 (Text
    1376114732va (VaSet
    1376214733font "Arial,8,1"
     
    1376714738tm "BlkNameMgr"
    1376814739)
    13769 *434 (Text
     14740*466 (Text
    1377014741va (VaSet
    1377114742font "Arial,8,1"
     
    1381814789stg "VerticalLayoutStrategy"
    1381914790textVec [
    13820 *435 (Text
     14791*467 (Text
    1382114792va (VaSet
    1382214793font "Arial,8,1"
     
    1382614797blo "550,4300"
    1382714798)
    13828 *436 (Text
     14799*468 (Text
    1382914800va (VaSet
    1383014801font "Arial,8,1"
     
    1383414805blo "550,5300"
    1383514806)
    13836 *437 (Text
     14807*469 (Text
    1383714808va (VaSet
    1383814809font "Arial,8,1"
     
    1388314854stg "VerticalLayoutStrategy"
    1388414855textVec [
    13885 *438 (Text
     14856*470 (Text
    1388614857va (VaSet
    1388714858font "Arial,8,1"
     
    1389214863tm "BdLibraryNameMgr"
    1389314864)
    13894 *439 (Text
     14865*471 (Text
    1389514866va (VaSet
    1389614867font "Arial,8,1"
     
    1390114872tm "CptNameMgr"
    1390214873)
    13903 *440 (Text
     14874*472 (Text
    1390414875va (VaSet
    1390514876font "Arial,8,1"
     
    1395514926stg "VerticalLayoutStrategy"
    1395614927textVec [
    13957 *441 (Text
     14928*473 (Text
    1395814929va (VaSet
    1395914930font "Arial,8,1"
     
    1396314934blo "500,4300"
    1396414935)
    13965 *442 (Text
     14936*474 (Text
    1396614937va (VaSet
    1396714938font "Arial,8,1"
     
    1397114942blo "500,5300"
    1397214943)
    13973 *443 (Text
     14944*475 (Text
    1397414945va (VaSet
    1397514946font "Arial,8,1"
     
    1401614987stg "VerticalLayoutStrategy"
    1401714988textVec [
    14018 *444 (Text
     14989*476 (Text
    1401914990va (VaSet
    1402014991font "Arial,8,1"
     
    1402414995blo "50,4300"
    1402514996)
    14026 *445 (Text
     14997*477 (Text
    1402714998va (VaSet
    1402814999font "Arial,8,1"
     
    1403215003blo "50,5300"
    1403315004)
    14034 *446 (Text
     15005*478 (Text
    1403515006va (VaSet
    1403615007font "Arial,8,1"
     
    1407315044stg "VerticalLayoutStrategy"
    1407415045textVec [
    14075 *447 (Text
     15046*479 (Text
    1407615047va (VaSet
    1407715048font "Arial,8,1"
     
    1408215053tm "HdlTextNameMgr"
    1408315054)
    14084 *448 (Text
     15055*480 (Text
    1408515056va (VaSet
    1408615057font "Arial,8,1"
     
    1448515456stg "VerticalLayoutStrategy"
    1448615457textVec [
    14487 *449 (Text
     15458*481 (Text
    1448815459va (VaSet
    1448915460font "Arial,8,1"
     
    1449315464blo "14100,20800"
    1449415465)
    14495 *450 (MLText
     15466*482 (MLText
    1449615467va (VaSet
    1449715468)
     
    1454515516stg "VerticalLayoutStrategy"
    1454615517textVec [
    14547 *451 (Text
     15518*483 (Text
    1454815519va (VaSet
    1454915520font "Arial,8,1"
     
    1455315524blo "14100,20800"
    1455415525)
    14555 *452 (MLText
     15526*484 (MLText
    1455615527va (VaSet
    1455715528)
     
    1467815649font "Arial,8,1"
    1467915650)
    14680 xt "-87000,85000,-82300,86000"
     15651xt "-87000,87400,-82300,88400"
    1468115652st "Post User:"
    14682 blo "-87000,85800"
     15653blo "-87000,88200"
    1468315654)
    1468415655postUserText (MLText
     
    1469315664commonDM (CommonDM
    1469415665ldm (LogicalDM
    14695 suid 183,0
     15666suid 190,0
    1469615667usingSuid 1
    14697 emptyRow *453 (LEmptyRow
     15668emptyRow *485 (LEmptyRow
    1469815669)
    1469915670uid 54,0
    1470015671optionalChildren [
    14701 *454 (RefLabelRowHdr
    14702 )
    14703 *455 (TitleRowHdr
    14704 )
    14705 *456 (FilterRowHdr
    14706 )
    14707 *457 (RefLabelColHdr
     15672*486 (RefLabelRowHdr
     15673)
     15674*487 (TitleRowHdr
     15675)
     15676*488 (FilterRowHdr
     15677)
     15678*489 (RefLabelColHdr
    1470815679tm "RefLabelColHdrMgr"
    1470915680)
    14710 *458 (RowExpandColHdr
     15681*490 (RowExpandColHdr
    1471115682tm "RowExpandColHdrMgr"
    1471215683)
    14713 *459 (GroupColHdr
     15684*491 (GroupColHdr
    1471415685tm "GroupColHdrMgr"
    1471515686)
    14716 *460 (NameColHdr
     15687*492 (NameColHdr
    1471715688tm "BlockDiagramNameColHdrMgr"
    1471815689)
    14719 *461 (ModeColHdr
     15690*493 (ModeColHdr
    1472015691tm "BlockDiagramModeColHdrMgr"
    1472115692)
    14722 *462 (TypeColHdr
     15693*494 (TypeColHdr
    1472315694tm "BlockDiagramTypeColHdrMgr"
    1472415695)
    14725 *463 (BoundsColHdr
     15696*495 (BoundsColHdr
    1472615697tm "BlockDiagramBoundsColHdrMgr"
    1472715698)
    14728 *464 (InitColHdr
     15699*496 (InitColHdr
    1472915700tm "BlockDiagramInitColHdrMgr"
    1473015701)
    14731 *465 (EolColHdr
     15702*497 (EolColHdr
    1473215703tm "BlockDiagramEolColHdrMgr"
    1473315704)
    14734 *466 (LeafLogPort
     15705*498 (LeafLogPort
    1473515706port (LogicalPort
    1473615707m 4
     
    1474615717uid 516,0
    1474715718)
    14748 *467 (LeafLogPort
     15719*499 (LeafLogPort
    1474915720port (LogicalPort
    1475015721m 4
     
    1475915730uid 518,0
    1476015731)
    14761 *468 (LeafLogPort
     15732*500 (LeafLogPort
    1476215733port (LogicalPort
    1476315734m 4
     
    1477215743uid 520,0
    1477315744)
    14774 *469 (LeafLogPort
     15745*501 (LeafLogPort
    1477515746port (LogicalPort
    1477615747m 4
     
    1478515756uid 530,0
    1478615757)
    14787 *470 (LeafLogPort
     15758*502 (LeafLogPort
    1478815759port (LogicalPort
    1478915760m 4
     
    1479815769uid 532,0
    1479915770)
    14800 *471 (LeafLogPort
     15771*503 (LeafLogPort
    1480115772port (LogicalPort
    1480215773m 1
     
    1481115782uid 534,0
    1481215783)
    14813 *472 (LeafLogPort
     15784*504 (LeafLogPort
    1481415785port (LogicalPort
    1481515786m 1
     
    1482415795uid 536,0
    1482515796)
    14826 *473 (LeafLogPort
     15797*505 (LeafLogPort
    1482715798port (LogicalPort
    1482815799m 2
     
    1483715808uid 538,0
    1483815809)
    14839 *474 (LeafLogPort
     15810*506 (LeafLogPort
    1484015811port (LogicalPort
    1484115812m 1
     
    1485015821uid 540,0
    1485115822)
    14852 *475 (LeafLogPort
     15823*507 (LeafLogPort
    1485315824port (LogicalPort
    1485415825m 1
     
    1486315834uid 542,0
    1486415835)
    14865 *476 (LeafLogPort
     15836*508 (LeafLogPort
    1486615837port (LogicalPort
    1486715838m 1
     
    1487615847uid 546,0
    1487715848)
    14878 *477 (LeafLogPort
     15849*509 (LeafLogPort
    1487915850port (LogicalPort
    1488015851decl (Decl
     
    1488715858uid 548,0
    1488815859)
    14889 *478 (LeafLogPort
     15860*510 (LeafLogPort
    1489015861port (LogicalPort
    1489115862decl (Decl
     
    1490115872uid 1455,0
    1490215873)
    14903 *479 (LeafLogPort
     15874*511 (LeafLogPort
    1490415875port (LogicalPort
    1490515876decl (Decl
     
    1491415885uid 1457,0
    1491515886)
    14916 *480 (LeafLogPort
     15887*512 (LeafLogPort
    1491715888port (LogicalPort
    1491815889decl (Decl
     
    1492615897uid 1694,0
    1492715898)
    14928 *481 (LeafLogPort
     15899*513 (LeafLogPort
    1492915900port (LogicalPort
    1493015901lang 2
     
    1494215913uid 1993,0
    1494315914)
    14944 *482 (LeafLogPort
     15915*514 (LeafLogPort
    1494515916port (LogicalPort
    1494615917m 4
     
    1495715928uid 2305,0
    1495815929)
    14959 *483 (LeafLogPort
     15930*515 (LeafLogPort
    1496015931port (LogicalPort
    1496115932lang 2
     
    1497015941uid 2510,0
    1497115942)
    14972 *484 (LeafLogPort
     15943*516 (LeafLogPort
    1497315944port (LogicalPort
    1497415945lang 2
     
    1498415955uid 2512,0
    1498515956)
    14986 *485 (LeafLogPort
     15957*517 (LeafLogPort
    1498715958port (LogicalPort
    1498815959lang 2
     
    1499915970uid 2514,0
    1500015971)
    15001 *486 (LeafLogPort
     15972*518 (LeafLogPort
    1500215973port (LogicalPort
    1500315974lang 2
     
    1501515986uid 2516,0
    1501615987)
    15017 *487 (LeafLogPort
     15988*519 (LeafLogPort
    1501815989port (LogicalPort
    1501915990lang 2
     
    1503016001uid 2518,0
    1503116002)
    15032 *488 (LeafLogPort
     16003*520 (LeafLogPort
    1503316004port (LogicalPort
    1503416005lang 2
     
    1504416015uid 2520,0
    1504516016)
    15046 *489 (LeafLogPort
     16017*521 (LeafLogPort
    1504716018port (LogicalPort
    1504816019lang 2
     
    1505816029uid 2522,0
    1505916030)
    15060 *490 (LeafLogPort
     16031*522 (LeafLogPort
    1506116032port (LogicalPort
    1506216033m 4
     
    1507016041uid 2604,0
    1507116042)
    15072 *491 (LeafLogPort
     16043*523 (LeafLogPort
    1507316044port (LogicalPort
    1507416045m 4
     
    1508316054uid 2606,0
    1508416055)
    15085 *492 (LeafLogPort
     16056*524 (LeafLogPort
    1508616057port (LogicalPort
    1508716058m 4
     
    1509616067uid 2608,0
    1509716068)
    15098 *493 (LeafLogPort
     16069*525 (LeafLogPort
    1509916070port (LogicalPort
    1510016071m 4
     
    1510816079uid 2610,0
    1510916080)
    15110 *494 (LeafLogPort
     16081*526 (LeafLogPort
    1511116082port (LogicalPort
    1511216083m 4
     
    1512016091uid 2612,0
    1512116092)
    15122 *495 (LeafLogPort
     16093*527 (LeafLogPort
    1512316094port (LogicalPort
    1512416095m 4
     
    1513316104uid 2646,0
    1513416105)
    15135 *496 (LeafLogPort
     16106*528 (LeafLogPort
    1513616107port (LogicalPort
    1513716108m 1
     
    1514616117uid 2812,0
    1514716118)
    15148 *497 (LeafLogPort
     16119*529 (LeafLogPort
    1514916120port (LogicalPort
    1515016121m 4
     
    1515816129uid 2962,0
    1515916130)
    15160 *498 (LeafLogPort
     16131*530 (LeafLogPort
    1516116132port (LogicalPort
    1516216133m 1
     
    1517016141uid 3902,0
    1517116142)
    15172 *499 (LeafLogPort
     16143*531 (LeafLogPort
    1517316144port (LogicalPort
    1517416145m 1
     
    1518216153uid 4070,0
    1518316154)
    15184 *500 (LeafLogPort
     16155*532 (LeafLogPort
    1518516156port (LogicalPort
    1518616157m 4
     
    1519416165uid 4212,0
    1519516166)
    15196 *501 (LeafLogPort
     16167*533 (LeafLogPort
    1519716168port (LogicalPort
    1519816169decl (Decl
     
    1520516176uid 4234,0
    1520616177)
    15207 *502 (LeafLogPort
     16178*534 (LeafLogPort
    1520816179port (LogicalPort
    1520916180decl (Decl
     
    1521716188uid 4262,0
    1521816189)
    15219 *503 (LeafLogPort
     16190*535 (LeafLogPort
    1522016191port (LogicalPort
    1522116192decl (Decl
     
    1522816199uid 4276,0
    1522916200)
    15230 *504 (LeafLogPort
     16201*536 (LeafLogPort
    1523116202port (LogicalPort
    1523216203m 4
     
    1524116212uid 4563,0
    1524216213)
    15243 *505 (LeafLogPort
     16214*537 (LeafLogPort
    1524416215port (LogicalPort
    1524516216m 4
     
    1525316224uid 4565,0
    1525416225)
    15255 *506 (LeafLogPort
     16226*538 (LeafLogPort
    1525616227port (LogicalPort
    1525716228m 4
     
    1526616237uid 4569,0
    1526716238)
    15268 *507 (LeafLogPort
     16239*539 (LeafLogPort
    1526916240port (LogicalPort
    1527016241m 1
     
    1528016251uid 4585,0
    1528116252)
    15282 *508 (LeafLogPort
     16253*540 (LeafLogPort
    1528316254port (LogicalPort
    1528416255m 1
     
    1529316264uid 4587,0
    1529416265)
    15295 *509 (LeafLogPort
     16266*541 (LeafLogPort
    1529616267port (LogicalPort
    1529716268decl (Decl
     
    1530416275uid 4733,0
    1530516276)
    15306 *510 (LeafLogPort
     16277*542 (LeafLogPort
    1530716278port (LogicalPort
    1530816279decl (Decl
     
    1531516286uid 4735,0
    1531616287)
    15317 *511 (LeafLogPort
     16288*543 (LeafLogPort
    1531816289port (LogicalPort
    1531916290decl (Decl
     
    1532616297uid 4737,0
    1532716298)
    15328 *512 (LeafLogPort
     16299*544 (LeafLogPort
    1532916300port (LogicalPort
    1533016301decl (Decl
     
    1533716308uid 4739,0
    1533816309)
    15339 *513 (LeafLogPort
     16310*545 (LeafLogPort
    1534016311port (LogicalPort
    1534116312m 4
     
    1534916320uid 4749,0
    1535016321)
    15351 *514 (LeafLogPort
     16322*546 (LeafLogPort
    1535216323port (LogicalPort
    1535316324m 1
     
    1536216333uid 4974,0
    1536316334)
    15364 *515 (LeafLogPort
     16335*547 (LeafLogPort
    1536516336port (LogicalPort
    1536616337m 1
     
    1537516346uid 4976,0
    1537616347)
    15377 *516 (LeafLogPort
     16348*548 (LeafLogPort
    1537816349port (LogicalPort
    1537916350m 4
     
    1538816359uid 5198,0
    1538916360)
    15390 *517 (LeafLogPort
     16361*549 (LeafLogPort
    1539116362port (LogicalPort
    1539216363m 4
     
    1540016371uid 5200,0
    1540116372)
    15402 *518 (LeafLogPort
     16373*550 (LeafLogPort
    1540316374port (LogicalPort
    1540416375m 4
     
    1541216383uid 5202,0
    1541316384)
    15414 *519 (LeafLogPort
     16385*551 (LeafLogPort
    1541516386port (LogicalPort
    1541616387m 4
     
    1542516396uid 5204,0
    1542616397)
    15427 *520 (LeafLogPort
     16398*552 (LeafLogPort
    1542816399port (LogicalPort
    1542916400m 4
     
    1543716408uid 5206,0
    1543816409)
    15439 *521 (LeafLogPort
     16410*553 (LeafLogPort
    1544016411port (LogicalPort
    1544116412m 4
     
    1544916420uid 5208,0
    1545016421)
    15451 *522 (LeafLogPort
     16422*554 (LeafLogPort
    1545216423port (LogicalPort
    1545316424m 4
     
    1546116432uid 5210,0
    1546216433)
    15463 *523 (LeafLogPort
     16434*555 (LeafLogPort
    1546416435port (LogicalPort
    1546516436m 4
     
    1547316444uid 5212,0
    1547416445)
    15475 *524 (LeafLogPort
     16446*556 (LeafLogPort
    1547616447port (LogicalPort
    1547716448m 4
     
    1548516456uid 5214,0
    1548616457)
    15487 *525 (LeafLogPort
     16458*557 (LeafLogPort
    1548816459port (LogicalPort
    1548916460m 1
     
    1550016471uid 5226,0
    1550116472)
    15502 *526 (LeafLogPort
     16473*558 (LeafLogPort
    1550316474port (LogicalPort
    1550416475m 4
     
    1551316484uid 5285,0
    1551416485)
    15515 *527 (LeafLogPort
     16486*559 (LeafLogPort
    1551616487port (LogicalPort
    1551716488m 4
     
    1552516496uid 5502,0
    1552616497)
    15527 *528 (LeafLogPort
     16498*560 (LeafLogPort
    1552816499port (LogicalPort
    1552916500m 4
     
    1553716508uid 5504,0
    1553816509)
    15539 *529 (LeafLogPort
     16510*561 (LeafLogPort
    1554016511port (LogicalPort
    1554116512m 4
     
    1554916520uid 5600,0
    1555016521)
    15551 *530 (LeafLogPort
     16522*562 (LeafLogPort
    1555216523port (LogicalPort
    1555316524lang 10
     
    1556316534uid 5642,0
    1556416535)
    15565 *531 (LeafLogPort
     16536*563 (LeafLogPort
    1556616537port (LogicalPort
    1556716538m 4
     
    1557516546uid 5644,0
    1557616547)
    15577 *532 (LeafLogPort
     16548*564 (LeafLogPort
    1557816549port (LogicalPort
    1557916550m 4
     
    1558816559uid 5751,0
    1558916560)
    15590 *533 (LeafLogPort
     16561*565 (LeafLogPort
    1559116562port (LogicalPort
    1559216563m 1
     
    1560016571uid 5867,0
    1560116572)
    15602 *534 (LeafLogPort
     16573*566 (LeafLogPort
    1560316574port (LogicalPort
    1560416575m 2
     
    1561416585uid 5869,0
    1561516586)
    15616 *535 (LeafLogPort
     16587*567 (LeafLogPort
    1561716588port (LogicalPort
    1561816589m 1
     
    1562616597uid 5871,0
    1562716598)
    15628 *536 (LeafLogPort
     16599*568 (LeafLogPort
    1562916600port (LogicalPort
    1563016601m 1
     
    1563916610uid 5873,0
    1564016611)
    15641 *537 (LeafLogPort
     16612*569 (LeafLogPort
    1564216613port (LogicalPort
    1564316614m 4
     
    1565216623uid 5966,0
    1565316624)
    15654 *538 (LeafLogPort
     16625*570 (LeafLogPort
    1565516626port (LogicalPort
    1565616627m 4
     
    1566416635uid 5968,0
    1566516636)
    15666 *539 (LeafLogPort
     16637*571 (LeafLogPort
    1566716638port (LogicalPort
    1566816639m 4
     
    1567716648uid 6022,0
    1567816649)
    15679 *540 (LeafLogPort
     16650*572 (LeafLogPort
    1568016651port (LogicalPort
    1568116652m 4
     
    1569016661uid 6024,0
    1569116662)
    15692 *541 (LeafLogPort
     16663*573 (LeafLogPort
    1569316664port (LogicalPort
    1569416665m 4
     
    1570216673uid 6026,0
    1570316674)
    15704 *542 (LeafLogPort
     16675*574 (LeafLogPort
    1570516676port (LogicalPort
    1570616677m 1
     
    1571516686uid 6172,0
    1571616687)
    15717 *543 (LeafLogPort
     16688*575 (LeafLogPort
    1571816689port (LogicalPort
    1571916690m 1
     
    1573016701uid 6374,0
    1573116702)
    15732 *544 (LeafLogPort
     16703*576 (LeafLogPort
    1573316704port (LogicalPort
    1573416705m 4
     
    1574316714uid 6464,0
    1574416715)
    15745 *545 (LeafLogPort
     16716*577 (LeafLogPort
    1574616717port (LogicalPort
    1574716718m 4
     
    1575616727uid 6554,0
    1575716728)
    15758 *546 (LeafLogPort
     16729*578 (LeafLogPort
    1575916730port (LogicalPort
    1576016731lang 2
     
    1576816739)
    1576916740uid 8420,0
     16741)
     16742*579 (LeafLogPort
     16743port (LogicalPort
     16744m 4
     16745decl (Decl
     16746n "drs_address"
     16747t "std_logic_vector"
     16748b "(3 DOWNTO 0)"
     16749o 82
     16750suid 184,0
     16751i "(others => '0')"
     16752)
     16753)
     16754uid 8609,0
     16755)
     16756*580 (LeafLogPort
     16757port (LogicalPort
     16758m 4
     16759decl (Decl
     16760n "drs_address_mode"
     16761t "std_logic"
     16762o 83
     16763suid 185,0
     16764)
     16765)
     16766uid 8611,0
     16767)
     16768*581 (LeafLogPort
     16769port (LogicalPort
     16770m 4
     16771decl (Decl
     16772n "drs_channel_internal"
     16773t "std_logic_vector"
     16774b "(3 DOWNTO 0)"
     16775o 84
     16776suid 187,0
     16777i "(others => '0')"
     16778)
     16779)
     16780uid 8613,0
    1577016781)
    1577116782]
     
    1577616787uid 67,0
    1577716788optionalChildren [
    15778 *547 (Sheet
     16789*582 (Sheet
    1577916790sheetRow (SheetRow
    1578016791headerVa (MVa
     
    1579316804font "Tahoma,10,0"
    1579416805)
    15795 emptyMRCItem *548 (MRCItem
    15796 litem &453
    15797 pos 81
     16806emptyMRCItem *583 (MRCItem
     16807litem &485
     16808pos 84
    1579816809dimension 20
    1579916810)
    1580016811uid 69,0
    1580116812optionalChildren [
    15802 *549 (MRCItem
    15803 litem &454
     16813*584 (MRCItem
     16814litem &486
    1580416815pos 0
    1580516816dimension 20
    1580616817uid 70,0
    1580716818)
    15808 *550 (MRCItem
    15809 litem &455
     16819*585 (MRCItem
     16820litem &487
    1581016821pos 1
    1581116822dimension 23
    1581216823uid 71,0
    1581316824)
    15814 *551 (MRCItem
    15815 litem &456
     16825*586 (MRCItem
     16826litem &488
    1581616827pos 2
    1581716828hidden 1
     
    1581916830uid 72,0
    1582016831)
    15821 *552 (MRCItem
    15822 litem &466
     16832*587 (MRCItem
     16833litem &498
    1582316834pos 31
    1582416835dimension 20
    1582516836uid 517,0
    1582616837)
    15827 *553 (MRCItem
    15828 litem &467
     16838*588 (MRCItem
     16839litem &499
    1582916840pos 32
    1583016841dimension 20
    1583116842uid 519,0
    1583216843)
    15833 *554 (MRCItem
    15834 litem &468
     16844*589 (MRCItem
     16845litem &500
    1583516846pos 33
    1583616847dimension 20
    1583716848uid 521,0
    1583816849)
    15839 *555 (MRCItem
    15840 litem &469
     16850*590 (MRCItem
     16851litem &501
    1584116852pos 34
    1584216853dimension 20
    1584316854uid 531,0
    1584416855)
    15845 *556 (MRCItem
    15846 litem &470
     16856*591 (MRCItem
     16857litem &502
    1584716858pos 35
    1584816859dimension 20
    1584916860uid 533,0
    1585016861)
    15851 *557 (MRCItem
    15852 litem &471
     16862*592 (MRCItem
     16863litem &503
    1585316864pos 0
    1585416865dimension 20
    1585516866uid 535,0
    1585616867)
    15857 *558 (MRCItem
    15858 litem &472
     16868*593 (MRCItem
     16869litem &504
    1585916870pos 1
    1586016871dimension 20
    1586116872uid 537,0
    1586216873)
    15863 *559 (MRCItem
    15864 litem &473
     16874*594 (MRCItem
     16875litem &505
    1586516876pos 2
    1586616877dimension 20
    1586716878uid 539,0
    1586816879)
    15869 *560 (MRCItem
    15870 litem &474
     16880*595 (MRCItem
     16881litem &506
    1587116882pos 3
    1587216883dimension 20
    1587316884uid 541,0
    1587416885)
    15875 *561 (MRCItem
    15876 litem &475
     16886*596 (MRCItem
     16887litem &507
    1587716888pos 4
    1587816889dimension 20
    1587916890uid 543,0
    1588016891)
    15881 *562 (MRCItem
    15882 litem &476
     16892*597 (MRCItem
     16893litem &508
    1588316894pos 5
    1588416895dimension 20
    1588516896uid 547,0
    1588616897)
    15887 *563 (MRCItem
    15888 litem &477
     16898*598 (MRCItem
     16899litem &509
    1588916900pos 6
    1589016901dimension 20
    1589116902uid 549,0
    1589216903)
    15893 *564 (MRCItem
    15894 litem &478
     16904*599 (MRCItem
     16905litem &510
    1589516906pos 8
    1589616907dimension 20
    1589716908uid 1456,0
    1589816909)
    15899 *565 (MRCItem
    15900 litem &479
     16910*600 (MRCItem
     16911litem &511
    1590116912pos 7
    1590216913dimension 20
    1590316914uid 1458,0
    1590416915)
    15905 *566 (MRCItem
    15906 litem &480
     16916*601 (MRCItem
     16917litem &512
    1590716918pos 9
    1590816919dimension 20
    1590916920uid 1695,0
    1591016921)
    15911 *567 (MRCItem
    15912 litem &481
     16922*602 (MRCItem
     16923litem &513
    1591316924pos 36
    1591416925dimension 20
    1591516926uid 1994,0
    1591616927)
    15917 *568 (MRCItem
    15918 litem &482
     16928*603 (MRCItem
     16929litem &514
    1591916930pos 37
    1592016931dimension 20
    1592116932uid 2306,0
    1592216933)
    15923 *569 (MRCItem
    15924 litem &483
     16934*604 (MRCItem
     16935litem &515
    1592516936pos 38
    1592616937dimension 20
    1592716938uid 2511,0
    1592816939)
    15929 *570 (MRCItem
    15930 litem &484
     16940*605 (MRCItem
     16941litem &516
    1593116942pos 39
    1593216943dimension 20
    1593316944uid 2513,0
    1593416945)
    15935 *571 (MRCItem
    15936 litem &485
     16946*606 (MRCItem
     16947litem &517
    1593716948pos 40
    1593816949dimension 20
    1593916950uid 2515,0
    1594016951)
    15941 *572 (MRCItem
    15942 litem &486
     16952*607 (MRCItem
     16953litem &518
    1594316954pos 41
    1594416955dimension 20
    1594516956uid 2517,0
    1594616957)
    15947 *573 (MRCItem
    15948 litem &487
     16958*608 (MRCItem
     16959litem &519
    1594916960pos 42
    1595016961dimension 20
    1595116962uid 2519,0
    1595216963)
    15953 *574 (MRCItem
    15954 litem &488
     16964*609 (MRCItem
     16965litem &520
    1595516966pos 43
    1595616967dimension 20
    1595716968uid 2521,0
    1595816969)
    15959 *575 (MRCItem
    15960 litem &489
     16970*610 (MRCItem
     16971litem &521
    1596116972pos 44
    1596216973dimension 20
    1596316974uid 2523,0
    1596416975)
    15965 *576 (MRCItem
    15966 litem &490
     16976*611 (MRCItem
     16977litem &522
    1596716978pos 45
    1596816979dimension 20
    1596916980uid 2605,0
    1597016981)
    15971 *577 (MRCItem
    15972 litem &491
     16982*612 (MRCItem
     16983litem &523
    1597316984pos 46
    1597416985dimension 20
    1597516986uid 2607,0
    1597616987)
    15977 *578 (MRCItem
    15978 litem &492
     16988*613 (MRCItem
     16989litem &524
    1597916990pos 47
    1598016991dimension 20
    1598116992uid 2609,0
    1598216993)
    15983 *579 (MRCItem
    15984 litem &493
     16994*614 (MRCItem
     16995litem &525
    1598516996pos 48
    1598616997dimension 20
    1598716998uid 2611,0
    1598816999)
    15989 *580 (MRCItem
    15990 litem &494
     17000*615 (MRCItem
     17001litem &526
    1599117002pos 49
    1599217003dimension 20
    1599317004uid 2613,0
    1599417005)
    15995 *581 (MRCItem
    15996 litem &495
     17006*616 (MRCItem
     17007litem &527
    1599717008pos 50
    1599817009dimension 20
    1599917010uid 2647,0
    1600017011)
    16001 *582 (MRCItem
    16002 litem &496
     17012*617 (MRCItem
     17013litem &528
    1600317014pos 10
    1600417015dimension 20
    1600517016uid 2813,0
    1600617017)
    16007 *583 (MRCItem
    16008 litem &497
     17018*618 (MRCItem
     17019litem &529
    1600917020pos 51
    1601017021dimension 20
    1601117022uid 2963,0
    1601217023)
    16013 *584 (MRCItem
    16014 litem &498
     17024*619 (MRCItem
     17025litem &530
    1601517026pos 11
    1601617027dimension 20
    1601717028uid 3903,0
    1601817029)
    16019 *585 (MRCItem
    16020 litem &499
     17030*620 (MRCItem
     17031litem &531
    1602117032pos 12
    1602217033dimension 20
    1602317034uid 4071,0
    1602417035)
    16025 *586 (MRCItem
    16026 litem &500
     17036*621 (MRCItem
     17037litem &532
    1602717038pos 52
    1602817039dimension 20
    1602917040uid 4213,0
    1603017041)
    16031 *587 (MRCItem
    16032 litem &501
     17042*622 (MRCItem
     17043litem &533
    1603317044pos 13
    1603417045dimension 20
    1603517046uid 4235,0
    1603617047)
    16037 *588 (MRCItem
    16038 litem &502
     17048*623 (MRCItem
     17049litem &534
    1603917050pos 14
    1604017051dimension 20
    1604117052uid 4263,0
    1604217053)
    16043 *589 (MRCItem
    16044 litem &503
     17054*624 (MRCItem
     17055litem &535
    1604517056pos 15
    1604617057dimension 20
    1604717058uid 4277,0
    1604817059)
    16049 *590 (MRCItem
    16050 litem &504
     17060*625 (MRCItem
     17061litem &536
    1605117062pos 53
    1605217063dimension 20
    1605317064uid 4564,0
    1605417065)
    16055 *591 (MRCItem
    16056 litem &505
     17066*626 (MRCItem
     17067litem &537
    1605717068pos 54
    1605817069dimension 20
    1605917070uid 4566,0
    1606017071)
    16061 *592 (MRCItem
    16062 litem &506
     17072*627 (MRCItem
     17073litem &538
    1606317074pos 55
    1606417075dimension 20
    1606517076uid 4570,0
    1606617077)
    16067 *593 (MRCItem
    16068 litem &507
     17078*628 (MRCItem
     17079litem &539
    1606917080pos 16
    1607017081dimension 20
    1607117082uid 4586,0
    1607217083)
    16073 *594 (MRCItem
    16074 litem &508
     17084*629 (MRCItem
     17085litem &540
    1607517086pos 17
    1607617087dimension 20
    1607717088uid 4588,0
    1607817089)
    16079 *595 (MRCItem
    16080 litem &509
     17090*630 (MRCItem
     17091litem &541
    1608117092pos 18
    1608217093dimension 20
    1608317094uid 4734,0
    1608417095)
    16085 *596 (MRCItem
    16086 litem &510
     17096*631 (MRCItem
     17097litem &542
    1608717098pos 19
    1608817099dimension 20
    1608917100uid 4736,0
    1609017101)
    16091 *597 (MRCItem
    16092 litem &511
     17102*632 (MRCItem
     17103litem &543
    1609317104pos 20
    1609417105dimension 20
    1609517106uid 4738,0
    1609617107)
    16097 *598 (MRCItem
    16098 litem &512
     17108*633 (MRCItem
     17109litem &544
    1609917110pos 21
    1610017111dimension 20
    1610117112uid 4740,0
    1610217113)
    16103 *599 (MRCItem
    16104 litem &513
     17114*634 (MRCItem
     17115litem &545
    1610517116pos 56
    1610617117dimension 20
    1610717118uid 4750,0
    1610817119)
    16109 *600 (MRCItem
    16110 litem &514
     17120*635 (MRCItem
     17121litem &546
    1611117122pos 22
    1611217123dimension 20
    1611317124uid 4975,0
    1611417125)
    16115 *601 (MRCItem
    16116 litem &515
     17126*636 (MRCItem
     17127litem &547
    1611717128pos 23
    1611817129dimension 20
    1611917130uid 4977,0
    1612017131)
    16121 *602 (MRCItem
    16122 litem &516
     17132*637 (MRCItem
     17133litem &548
    1612317134pos 57
    1612417135dimension 20
    1612517136uid 5199,0
    1612617137)
    16127 *603 (MRCItem
    16128 litem &517
     17138*638 (MRCItem
     17139litem &549
    1612917140pos 58
    1613017141dimension 20
    1613117142uid 5201,0
    1613217143)
    16133 *604 (MRCItem
    16134 litem &518
     17144*639 (MRCItem
     17145litem &550
    1613517146pos 59
    1613617147dimension 20
    1613717148uid 5203,0
    1613817149)
    16139 *605 (MRCItem
    16140 litem &519
     17150*640 (MRCItem
     17151litem &551
    1614117152pos 60
    1614217153dimension 20
    1614317154uid 5205,0
    1614417155)
    16145 *606 (MRCItem
    16146 litem &520
     17156*641 (MRCItem
     17157litem &552
    1614717158pos 61
    1614817159dimension 20
    1614917160uid 5207,0
    1615017161)
    16151 *607 (MRCItem
    16152 litem &521
     17162*642 (MRCItem
     17163litem &553
    1615317164pos 62
    1615417165dimension 20
    1615517166uid 5209,0
    1615617167)
    16157 *608 (MRCItem
    16158 litem &522
     17168*643 (MRCItem
     17169litem &554
    1615917170pos 63
    1616017171dimension 20
    1616117172uid 5211,0
    1616217173)
    16163 *609 (MRCItem
    16164 litem &523
     17174*644 (MRCItem
     17175litem &555
    1616517176pos 64
    1616617177dimension 20
    1616717178uid 5213,0
    1616817179)
    16169 *610 (MRCItem
    16170 litem &524
     17180*645 (MRCItem
     17181litem &556
    1617117182pos 65
    1617217183dimension 20
    1617317184uid 5215,0
    1617417185)
    16175 *611 (MRCItem
    16176 litem &525
     17186*646 (MRCItem
     17187litem &557
    1617717188pos 24
    1617817189dimension 20
    1617917190uid 5227,0
    1618017191)
    16181 *612 (MRCItem
    16182 litem &526
     17192*647 (MRCItem
     17193litem &558
    1618317194pos 66
    1618417195dimension 20
    1618517196uid 5286,0
    1618617197)
    16187 *613 (MRCItem
    16188 litem &527
     17198*648 (MRCItem
     17199litem &559
    1618917200pos 67
    1619017201dimension 20
    1619117202uid 5503,0
    1619217203)
    16193 *614 (MRCItem
    16194 litem &528
     17204*649 (MRCItem
     17205litem &560
    1619517206pos 68
    1619617207dimension 20
    1619717208uid 5505,0
    1619817209)
    16199 *615 (MRCItem
    16200 litem &529
     17210*650 (MRCItem
     17211litem &561
    1620117212pos 69
    1620217213dimension 20
    1620317214uid 5601,0
    1620417215)
    16205 *616 (MRCItem
    16206 litem &530
     17216*651 (MRCItem
     17217litem &562
    1620717218pos 70
    1620817219dimension 20
    1620917220uid 5643,0
    1621017221)
    16211 *617 (MRCItem
    16212 litem &531
     17222*652 (MRCItem
     17223litem &563
    1621317224pos 71
    1621417225dimension 20
    1621517226uid 5645,0
    1621617227)
    16217 *618 (MRCItem
    16218 litem &532
     17228*653 (MRCItem
     17229litem &564
    1621917230pos 72
    1622017231dimension 20
    1622117232uid 5752,0
    1622217233)
    16223 *619 (MRCItem
    16224 litem &533
     17234*654 (MRCItem
     17235litem &565
    1622517236pos 25
    1622617237dimension 20
    1622717238uid 5868,0
    1622817239)
    16229 *620 (MRCItem
    16230 litem &534
     17240*655 (MRCItem
     17241litem &566
    1623117242pos 26
    1623217243dimension 20
    1623317244uid 5870,0
    1623417245)
    16235 *621 (MRCItem
    16236 litem &535
     17246*656 (MRCItem
     17247litem &567
    1623717248pos 27
    1623817249dimension 20
    1623917250uid 5872,0
    1624017251)
    16241 *622 (MRCItem
    16242 litem &536
     17252*657 (MRCItem
     17253litem &568
    1624317254pos 28
    1624417255dimension 20
    1624517256uid 5874,0
    1624617257)
    16247 *623 (MRCItem
    16248 litem &537
     17258*658 (MRCItem
     17259litem &569
    1624917260pos 73
    1625017261dimension 20
    1625117262uid 5967,0
    1625217263)
    16253 *624 (MRCItem
    16254 litem &538
     17264*659 (MRCItem
     17265litem &570
    1625517266pos 74
    1625617267dimension 20
    1625717268uid 5969,0
    1625817269)
    16259 *625 (MRCItem
    16260 litem &539
     17270*660 (MRCItem
     17271litem &571
    1626117272pos 75
    1626217273dimension 20
    1626317274uid 6023,0
    1626417275)
    16265 *626 (MRCItem
    16266 litem &540
     17276*661 (MRCItem
     17277litem &572
    1626717278pos 76
    1626817279dimension 20
    1626917280uid 6025,0
    1627017281)
    16271 *627 (MRCItem
    16272 litem &541
     17282*662 (MRCItem
     17283litem &573
    1627317284pos 77
    1627417285dimension 20
    1627517286uid 6027,0
    1627617287)
    16277 *628 (MRCItem
    16278 litem &542
     17288*663 (MRCItem
     17289litem &574
    1627917290pos 29
    1628017291dimension 20
    1628117292uid 6173,0
    1628217293)
    16283 *629 (MRCItem
    16284 litem &543
     17294*664 (MRCItem
     17295litem &575
    1628517296pos 30
    1628617297dimension 20
    1628717298uid 6375,0
    1628817299)
    16289 *630 (MRCItem
    16290 litem &544
     17300*665 (MRCItem
     17301litem &576
    1629117302pos 78
    1629217303dimension 20
    1629317304uid 6465,0
    1629417305)
    16295 *631 (MRCItem
    16296 litem &545
     17306*666 (MRCItem
     17307litem &577
    1629717308pos 79
    1629817309dimension 20
    1629917310uid 6555,0
    1630017311)
    16301 *632 (MRCItem
    16302 litem &546
     17312*667 (MRCItem
     17313litem &578
    1630317314pos 80
    1630417315dimension 20
    1630517316uid 8421,0
     17317)
     17318*668 (MRCItem
     17319litem &579
     17320pos 81
     17321dimension 20
     17322uid 8610,0
     17323)
     17324*669 (MRCItem
     17325litem &580
     17326pos 82
     17327dimension 20
     17328uid 8612,0
     17329)
     17330*670 (MRCItem
     17331litem &581
     17332pos 83
     17333dimension 20
     17334uid 8614,0
    1630617335)
    1630717336]
     
    1631617345uid 73,0
    1631717346optionalChildren [
    16318 *633 (MRCItem
    16319 litem &457
     17347*671 (MRCItem
     17348litem &489
    1632017349pos 0
    1632117350dimension 20
    1632217351uid 74,0
    1632317352)
    16324 *634 (MRCItem
    16325 litem &459
     17353*672 (MRCItem
     17354litem &491
    1632617355pos 1
    1632717356dimension 50
    1632817357uid 75,0
    1632917358)
    16330 *635 (MRCItem
    16331 litem &460
     17359*673 (MRCItem
     17360litem &492
    1633217361pos 2
    1633317362dimension 100
    1633417363uid 76,0
    1633517364)
    16336 *636 (MRCItem
    16337 litem &461
     17365*674 (MRCItem
     17366litem &493
    1633817367pos 3
    1633917368dimension 50
    1634017369uid 77,0
    1634117370)
    16342 *637 (MRCItem
    16343 litem &462
     17371*675 (MRCItem
     17372litem &494
    1634417373pos 4
    1634517374dimension 100
    1634617375uid 78,0
    1634717376)
    16348 *638 (MRCItem
    16349 litem &463
     17377*676 (MRCItem
     17378litem &495
    1635017379pos 5
    1635117380dimension 100
    1635217381uid 79,0
    1635317382)
    16354 *639 (MRCItem
    16355 litem &464
     17383*677 (MRCItem
     17384litem &496
    1635617385pos 6
    1635717386dimension 50
    1635817387uid 80,0
    1635917388)
    16360 *640 (MRCItem
    16361 litem &465
     17389*678 (MRCItem
     17390litem &497
    1636217391pos 7
    1636317392dimension 80
     
    1637917408genericsCommonDM (CommonDM
    1638017409ldm (LogicalDM
    16381 emptyRow *641 (LEmptyRow
     17410emptyRow *679 (LEmptyRow
    1638217411)
    1638317412uid 83,0
    1638417413optionalChildren [
    16385 *642 (RefLabelRowHdr
    16386 )
    16387 *643 (TitleRowHdr
    16388 )
    16389 *644 (FilterRowHdr
    16390 )
    16391 *645 (RefLabelColHdr
     17414*680 (RefLabelRowHdr
     17415)
     17416*681 (TitleRowHdr
     17417)
     17418*682 (FilterRowHdr
     17419)
     17420*683 (RefLabelColHdr
    1639217421tm "RefLabelColHdrMgr"
    1639317422)
    16394 *646 (RowExpandColHdr
     17423*684 (RowExpandColHdr
    1639517424tm "RowExpandColHdrMgr"
    1639617425)
    16397 *647 (GroupColHdr
     17426*685 (GroupColHdr
    1639817427tm "GroupColHdrMgr"
    1639917428)
    16400 *648 (NameColHdr
     17429*686 (NameColHdr
    1640117430tm "GenericNameColHdrMgr"
    1640217431)
    16403 *649 (TypeColHdr
     17432*687 (TypeColHdr
    1640417433tm "GenericTypeColHdrMgr"
    1640517434)
    16406 *650 (InitColHdr
     17435*688 (InitColHdr
    1640717436tm "GenericValueColHdrMgr"
    1640817437)
    16409 *651 (PragmaColHdr
     17438*689 (PragmaColHdr
    1641017439tm "GenericPragmaColHdrMgr"
    1641117440)
    16412 *652 (EolColHdr
     17441*690 (EolColHdr
    1641317442tm "GenericEolColHdrMgr"
    1641417443)
    16415 *653 (LogGeneric
     17444*691 (LogGeneric
    1641617445generic (GiElement
    1641717446name "RAMADDRWIDTH64b"
     
    1642817457uid 95,0
    1642917458optionalChildren [
    16430 *654 (Sheet
     17459*692 (Sheet
    1643117460sheetRow (SheetRow
    1643217461headerVa (MVa
     
    1644517474font "Tahoma,10,0"
    1644617475)
    16447 emptyMRCItem *655 (MRCItem
    16448 litem &641
     17476emptyMRCItem *693 (MRCItem
     17477litem &679
    1644917478pos 1
    1645017479dimension 20
     
    1645217481uid 97,0
    1645317482optionalChildren [
    16454 *656 (MRCItem
    16455 litem &642
     17483*694 (MRCItem
     17484litem &680
    1645617485pos 0
    1645717486dimension 20
    1645817487uid 98,0
    1645917488)
    16460 *657 (MRCItem
    16461 litem &643
     17489*695 (MRCItem
     17490litem &681
    1646217491pos 1
    1646317492dimension 23
    1646417493uid 99,0
    1646517494)
    16466 *658 (MRCItem
    16467 litem &644
     17495*696 (MRCItem
     17496litem &682
    1646817497pos 2
    1646917498hidden 1
     
    1647117500uid 100,0
    1647217501)
    16473 *659 (MRCItem
    16474 litem &653
     17502*697 (MRCItem
     17503litem &691
    1647517504pos 0
    1647617505dimension 20
     
    1648817517uid 101,0
    1648917518optionalChildren [
    16490 *660 (MRCItem
    16491 litem &645
     17519*698 (MRCItem
     17520litem &683
    1649217521pos 0
    1649317522dimension 20
    1649417523uid 102,0
    1649517524)
    16496 *661 (MRCItem
    16497 litem &647
     17525*699 (MRCItem
     17526litem &685
    1649817527pos 1
    1649917528dimension 50
    1650017529uid 103,0
    1650117530)
    16502 *662 (MRCItem
    16503 litem &648
     17531*700 (MRCItem
     17532litem &686
    1650417533pos 2
    1650517534dimension 186
    1650617535uid 104,0
    1650717536)
    16508 *663 (MRCItem
    16509 litem &649
     17537*701 (MRCItem
     17538litem &687
    1651017539pos 3
    1651117540dimension 96
    1651217541uid 105,0
    1651317542)
    16514 *664 (MRCItem
    16515 litem &650
     17543*702 (MRCItem
     17544litem &688
    1651617545pos 4
    1651717546dimension 50
    1651817547uid 106,0
    1651917548)
    16520 *665 (MRCItem
    16521 litem &651
     17549*703 (MRCItem
     17550litem &689
    1652217551pos 5
    1652317552dimension 50
    1652417553uid 107,0
    1652517554)
    16526 *666 (MRCItem
    16527 litem &652
     17555*704 (MRCItem
     17556litem &690
    1652817557pos 6
    1652917558dimension 80
  • FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/w5300_modul/symbol.sb

    r246 r260  
    3535ldm (LogicalDM
    3636ordering 1
    37 suid 34,0
     37suid 35,0
    3838usingSuid 1
    3939emptyRow *1 (LEmptyRow
     
    469469uid 890,0
    470470)
     471*43 (LogPort
     472port (LogicalPort
     473m 1
     474decl (Decl
     475n "sclk_enable"
     476t "std_logic"
     477eolc "-- default DWRITE HIGH."
     478posAdd 0
     479o 30
     480suid 35,0
     481i "'1'"
     482)
     483)
     484uid 922,0
     485)
    471486]
    472487)
     
    476491uid 188,0
    477492optionalChildren [
    478 *43 (Sheet
     493*44 (Sheet
    479494sheetRow (SheetRow
    480495headerVa (MVa
     
    493508font "Tahoma,10,0"
    494509)
    495 emptyMRCItem *44 (MRCItem
     510emptyMRCItem *45 (MRCItem
    496511litem &1
    497512pos 3
     
    500515uid 190,0
    501516optionalChildren [
    502 *45 (MRCItem
     517*46 (MRCItem
    503518litem &2
    504519pos 0
     
    506521uid 191,0
    507522)
    508 *46 (MRCItem
     523*47 (MRCItem
    509524litem &3
    510525pos 1
     
    512527uid 192,0
    513528)
    514 *47 (MRCItem
     529*48 (MRCItem
    515530litem &4
    516531pos 2
     
    519534uid 193,0
    520535)
    521 *48 (MRCItem
     536*49 (MRCItem
    522537litem &14
    523538pos 0
     
    525540uid 137,0
    526541)
    527 *49 (MRCItem
     542*50 (MRCItem
    528543litem &15
    529544pos 1
     
    531546uid 139,0
    532547)
    533 *50 (MRCItem
     548*51 (MRCItem
    534549litem &16
    535550pos 2
     
    537552uid 141,0
    538553)
    539 *51 (MRCItem
     554*52 (MRCItem
    540555litem &17
    541556pos 3
     
    543558uid 143,0
    544559)
    545 *52 (MRCItem
     560*53 (MRCItem
    546561litem &18
    547562pos 4
     
    549564uid 145,0
    550565)
    551 *53 (MRCItem
     566*54 (MRCItem
    552567litem &19
    553568pos 5
     
    555570uid 147,0
    556571)
    557 *54 (MRCItem
     572*55 (MRCItem
    558573litem &20
    559574pos 6
     
    561576uid 151,0
    562577)
    563 *55 (MRCItem
     578*56 (MRCItem
    564579litem &21
    565580pos 7
     
    567582uid 153,0
    568583)
    569 *56 (MRCItem
     584*57 (MRCItem
    570585litem &22
    571586pos 8
     
    573588uid 155,0
    574589)
    575 *57 (MRCItem
     590*58 (MRCItem
    576591litem &23
    577592pos 9
     
    579594uid 157,0
    580595)
    581 *58 (MRCItem
     596*59 (MRCItem
    582597litem &24
    583598pos 10
     
    585600uid 159,0
    586601)
    587 *59 (MRCItem
     602*60 (MRCItem
    588603litem &25
    589604pos 11
     
    591606uid 161,0
    592607)
    593 *60 (MRCItem
     608*61 (MRCItem
    594609litem &26
    595610pos 12
     
    597612uid 163,0
    598613)
    599 *61 (MRCItem
     614*62 (MRCItem
    600615litem &27
    601616pos 13
     
    603618uid 165,0
    604619)
    605 *62 (MRCItem
     620*63 (MRCItem
    606621litem &28
    607622pos 14
     
    609624uid 359,0
    610625)
    611 *63 (MRCItem
     626*64 (MRCItem
    612627litem &29
    613628pos 15
     
    615630uid 361,0
    616631)
    617 *64 (MRCItem
     632*65 (MRCItem
    618633litem &30
    619634pos 16
     
    621636uid 391,0
    622637)
    623 *65 (MRCItem
     638*66 (MRCItem
    624639litem &31
    625640pos 17
     
    627642uid 497,0
    628643)
    629 *66 (MRCItem
     644*67 (MRCItem
    630645litem &32
    631646pos 18
     
    633648uid 527,0
    634649)
    635 *67 (MRCItem
     650*68 (MRCItem
    636651litem &33
    637652pos 19
     
    639654uid 582,0
    640655)
    641 *68 (MRCItem
     656*69 (MRCItem
    642657litem &34
    643658pos 20
     
    645660uid 584,0
    646661)
    647 *69 (MRCItem
     662*70 (MRCItem
    648663litem &35
    649664pos 21
     
    651666uid 586,0
    652667)
    653 *70 (MRCItem
     668*71 (MRCItem
    654669litem &36
    655670pos 22
     
    657672uid 588,0
    658673)
    659 *71 (MRCItem
     674*72 (MRCItem
    660675litem &37
    661676pos 23
     
    663678uid 590,0
    664679)
    665 *72 (MRCItem
     680*73 (MRCItem
    666681litem &38
    667682pos 24
     
    669684uid 592,0
    670685)
    671 *73 (MRCItem
     686*74 (MRCItem
    672687litem &39
    673688pos 25
     
    675690uid 646,0
    676691)
    677 *74 (MRCItem
     692*75 (MRCItem
    678693litem &40
    679694pos 26
     
    681696uid 676,0
    682697)
    683 *75 (MRCItem
     698*76 (MRCItem
    684699litem &41
    685700pos 27
     
    687702uid 729,0
    688703)
    689 *76 (MRCItem
     704*77 (MRCItem
    690705litem &42
    691706pos 28
    692707dimension 20
    693708uid 891,0
     709)
     710*78 (MRCItem
     711litem &43
     712pos 29
     713dimension 20
     714uid 923,0
    694715)
    695716]
     
    704725uid 194,0
    705726optionalChildren [
    706 *77 (MRCItem
     727*79 (MRCItem
    707728litem &5
    708729pos 0
     
    710731uid 195,0
    711732)
    712 *78 (MRCItem
     733*80 (MRCItem
    713734litem &7
    714735pos 1
     
    716737uid 196,0
    717738)
    718 *79 (MRCItem
     739*81 (MRCItem
    719740litem &8
    720741pos 2
     
    722743uid 197,0
    723744)
    724 *80 (MRCItem
     745*82 (MRCItem
    725746litem &9
    726747pos 3
     
    728749uid 198,0
    729750)
    730 *81 (MRCItem
     751*83 (MRCItem
    731752litem &10
    732753pos 4
     
    734755uid 199,0
    735756)
    736 *82 (MRCItem
     757*84 (MRCItem
    737758litem &11
    738759pos 5
     
    740761uid 200,0
    741762)
    742 *83 (MRCItem
     763*85 (MRCItem
    743764litem &12
    744765pos 6
     
    746767uid 201,0
    747768)
    748 *84 (MRCItem
     769*86 (MRCItem
    749770litem &13
    750771pos 7
     
    767788genericsCommonDM (CommonDM
    768789ldm (LogicalDM
    769 emptyRow *85 (LEmptyRow
     790emptyRow *87 (LEmptyRow
    770791)
    771792uid 204,0
    772793optionalChildren [
    773 *86 (RefLabelRowHdr
    774 )
    775 *87 (TitleRowHdr
    776 )
    777 *88 (FilterRowHdr
    778 )
    779 *89 (RefLabelColHdr
     794*88 (RefLabelRowHdr
     795)
     796*89 (TitleRowHdr
     797)
     798*90 (FilterRowHdr
     799)
     800*91 (RefLabelColHdr
    780801tm "RefLabelColHdrMgr"
    781802)
    782 *90 (RowExpandColHdr
     803*92 (RowExpandColHdr
    783804tm "RowExpandColHdrMgr"
    784805)
    785 *91 (GroupColHdr
     806*93 (GroupColHdr
    786807tm "GroupColHdrMgr"
    787808)
    788 *92 (NameColHdr
     809*94 (NameColHdr
    789810tm "GenericNameColHdrMgr"
    790811)
    791 *93 (TypeColHdr
     812*95 (TypeColHdr
    792813tm "GenericTypeColHdrMgr"
    793814)
    794 *94 (InitColHdr
     815*96 (InitColHdr
    795816tm "GenericValueColHdrMgr"
    796817)
    797 *95 (PragmaColHdr
     818*97 (PragmaColHdr
    798819tm "GenericPragmaColHdrMgr"
    799820)
    800 *96 (EolColHdr
     821*98 (EolColHdr
    801822tm "GenericEolColHdrMgr"
    802823)
    803 *97 (LogGeneric
     824*99 (LogGeneric
    804825generic (GiElement
    805826name "RAM_ADDR_WIDTH"
     
    807828value "14"
    808829)
    809 uid 892,0
     830uid 924,0
    810831)
    811832]
     
    816837uid 216,0
    817838optionalChildren [
    818 *98 (Sheet
     839*100 (Sheet
    819840sheetRow (SheetRow
    820841headerVa (MVa
     
    833854font "Tahoma,10,0"
    834855)
    835 emptyMRCItem *99 (MRCItem
    836 litem &85
     856emptyMRCItem *101 (MRCItem
     857litem &87
    837858pos 3
    838859dimension 20
     
    840861uid 218,0
    841862optionalChildren [
    842 *100 (MRCItem
    843 litem &86
     863*102 (MRCItem
     864litem &88
    844865pos 0
    845866dimension 20
    846867uid 219,0
    847868)
    848 *101 (MRCItem
    849 litem &87
     869*103 (MRCItem
     870litem &89
    850871pos 1
    851872dimension 23
    852873uid 220,0
    853874)
    854 *102 (MRCItem
    855 litem &88
     875*104 (MRCItem
     876litem &90
    856877pos 2
    857878hidden 1
     
    859880uid 221,0
    860881)
    861 *103 (MRCItem
    862 litem &97
     882*105 (MRCItem
     883litem &99
    863884pos 0
    864885dimension 20
    865 uid 893,0
     886uid 925,0
    866887)
    867888]
     
    876897uid 222,0
    877898optionalChildren [
    878 *104 (MRCItem
    879 litem &89
     899*106 (MRCItem
     900litem &91
    880901pos 0
    881902dimension 20
    882903uid 223,0
    883904)
    884 *105 (MRCItem
    885 litem &91
     905*107 (MRCItem
     906litem &93
    886907pos 1
    887908dimension 50
    888909uid 224,0
    889910)
    890 *106 (MRCItem
    891 litem &92
     911*108 (MRCItem
     912litem &94
    892913pos 2
    893914dimension 100
    894915uid 225,0
    895916)
    896 *107 (MRCItem
    897 litem &93
     917*109 (MRCItem
     918litem &95
    898919pos 3
    899920dimension 100
    900921uid 226,0
    901922)
    902 *108 (MRCItem
    903 litem &94
     923*110 (MRCItem
     924litem &96
    904925pos 4
    905926dimension 50
    906927uid 227,0
    907928)
    908 *109 (MRCItem
    909 litem &95
     929*111 (MRCItem
     930litem &97
    910931pos 5
    911932dimension 50
    912933uid 228,0
    913934)
    914 *110 (MRCItem
    915 litem &96
     935*112 (MRCItem
     936litem &98
    916937pos 6
    917938dimension 80
     
    936957(vvPair
    937958variable "HDLDir"
    938 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hdl"
     959value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl"
    939960)
    940961(vvPair
    941962variable "HDSDir"
    942 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
     963value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    943964)
    944965(vvPair
    945966variable "SideDataDesignDir"
    946 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.info"
     967value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.info"
    947968)
    948969(vvPair
    949970variable "SideDataUserDir"
    950 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.user"
     971value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.user"
    951972)
    952973(vvPair
    953974variable "SourceDir"
    954 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
     975value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds"
    955976)
    956977(vvPair
     
    968989(vvPair
    969990variable "d"
    970 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul"
     991value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul"
    971992)
    972993(vvPair
    973994variable "d_logical"
    974 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul"
     995value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul"
    975996)
    976997(vvPair
    977998variable "date"
    978 value "02.07.2010"
     999value "21.07.2010"
    9791000)
    9801001(vvPair
    9811002variable "day"
    982 value "Fr"
     1003value "Mi"
    9831004)
    9841005(vvPair
    9851006variable "day_long"
    986 value "Freitag"
     1007value "Mittwoch"
    9871008)
    9881009(vvPair
    9891010variable "dd"
    990 value "02"
     1011value "21"
    9911012)
    9921013(vvPair
     
    10161037(vvPair
    10171038variable "host"
    1018 value "TU-CC4900F8C7D2"
     1039value "E5B-LABOR6"
    10191040)
    10201041(vvPair
     
    10681089(vvPair
    10691090variable "p"
    1070 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
     1091value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
    10711092)
    10721093(vvPair
    10731094variable "p_logical"
    1074 value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
     1095value "C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
    10751096)
    10761097(vvPair
     
    11281149(vvPair
    11291150variable "time"
    1130 value "10:38:02"
     1151value "11:26:29"
    11311152)
    11321153(vvPair
     
    11591180uid 173,0
    11601181optionalChildren [
    1161 *111 (SymbolBody
     1182*113 (SymbolBody
    11621183uid 8,0
    11631184optionalChildren [
    1164 *112 (CptPort
     1185*114 (CptPort
    11651186uid 48,0
    11661187ps "OnEdgeStrategy"
     
    12081229)
    12091230)
    1210 *113 (CptPort
     1231*115 (CptPort
    12111232uid 53,0
    12121233ps "OnEdgeStrategy"
     
    12571278)
    12581279)
    1259 *114 (CptPort
     1280*116 (CptPort
    12601281uid 58,0
    12611282ps "OnEdgeStrategy"
     
    13061327)
    13071328)
    1308 *115 (CptPort
     1329*117 (CptPort
    13091330uid 63,0
    13101331ps "OnEdgeStrategy"
     
    13551376)
    13561377)
    1357 *116 (CptPort
     1378*118 (CptPort
    13581379uid 68,0
    13591380ps "OnEdgeStrategy"
     
    14041425)
    14051426)
    1406 *117 (CptPort
     1427*119 (CptPort
    14071428uid 73,0
    14081429ps "OnEdgeStrategy"
     
    14531474)
    14541475)
    1455 *118 (CptPort
     1476*120 (CptPort
    14561477uid 83,0
    14571478ps "OnEdgeStrategy"
     
    15021523)
    15031524)
    1504 *119 (CptPort
     1525*121 (CptPort
    15051526uid 88,0
    15061527ps "OnEdgeStrategy"
     
    15481569)
    15491570)
    1550 *120 (CptPort
     1571*122 (CptPort
    15511572uid 93,0
    15521573ps "OnEdgeStrategy"
     
    15951616)
    15961617)
    1597 *121 (CptPort
     1618*123 (CptPort
    15981619uid 98,0
    15991620ps "OnEdgeStrategy"
     
    16421663)
    16431664)
    1644 *122 (CptPort
     1665*124 (CptPort
    16451666uid 103,0
    16461667ps "OnEdgeStrategy"
     
    16891710)
    16901711)
    1691 *123 (CptPort
     1712*125 (CptPort
    16921713uid 108,0
    16931714ps "OnEdgeStrategy"
     
    17381759)
    17391760)
    1740 *124 (CptPort
     1761*126 (CptPort
    17411762uid 113,0
    17421763ps "OnEdgeStrategy"
     
    17841805)
    17851806)
    1786 *125 (CptPort
     1807*127 (CptPort
    17871808uid 118,0
    17881809ps "OnEdgeStrategy"
     
    18331854)
    18341855)
    1835 *126 (CommentText
     1856*128 (CommentText
    18361857uid 299,0
    18371858ps "EdgeToEdgeStrategy"
     
    18671888excludeCommentLeader 1
    18681889)
    1869 *127 (CptPort
     1890*129 (CptPort
    18701891uid 348,0
    18711892ps "OnEdgeStrategy"
     
    19111932)
    19121933)
    1913 *128 (CptPort
     1934*130 (CptPort
    19141935uid 353,0
    19151936ps "OnEdgeStrategy"
     
    19551976)
    19561977)
    1957 *129 (CptPort
     1978*131 (CptPort
    19581979uid 385,0
    19591980ps "OnEdgeStrategy"
     
    20002021)
    20012022)
    2002 *130 (CptPort
     2023*132 (CptPort
    20032024uid 491,0
    20042025ps "OnEdgeStrategy"
     
    20492070)
    20502071)
    2051 *131 (CptPort
     2072*133 (CptPort
    20522073uid 521,0
    20532074ps "OnEdgeStrategy"
     
    20962117)
    20972118)
    2098 *132 (CptPort
     2119*134 (CptPort
    20992120uid 551,0
    21002121ps "OnEdgeStrategy"
     
    21432164)
    21442165)
    2145 *133 (CptPort
     2166*135 (CptPort
    21462167uid 556,0
    21472168ps "OnEdgeStrategy"
     
    21872208)
    21882209)
    2189 *134 (CptPort
     2210*136 (CptPort
    21902211uid 561,0
    21912212ps "OnEdgeStrategy"
     
    22352256)
    22362257)
    2237 *135 (CptPort
     2258*137 (CptPort
    22382259uid 566,0
    22392260ps "OnEdgeStrategy"
     
    22792300)
    22802301)
    2281 *136 (CptPort
     2302*138 (CptPort
    22822303uid 571,0
    22832304ps "OnEdgeStrategy"
     
    23262347)
    23272348)
    2328 *137 (CptPort
     2349*139 (CptPort
    23292350uid 576,0
    23302351ps "OnEdgeStrategy"
     
    23732394)
    23742395)
    2375 *138 (CptPort
     2396*140 (CptPort
    23762397uid 640,0
    23772398ps "OnEdgeStrategy"
     
    24202441)
    24212442)
    2422 *139 (CptPort
     2443*141 (CptPort
    24232444uid 670,0
    24242445ps "OnEdgeStrategy"
     
    24692490)
    24702491)
    2471 *140 (CptPort
     2492*142 (CptPort
    24722493uid 723,0
    24732494ps "OnEdgeStrategy"
     
    25012522font "Courier New,8,0"
    25022523)
    2503 xt "2000,38400,47500,39200"
    2504 st "dwrite_enable     : OUT    std_logic                      := '0' -- default DWRITE low.
     2524xt "2000,38400,48500,39200"
     2525st "dwrite_enable     : OUT    std_logic                      := '0' ; -- default DWRITE low.
    25052526"
    25062527)
     
    25192540)
    25202541)
    2521 *141 (CptPort
     2542*143 (CptPort
    25222543uid 885,0
    25232544ps "OnEdgeStrategy"
     
    25662587)
    25672588)
     2589*144 (CptPort
     2590uid 917,0
     2591ps "OnEdgeStrategy"
     2592shape (Triangle
     2593uid 918,0
     2594ro 90
     2595va (VaSet
     2596vasetType 1
     2597fg "0,65535,0"
     2598)
     2599xt "77000,30625,77750,31375"
     2600)
     2601tg (CPTG
     2602uid 919,0
     2603ps "CptPortTextPlaceStrategy"
     2604stg "RightVerticalLayoutStrategy"
     2605f (Text
     2606uid 920,0
     2607va (VaSet
     2608)
     2609xt "71300,30500,76000,31500"
     2610st "sclk_enable"
     2611ju 2
     2612blo "76000,31300"
     2613tm "CptPortNameMgr"
     2614)
     2615)
     2616dt (MLText
     2617uid 921,0
     2618va (VaSet
     2619font "Courier New,8,0"
     2620)
     2621xt "2000,39200,48000,40000"
     2622st "sclk_enable       : OUT    std_logic                      := '1' -- default DWRITE HIGH.
     2623"
     2624)
     2625thePort (LogicalPort
     2626m 1
     2627decl (Decl
     2628n "sclk_enable"
     2629t "std_logic"
     2630eolc "-- default DWRITE HIGH."
     2631posAdd 0
     2632o 30
     2633suid 35,0
     2634i "'1'"
     2635)
     2636)
     2637)
    25682638]
    25692639shape (Rectangle
     
    25752645lineWidth 2
    25762646)
    2577 xt "43000,2000,77000,31000"
     2647xt "43000,2000,77000,32000"
    25782648)
    25792649oxt "43000,2000,56000,22000"
     
    26012671)
    26022672)
    2603 gi *142 (GenericInterface
     2673gi *145 (GenericInterface
    26042674uid 13,0
    26052675ps "CenterOffsetStrategy"
     
    26372707)
    26382708)
    2639 *143 (Grouping
     2709*146 (Grouping
    26402710uid 16,0
    26412711optionalChildren [
    2642 *144 (CommentText
     2712*147 (CommentText
    26432713uid 18,0
    26442714shape (Rectangle
     
    26582728bg "0,0,32768"
    26592729)
    2660 xt "47200,30000,56700,31000"
     2730xt "47200,30000,56500,31000"
    26612731st "
    26622732by %user on %dd %month %year
     
    26712741titleBlock 1
    26722742)
    2673 *145 (CommentText
     2743*148 (CommentText
    26742744uid 21,0
    26752745shape (Rectangle
     
    27022772titleBlock 1
    27032773)
    2704 *146 (CommentText
     2774*149 (CommentText
    27052775uid 24,0
    27062776shape (Rectangle
     
    27332803titleBlock 1
    27342804)
    2735 *147 (CommentText
     2805*150 (CommentText
    27362806uid 27,0
    27372807shape (Rectangle
     
    27642834titleBlock 1
    27652835)
    2766 *148 (CommentText
     2836*151 (CommentText
    27672837uid 30,0
    27682838shape (Rectangle
     
    27942864titleBlock 1
    27952865)
    2796 *149 (CommentText
     2866*152 (CommentText
    27972867uid 33,0
    27982868shape (Rectangle
     
    28252895titleBlock 1
    28262896)
    2827 *150 (CommentText
     2897*153 (CommentText
    28282898uid 36,0
    28292899shape (Rectangle
     
    28562926titleBlock 1
    28572927)
    2858 *151 (CommentText
     2928*154 (CommentText
    28592929uid 39,0
    28602930shape (Rectangle
     
    28872957titleBlock 1
    28882958)
    2889 *152 (CommentText
     2959*155 (CommentText
    28902960uid 42,0
    28912961shape (Rectangle
     
    29182988titleBlock 1
    29192989)
    2920 *153 (CommentText
     2990*156 (CommentText
    29212991uid 45,0
    29222992shape (Rectangle
     
    29623032oxt "14000,66000,55000,71000"
    29633033)
    2964 *154 (CommentText
     3034*157 (CommentText
    29653035uid 133,0
    29663036shape (Rectangle
     
    30053075color "26368,26368,26368"
    30063076)
    3007 packageList *155 (PackageList
     3077packageList *158 (PackageList
    30083078uid 170,0
    30093079stg "VerticalLayoutStrategy"
    30103080textVec [
    3011 *156 (Text
     3081*159 (Text
    30123082uid 171,0
    30133083va (VaSet
     
    30183088blo "0,1800"
    30193089)
    3020 *157 (MLText
     3090*160 (MLText
    30213091uid 172,0
    30223092va (VaSet
     
    31193189)
    31203190)
    3121 gi *158 (GenericInterface
     3191gi *161 (GenericInterface
    31223192ps "CenterOffsetStrategy"
    31233193matrix (Matrix
     
    32163286)
    32173287)
    3218 DeclarativeBlock *159 (SymDeclBlock
     3288DeclarativeBlock *162 (SymDeclBlock
    32193289uid 1,0
    32203290stg "SymDeclLayoutStrategy"
     
    32423312font "Arial,8,1"
    32433313)
    3244 xt "0,39200,2400,40200"
     3314xt "0,40000,2400,41000"
    32453315st "User:"
    3246 blo "0,40000"
     3316blo "0,40800"
    32473317)
    32483318internalLabel (Text
     
    32613331font "Courier New,8,0"
    32623332)
    3263 xt "2000,40200,2000,40200"
     3333xt "2000,41000,2000,41000"
    32643334tm "SyDeclarativeTextMgr"
    32653335)
     
    32743344)
    32753345)
    3276 lastUid 893,0
     3346lastUid 925,0
    32773347activeModelName "Symbol:CDM"
    32783348)
Note: See TracChangeset for help on using the changeset viewer.