Ignore:
Timestamp:
01/04/11 10:03:04 (14 years ago)
Author:
neise
Message:
 
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl
Files:
8 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/clock_generator_var_ps_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 10:49:24 30.08.2010
     5--          at - 14:00:25 01.10.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    4141-- Created:
    4242--          by - dneise.UNKNOWN (E5B-LABOR6)
    43 --          at - 10:49:25 30.08.2010
     43--          at - 14:00:25 01.10.2010
    4444--
    4545-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/control_unit_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 09:42:04 30.07.2010
     5--          at - 17:00:23 03.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    2323      config_data_valid : OUT    std_logic;
    2424      config_ready      : OUT    std_logic;
     25      -- --
     26      config_rw_ack     : OUT    std_logic  := '0';
     27      -- --
     28      config_rw_ready   : OUT    std_logic  := '0';
    2529      config_started    : OUT    std_logic  := '0';
    2630      dac_array         : OUT    dac_array_type;
    27       drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
    28       drs_address_mode  : OUT    std_logic;
    2931      roi_array         : OUT    roi_array_type;
    3032      config_data       : INOUT  std_logic_vector (15 DOWNTO 0)
     
    4042-- Created:
    4143--          by - dneise.UNKNOWN (E5B-LABOR6)
    42 --          at - 09:42:04 30.07.2010
     44--          at - 17:00:23 03.01.2011
    4345--
    4446-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    9193      config_data_valid : OUT    std_logic                      := '0';
    9294      config_busy       : OUT    std_logic                      := '0';
     95      -- --
     96      config_rw_ack     : OUT    std_logic                      := '0';
     97      -- --
     98      config_rw_ready   : OUT    std_logic                      := '0';
     99      -- --
    93100      ram_addr          : OUT    std_logic_vector (ADDR_WIDTH - 1 DOWNTO 0);
    94101      ram_data_in       : OUT    std_logic_vector (15 DOWNTO 0);
    95102      ram_write_en      : OUT    std_logic_vector (0 DOWNTO 0);
    96103      dac_array         : OUT    dac_array_type ;
    97       roi_array         : OUT    roi_array_type ;
    98       drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
    99       drs_address_mode  : OUT    std_logic
     104      roi_array         : OUT    roi_array_type
    100105   );
    101106   END COMPONENT;
     
    137142         config_data_valid => config_data_valid,
    138143         config_busy       => config_busy,
     144         config_rw_ack     => config_rw_ack,
     145         config_rw_ready   => config_rw_ready,
    139146         ram_addr          => ram_addr,
    140147         ram_data_in       => ram_data_in,
    141148         ram_write_en      => ram_wren,
    142149         dac_array         => dac_array,
    143          roi_array         => roi_array,
    144          drs_address       => drs_address,
    145          drs_address_mode  => drs_address_mode
     150         roi_array         => roi_array
    146151      );
    147152
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/data_generator.vhd

    r10072 r10073  
    142142        when CONFIG4 =>
    143143          drs_channel_id <= DRS_WRITE_SHIFT_REG;
    144           drs_srin_data <= "10101010";
     144          drs_srin_data <= "11111111";
    145145          drs_srin_write_8b <= '1';
    146146          if (drs_srin_write_ack = '1') then
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/data_generator.vhd.bak

    r10072 r10073  
    2525  );
    2626   port(
     27--      led            : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
     28
    2729      clk            : in     std_logic;
    2830      data_out       : out    std_logic_vector (63 downto 0);
     
    3234      ram_write_ea : in std_logic;
    3335      ram_write_ready : out std_logic := '0';
     36      -- --
     37      ram_write_ready_ack : IN std_logic;
     38      -- --
    3439      config_start_mm, config_start_cm, config_start_spi : out std_logic := '0';
    3540      config_ready_mm, config_ready_cm, config_ready_spi : in std_logic;
     
    4550      trigger_id     : in std_logic_vector (47 downto 0);
    4651      trigger        : in std_logic;
    47       s_trigger      : in std_logic;
     52--      s_trigger      : in std_logic;
    4853      new_config     : in std_logic;
    4954      config_started : out std_logic := '0';
     
    5560      drs_dwrite : out std_logic := '1';
    5661      drs_clk_en, drs_read_s_cell : out std_logic := '0';
     62
     63      drs_srin_write_8b : out std_logic := '0';
     64      drs_srin_write_ack : in std_logic;
     65      drs_srin_data : out std_logic_vector (7 downto 0) := (others => '0');
     66      drs_srin_write_ready : in std_logic;
     67
    5768      drs_read_s_cell_ready : in std_logic;
    5869      drs_s_cell_array : in drs_s_cell_array_type
     
    6273architecture Behavioral of data_generator is
    6374
    64 type state_generate_type is (INIT, CONFIG, CONFIG1, CONFIG2,CONFIG3, CONFIG4, WRITE_HEADER, WRITE_EXTERNAL_TRIGGER, WRITE_INTERNAL_TRIGGER, WRITE_BOARD_ID, WRITE_TEMPERATURES,
     75type state_generate_type is (INIT, CONFIG, CONFIG1, CONFIG2,CONFIG3, CONFIG4, CONFIG5, CONFIG6, WRITE_HEADER, WRITE_EXTERNAL_TRIGGER, WRITE_INTERNAL_TRIGGER, WRITE_BOARD_ID, WRITE_TEMPERATURES,
    6576                             WRITE_DAC1, WRITE_DAC2, WRITE_CHANNEL_ID, WRITE_START_CELL, WRITE_ROI, WRITE_ADC_DATA, WRITE_DATA_END, WRITE_DATA_END_WAIT,
    6677                             WRITE_END_FLAG, WRITE_DATA_STOP,
     
    7687signal adc_wait_cnt : integer range 0 to 7 := 0;
    7788
    78 signal trigger_flag : std_logic := '0';
    79 
     89signal trigger_flag :std_logic := '0';
     90signal ram_write_ea_flag : std_logic := '0';
     91signal new_config_int : std_logic := '0';
     92
     93signal roi_max_int : roi_max_type;
    8094
    8195begin
     
    95109        when CONFIG =>
    96110          config_started <= '1';
    97           -- config config manager
    98           config_start_cm <= '1';
    99           if (config_started_cm = '1') then
    100             state_generate <= CONFIG1;
     111          if (new_config = '0') then
     112            config_started <= '0';
     113            -- config config manager
     114            config_start_cm <= '1';
     115            if (config_started_cm = '1') then
     116              config_start_cm <= '0';
     117              state_generate <= CONFIG1;
     118            end if;
    101119          end if;
    102120        when CONFIG1 =>
    103121          if (config_ready_cm = '1') then
    104             config_started <= '0';
    105             config_start_cm <= '0';
    106122            config_start_mm <= '1';
    107123          end if;
    108124          if (config_started_mm = '1') then
     125            config_start_mm <= '0';
    109126            state_generate <= CONFIG2;
    110127          end if;
    111128        when CONFIG2 =>
    112129          if (config_ready_mm = '1') then
    113             config_start_mm <= '0';
    114130            config_start_spi <= '1';
    115131          end if;
    116132          if (config_started_spi = '1') then
     133            config_start_spi <= '0';
    117134            state_generate <= CONFIG3;
    118135          end if;
    119136        when CONFIG3 =>
    120137          if (config_ready_spi = '1') then
    121             config_start_spi <= '0';
     138            state_generate <= CONFIG4;
     139--            state_generate <= WRITE_DATA_IDLE;
     140          end if;
     141        -- configure DRS
     142        when CONFIG4 =>
     143          drs_channel_id <= DRS_WRITE_SHIFT_REG;
     144          drs_srin_data <= "10101010";
     145          drs_srin_write_8b <= '1';
     146          if (drs_srin_write_ack = '1') then
     147            drs_srin_write_8b <= '0';
     148            state_generate <= CONFIG5;
     149          end if;
     150        when CONFIG5 =>
     151          if (drs_srin_write_ready = '1') then
     152            roi_max_int <= roi_max;
    122153            state_generate <= WRITE_DATA_IDLE;
    123154          end if;
     155        -- end configure DRS
    124156
    125157        when WRITE_DATA_IDLE =>
     
    127159            state_generate <= CONFIG;
    128160          end if;
    129           if (ram_write_ea = '1' and (trigger_flag = '1' or s_trigger = '1')) then
     161--          if (ram_write_ea = '1' and (trigger_flag = '1' or s_trigger = '1')) then
     162          if (ram_write_ea = '1' and trigger_flag = '1') then
    130163            -- stop drs, dwrite low
    131164            drs_dwrite <= '0';
     
    248281        when WRITE_EXTERNAL_TRIGGER =>    -- external trigger ID
    249282          addr_out <= start_addr + conv_std_logic_vector(1, RAM_ADDR_WIDTH);
    250           data_out <= X"0000" & trigger_id(39 downto 32) & trigger_id(47 downto 40) & trigger_id(15 downto 0) & trigger_id(31 downto 16);
     283--          data_out <= X"0000" & trigger_id(39 downto 32) & trigger_id(47 downto 40) & trigger_id(15 downto 0) & trigger_id(31 downto 16);
     284          data_out <= X"0000" & trigger_id(39 downto 32) & trigger_id(47 downto 40) & evnt_cntr(15 downto 0) & evnt_cntr(31 downto 16);
    251285          state_generate <= WRITE_INTERNAL_TRIGGER;
    252286        when WRITE_INTERNAL_TRIGGER =>    -- internal trigger ID
     
    263297                                        state_generate <= WRITE_DATA_END_WAIT;
    264298                                when WRITE_DATA_END_WAIT =>
    265                                   state_generate <= WRITE_DATA_STOP;
     299          -- --
     300                                  if (ram_write_ready_ack = '1') then
     301                                    state_generate <= WRITE_DATA_STOP;
     302                                    -- --
     303            ram_write_ready <= '0';
     304            -- --
     305                                  end if;
     306                                  -- --
    266307                when WRITE_DATA_STOP =>
    267           drs_dwrite <= '1';
    268                                         data_cntr <= 0;
    269                                         addr_cntr <= 0;
    270                                         channel_id <= 0;
    271                                         ram_write_ready <= '0';
    272                                         state_generate <= WRITE_DATA_IDLE;
    273                                
     308                  -- --
     309                  if (ram_write_ready_ack = '0') then
     310                  -- --
     311            drs_dwrite <= '1';
     312                                          data_cntr <= 0;
     313                                          addr_cntr <= 0;
     314                                          channel_id <= 0;
     315                                          state_generate <= WRITE_DATA_IDLE;
     316                                        -- --
     317                                        end if;
     318                                  -- --
    274319                                when others =>
    275320                                        null;
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board.ucf

    r9912 r10073  
    2525NET RS485_C_DE LOC  = C5 | IOSTANDARD=LVCMOS33;         #ok
    2626NET RS485_C_RE LOC  = C6 | IOSTANDARD=LVCMOS33;         #ok
    27 #NET RS485_C_DO LOC  = C7 | IOSTANDARD=LVCMOS33;                #ok
    28 #NET RS485_C_DI LOC  = C8 | IOSTANDARD=LVCMOS33;                #ok
     27NET RS485_C_DO LOC  = C7 | IOSTANDARD=LVCMOS33;         #ok
     28NET RS485_C_DI LOC  = C8 | IOSTANDARD=LVCMOS33;         #ok
    2929
    3030NET RS485_E_DE LOC  = D20 | IOSTANDARD=LVCMOS33;                #ok
    3131NET RS485_E_RE LOC  = D21 | IOSTANDARD=LVCMOS33;                #ok
    32 #NET RS485_E_DO LOC  = D22 | IOSTANDARD=LVCMOS33;               #ok
    33 #NET RS485_E_DI LOC  = D23 | IOSTANDARD=LVCMOS33;               #ok
     32NET RS485_E_DO LOC  = D22 | IOSTANDARD=LVCMOS33;                #ok
     33NET RS485_E_DI LOC  = D23 | IOSTANDARD=LVCMOS33;                #ok
    3434
    3535
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 10:49:29 30.08.2010
     5--          at - 17:00:27 03.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    2323      D3_SROUT   : IN     std_logic;
    2424      D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0);
     25      RS485_C_DI : IN     std_logic;
     26      RS485_E_DI : IN     std_logic;
     27      RS485_E_DO : IN     std_logic;
    2528      TRG        : IN     STD_LOGIC;
    2629      W_INT      : IN     std_logic;
     
    4447      OE_ADC     : OUT    STD_LOGIC;
    4548      RS485_C_DE : OUT    std_logic;
     49      RS485_C_DO : OUT    std_logic;
    4650      RS485_C_RE : OUT    std_logic;
    4751      RS485_E_DE : OUT    std_logic;
    4852      RS485_E_RE : OUT    std_logic;
    4953      RSRLOAD    : OUT    std_logic                       := '0';
    50       SRIN       : OUT    std_logic;
     54      SRIN       : OUT    std_logic                       := '0';
    5155      S_CLK      : OUT    std_logic;
    5256      T0_CS      : OUT    std_logic;
     
    7377-- Created:
    7478--          by - dneise.UNKNOWN (E5B-LABOR6)
    75 --          at - 10:49:29 30.08.2010
     79--          at - 17:00:27 03.01.2011
    7680--
    7781-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    152156      RSRLOAD         : OUT    std_logic                     := '0';
    153157      SRCLK           : OUT    std_logic                     := '0';
     158      SRIN_out        : OUT    std_logic                     := '0';
    154159      adc_clk_en      : OUT    std_logic                     := '0';
    155160      adc_oeb         : OUT    std_logic                     := '1';
     
    220225   -- MISC 6     
    221226   TRG_V <= '0';
    222    RS485_C_RE <= '1';
     227   RS485_C_RE <= '0';
    223228   RS485_C_DE <= '0';   
    224    RS485_E_RE <= '1';     
     229   RS485_C_DO <= RS485_C_DI;
     230   
     231   RS485_E_RE <= '0';     
    225232   RS485_E_DE <= '0';
     233   --RS485_E_DO <= RS485_E_DI;
    226234   
    227235   -- DENABLE <= '0'; -- domino wave stopped
    228236   -- DENABLE <= '1'; -- domino wave running
    229237   
    230    SRIN <= '1';
     238   
    231239   EE_CS <= '1';
    232240   -- LEDs are low active
     
    305313         RSRLOAD         => RSRLOAD,
    306314         SRCLK           => SRCLK,
     315         SRIN_out        => SRIN,
    307316         adc_clk_en      => adc_clk_en,
    308317         adc_oeb         => OE_ADC,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 10:49:27 30.08.2010
     5--          at - 17:00:23 03.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    4444      RSRLOAD         : OUT    std_logic                     := '0';
    4545      SRCLK           : OUT    std_logic                     := '0';
     46      SRIN_out        : OUT    std_logic                     := '0';
    4647      adc_clk_en      : OUT    std_logic                     := '0';
    4748      adc_oeb         : OUT    std_logic                     := '1';
     
    7677-- Created:
    7778--          by - dneise.UNKNOWN (E5B-LABOR6)
    78 --          at - 10:49:28 30.08.2010
     79--          at - 17:00:24 03.01.2011
    7980--
    8081-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    113114   SIGNAL config_ready_cm        : std_logic;
    114115   SIGNAL config_ready_spi       : std_logic;
     116   -- --
     117   SIGNAL config_rw_ack          : std_logic                                    := '0';
     118   -- --
     119   SIGNAL config_rw_ready        : std_logic                                    := '0';
    115120   SIGNAL config_start           : std_logic                                    := '0';
    116121   SIGNAL config_start_cm        : std_logic;
     
    130135   SIGNAL drs_read_s_cell_ready  : std_logic;
    131136   SIGNAL drs_s_cell_array       : drs_s_cell_array_type;
     137   SIGNAL drs_srin_data          : std_logic_vector(7 DOWNTO 0)                 := (others => '0');
    132138   SIGNAL dwrite                 : std_logic                                    := '1';
    133139   SIGNAL dwrite_enable          : std_logic                                    := '1';
     
    142148   SIGNAL ram_write_ea           : std_logic;
    143149   SIGNAL ram_write_ready        : std_logic                                    := '0';
     150   -- --
     151   SIGNAL ram_write_ready_ack    : std_logic                                    := '0';
    144152   SIGNAL roi_array              : roi_array_type;
    145153   SIGNAL roi_max                : roi_max_type;
    146    SIGNAL s_trigger              : std_logic                                    := '0';
     154   SIGNAL s_trigger              : std_logic;
    147155   SIGNAL sclk1                  : std_logic;
    148156   SIGNAL sclk_enable            : std_logic;
     
    150158   SIGNAL sensor_ready           : std_logic;
    151159   SIGNAL srclk_enable           : std_logic                                    := '0';
     160   SIGNAL srin_write_ack         : std_logic                                    := '0';
     161   SIGNAL srin_write_ready       : std_logic                                    := '0';
     162   SIGNAL start_srin_write_8b    : std_logic;
    152163   SIGNAL trigger_id             : std_logic_vector(47 DOWNTO 0);
     164   SIGNAL trigger_out            : std_logic;
    153165   SIGNAL wiz_ack                : std_logic;
    154166   SIGNAL wiz_busy               : std_logic;
     
    207219      config_data_valid : OUT    std_logic ;
    208220      config_ready      : OUT    std_logic ;
     221      -- --
     222      config_rw_ack     : OUT    std_logic  := '0';
     223      -- --
     224      config_rw_ready   : OUT    std_logic  := '0';
    209225      config_started    : OUT    std_logic  := '0';
    210226      dac_array         : OUT    dac_array_type ;
    211       drs_address       : OUT    std_logic_vector (3 DOWNTO 0);
    212       drs_address_mode  : OUT    std_logic ;
    213227      roi_array         : OUT    roi_array_type ;
    214228      config_data       : INOUT  std_logic_vector (15 DOWNTO 0)
     
    231245   );
    232246   PORT (
     247      --      led            : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
    233248      clk                   : IN     std_logic ;
    234249      data_out              : OUT    std_logic_vector (63 DOWNTO 0);
     
    238253      ram_write_ea          : IN     std_logic ;
    239254      ram_write_ready       : OUT    std_logic                     := '0';
     255      -- --
     256      ram_write_ready_ack   : IN     std_logic ;
     257      -- --
    240258      config_start_mm       : OUT    std_logic                     := '0';
     259      -- --
    241260      config_start_cm       : OUT    std_logic                     := '0';
     261      -- --
    242262      config_start_spi      : OUT    std_logic                     := '0';
    243263      config_ready_mm       : IN     std_logic ;
     
    257277      trigger_id            : IN     std_logic_vector (47 DOWNTO 0);
    258278      trigger               : IN     std_logic ;
    259       s_trigger             : IN     std_logic ;
     279      --      s_trigger      : in std_logic;
    260280      new_config            : IN     std_logic ;
    261281      config_started        : OUT    std_logic                     := '0';
     
    268288      drs_clk_en            : OUT    std_logic                     := '0';
    269289      drs_read_s_cell       : OUT    std_logic                     := '0';
     290      drs_srin_write_8b     : OUT    std_logic                     := '0';
     291      drs_srin_write_ack    : IN     std_logic ;
     292      drs_srin_data         : OUT    std_logic_vector (7 DOWNTO 0) := (others => '0');
     293      drs_srin_write_ready  : IN     std_logic ;
    270294      drs_read_s_cell_ready : IN     std_logic ;
    271295      drs_s_cell_array      : IN     drs_s_cell_array_type
     
    279303      SROUT_in_2               : IN     std_logic;
    280304      SROUT_in_3               : IN     std_logic;
     305      srin_data                : IN     std_logic_vector (7 DOWNTO 0);
    281306      start_endless_mode       : IN     std_logic;
    282307      start_read_stop_pos_mode : IN     std_logic;
     308      start_srin_write_8b      : IN     std_logic;
    283309      RSRLOAD                  : OUT    std_logic  := '0';
    284310      SRCLK                    : OUT    std_logic  := '0';
     311      SRIN_out                 : OUT    std_logic  := '0';
     312      srin_write_ack           : OUT    std_logic  := '0';
     313      srin_write_ready         : OUT    std_logic  := '0';
    285314      stop_pos                 : OUT    drs_s_cell_array_type;
    286315      stop_pos_valid           : OUT    std_logic  := '0'
     
    296325      config_start           : IN     std_logic ;
    297326      ram_write_ready        : IN     std_logic ;
     327      -- --
     328      ram_write_ready_ack    : OUT    std_logic                                        := '0';
     329      -- --
    298330      roi_array              : IN     roi_array_type ;
    299331      ram_write_ea           : OUT    std_logic                                        := '0';
     
    367399      config_wr_en      : OUT    std_logic                      := '0';
    368400      config_rd_en      : OUT    std_logic                      := '0';
     401      -- --
     402      config_rw_ack     : IN     std_logic ;
     403      -- --
     404      config_rw_ready   : IN     std_logic ;
     405      -- --
    369406      config_busy       : IN     std_logic ;
    370407      denable           : OUT    std_logic                      := '0';           -- default domino wave off
     
    429466      END CASE;
    430467   END PROCESS u_0combo_proc;
     468
     469   -- ModuleWare code(v1.9) for instance 'U_9' of 'or'
     470   trigger_out <= s_trigger OR trigger;
    431471
    432472   -- Instance port mappings.
     
    468508         config_data_valid => config_data_valid,
    469509         config_ready      => config_ready_cm,
     510         config_rw_ack     => config_rw_ack,
     511         config_rw_ready   => config_rw_ready,
    470512         config_started    => config_started_cu,
    471513         dac_array         => dac_array,
    472          drs_address       => drs_address,
    473          drs_address_mode  => drs_address_mode,
    474514         roi_array         => roi_array,
    475515         config_data       => config_data
     
    497537         ram_write_ea          => ram_write_ea,
    498538         ram_write_ready       => ram_write_ready,
     539         ram_write_ready_ack   => ram_write_ready_ack,
    499540         config_start_mm       => config_start,
    500541         config_start_cm       => config_start_cm,
     
    515556         crate_id              => crate_id,
    516557         trigger_id            => trigger_id,
    517          trigger               => trigger,
    518          s_trigger             => s_trigger,
     558         trigger               => trigger_out,
    519559         new_config            => new_config,
    520560         config_started        => config_started,
     
    527567         drs_clk_en            => drs_clk_en,
    528568         drs_read_s_cell       => drs_read_s_cell,
     569         drs_srin_write_8b     => start_srin_write_8b,
     570         drs_srin_write_ack    => srin_write_ack,
     571         drs_srin_data         => drs_srin_data,
     572         drs_srin_write_ready  => srin_write_ready,
    529573         drs_read_s_cell_ready => drs_read_s_cell_ready,
    530574         drs_s_cell_array      => drs_s_cell_array
     
    541585         stop_pos                 => drs_s_cell_array,
    542586         stop_pos_valid           => drs_read_s_cell_ready,
     587         start_srin_write_8b      => start_srin_write_8b,
     588         srin_write_ready         => srin_write_ready,
     589         srin_write_ack           => srin_write_ack,
     590         srin_data                => drs_srin_data,
     591         SRIN_out                 => SRIN_out,
    543592         RSRLOAD                  => RSRLOAD,
    544593         SRCLK                    => SRCLK1
     
    553602         config_start           => config_start,
    554603         ram_write_ready        => ram_write_ready,
     604         ram_write_ready_ack    => ram_write_ready_ack,
    555605         roi_array              => roi_array,
    556606         ram_write_ea           => ram_write_ea,
     
    587637      PORT MAP (
    588638         trigger_id => trigger_id,
    589          trigger    => trigger,
     639         trigger    => trigger_out,
    590640         clk        => CLK_25_PS_internal
    591641      );
     
    621671         config_wr_en      => config_wr_en,
    622672         config_rd_en      => config_rd_en,
     673         config_rw_ack     => config_rw_ack,
     674         config_rw_ready   => config_rw_ready,
    623675         config_busy       => config_busy,
    624676         denable           => denable,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/spi_interface_struct.vhd

    r9912 r10073  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 09:42:04 30.07.2010
     5--          at - 14:00:24 01.10.2010
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    3838-- Created:
    3939--          by - dneise.UNKNOWN (E5B-LABOR6)
    40 --          at - 09:42:04 30.07.2010
     40--          at - 14:00:25 01.10.2010
    4141--
    4242-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
Note: See TracChangeset for help on using the changeset viewer.