Changeset 10078
- Timestamp:
- 01/05/11 11:40:15 (14 years ago)
- Location:
- firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl
- Files:
-
- 4 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd
r10075 r10078 3 3 -- Created: 4 4 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 1 8:14:37 04.01.20115 -- at - 12:19:07 05.01.2011 6 6 -- 7 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 79 79 -- Created: 80 80 -- by - dneise.UNKNOWN (E5B-LABOR6) 81 -- at - 1 8:14:37 04.01.201181 -- at - 12:19:08 05.01.2011 82 82 -- 83 83 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd
r10075 r10078 3 3 -- Created: 4 4 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 1 8:14:35 04.01.20115 -- at - 12:19:06 05.01.2011 6 6 -- 7 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 80 80 -- Created: 81 81 -- by - dneise.UNKNOWN (E5B-LABOR6) 82 -- at - 1 8:14:36 04.01.201182 -- at - 12:19:07 05.01.2011 83 83 -- 84 84 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd
r10076 r10078 243 243 -- reset W5300 244 244 when RESET => 245 socket_send_mode <= '0'; 245 246 busy <= '1'; 246 247 zaehler <= zaehler + 1; … … 561 562 case data_read (15 downto 8) is 562 563 563 when CMD_START => -- all data will be send via socket 0564 when CMD_START => -- all data will be send via socket 1..7 564 565 socket_send_mode <= '1'; 565 566 state_read_data <= RD_5; 566 when CMD_STOP => -- all data will be send via socket 1..7567 when CMD_STOP => -- all data will be send via socket 0 567 568 socket_send_mode <= '0'; 568 569 state_read_data <= RD_5; -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd.bak
r10074 r10078 49 49 ram_addr : OUT std_logic_vector (RAM_ADDR_WIDTH-1 DOWNTO 0); 50 50 data_valid : IN std_logic; 51 51 data_valid_ack : OUT std_logic := '0'; 52 52 busy : OUT std_logic := '1'; 53 53 write_header_flag, write_end_flag : IN std_logic; … … 74 74 ps_reset : out std_logic := '0'; -- pulse this to reset the variable phase shift 75 75 76 srclk_enable : out std_logic := '1' -- default SRCLK on. 76 srclk_enable : out std_logic := '1'; -- default SRCLK on. 77 78 socks_waiting : out std_logic; 79 socks_connected: out std_logic 77 80 ); 78 81 … … 147 150 --signal last_trigger_id : std_logic_vector (15 downto 0) := (others => '0'); 148 151 152 153 -- signals for different socket modes: DN 04.01.11 154 signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending 155 signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets. 149 156 150 157 begin … … 238 245 busy <= '1'; 239 246 zaehler <= zaehler + 1; 247 socks_waiting <= '0'; 248 socks_connected <= '0'; 240 249 wiz_reset <= '0'; 241 250 -- led <= X"FF"; … … 432 441 433 442 when ESTABLISH => 443 socks_waiting <= '1'; 444 socks_connected <= '0'; 434 445 par_addr <= W5300_S0_SSR + socket_cnt * W5300_S_INC; 435 446 state_init <= READ_REG; … … 463 474 -- main "loop" 464 475 when MAIN => 476 socks_waiting <= '0'; 477 socks_connected <= '1'; 478 465 479 ps_do_phase_shift <= '0'; 466 480 ps_reset <= '0'; … … 546 560 if (next_packet_data = '0') then 547 561 case data_read (15 downto 8) is 562 563 when CMD_START => -- all data will be send via socket 0 564 socket_send_mode <= '1'; 565 state_read_data <= RD_5; 566 when CMD_STOP => -- all data will be send via socket 1..7 567 socket_send_mode <= '0'; 568 state_read_data <= RD_5; 569 570 548 571 when CMD_TRIGGER => 549 572 trigger_stop <= '1'; … … 647 670 when WR_LENGTH => 648 671 if (local_write_header_flag = '1') then 649 local_socket_nr <= ram_data (2 downto 0); 650 -- local_socket_nr <= "000"; 672 if (socket_send_mode = '1') then -- send via all sockets 673 local_socket_nr <= conv_std_logic_vector(socket_nr_counter, 3); 674 if (socket_nr_counter < 7) then 675 socket_nr_counter <= socket_nr_counter + 1; 676 else 677 socket_nr_counter <= 1; 678 end if; 679 else -- only send via socket 0\ 680 local_socket_nr <= "000"; 681 end if; 651 682 end if; 652 683 next_state_tmp <= next_state;
Note:
See TracChangeset
for help on using the changeset viewer.