Changeset 10078


Ignore:
Timestamp:
01/05/11 11:40:15 (14 years ago)
Author:
neise
Message:
possible to choose, which socket is used for data transmission.
only socket 0 for every event or sockets 1..7 
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl
Files:
4 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r10075 r10078  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 18:14:37 04.01.2011
     5--          at - 12:19:07 05.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    7979-- Created:
    8080--          by - dneise.UNKNOWN (E5B-LABOR6)
    81 --          at - 18:14:37 04.01.2011
     81--          at - 12:19:08 05.01.2011
    8282--
    8383-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r10075 r10078  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 18:14:35 04.01.2011
     5--          at - 12:19:06 05.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    8080-- Created:
    8181--          by - dneise.UNKNOWN (E5B-LABOR6)
    82 --          at - 18:14:36 04.01.2011
     82--          at - 12:19:07 05.01.2011
    8383--
    8484-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd

    r10076 r10078  
    243243                                        -- reset W5300
    244244                                        when RESET =>
     245                                          socket_send_mode <= '0';
    245246                                          busy <= '1';
    246247                                                zaehler <= zaehler + 1;
     
    561562                  case data_read (15 downto 8) is
    562563                   
    563                     when CMD_START => -- all data will be send via socket 0
     564                    when CMD_START => -- all data will be send via socket 1..7
    564565                        socket_send_mode <= '1';
    565566                        state_read_data <= RD_5;
    566                     when CMD_STOP => -- all data will be send via socket 1..7
     567                    when CMD_STOP => -- all data will be send via socket 0
    567568                        socket_send_mode <= '0';
    568569                        state_read_data <= RD_5;                   
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd.bak

    r10074 r10078  
    4949      ram_addr       : OUT    std_logic_vector (RAM_ADDR_WIDTH-1 DOWNTO 0);
    5050      data_valid     : IN     std_logic;
    51            data_valid_ack : OUT    std_logic := '0';
     51      data_valid_ack : OUT    std_logic := '0';
    5252      busy           : OUT    std_logic                     := '1';
    5353      write_header_flag, write_end_flag : IN std_logic;
     
    7474      ps_reset : out std_logic := '0'; -- pulse this to reset the variable phase shift
    7575     
    76       srclk_enable : out std_logic := '1' -- default SRCLK on.
     76      srclk_enable : out std_logic := '1'; -- default SRCLK on.
     77     
     78      socks_waiting : out std_logic;
     79      socks_connected: out std_logic
    7780   );
    7881
     
    147150--signal last_trigger_id : std_logic_vector (15 downto 0) := (others => '0');
    148151
     152
     153-- signals for different socket modes: DN 04.01.11
     154signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending
     155signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets.
    149156
    150157begin
     
    238245                                          busy <= '1';
    239246                                                zaehler <= zaehler + 1;
     247                                                socks_waiting <= '0';
     248            socks_connected <= '0';
    240249            wiz_reset <= '0';
    241250--            led <= X"FF";
     
    432441                                               
    433442                                        when ESTABLISH =>
     443                                          socks_waiting <= '1';
     444            socks_connected <= '0';
    434445                                                par_addr <= W5300_S0_SSR + socket_cnt * W5300_S_INC;
    435446                                                state_init <= READ_REG;
     
    463474          -- main "loop"
    464475                                        when MAIN =>
     476                                          socks_waiting <= '0';
     477            socks_connected <= '1';
     478
    465479                                          ps_do_phase_shift <= '0';
    466480                                          ps_reset <= '0';
     
    546560                if (next_packet_data = '0') then
    547561                  case data_read (15 downto 8) is
     562                   
     563                    when CMD_START => -- all data will be send via socket 0
     564                        socket_send_mode <= '1';
     565                        state_read_data <= RD_5;
     566                    when CMD_STOP => -- all data will be send via socket 1..7
     567                        socket_send_mode <= '0';
     568                        state_read_data <= RD_5;                   
     569                   
     570                   
    548571                    when CMD_TRIGGER =>
    549572                      trigger_stop <= '1';
     
    647670                                                        when WR_LENGTH =>
    648671                                                          if (local_write_header_flag = '1') then
    649                                                             local_socket_nr <= ram_data (2 downto 0);
    650 --                                                          local_socket_nr <= "000";
     672                                                            if (socket_send_mode = '1') then -- send via all sockets
     673                                                             local_socket_nr <= conv_std_logic_vector(socket_nr_counter, 3);
     674                                                             if (socket_nr_counter < 7) then
     675                                                               socket_nr_counter <= socket_nr_counter + 1;
     676                                                             else
     677                                                               socket_nr_counter <= 1;
     678                                                             end if;
     679                                                            else -- only send via socket 0\
     680                                                              local_socket_nr <= "000";
     681                                                            end if; 
    651682                                                          end if;
    652683                                                                next_state_tmp <= next_state;
Note: See TracChangeset for help on using the changeset viewer.