Changeset 10240
- Timestamp:
- 03/11/11 18:22:18 (14 years ago)
- Location:
- firmware/FAD/FACT_FAD_20MHz_VAR_PS
- Files:
-
- 7 added
- 5 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/w5300_emulator_beha.vhd
r10225 r10240 21 21 data : inout std_logic_vector (15 DOWNTO 0); 22 22 rd : in std_logic; 23 cs : in std_logic; 23 24 wr : in std_logic 24 25 ); -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd
r10225 r10240 206 206 (vvPair 207 207 variable "date" 208 value "0 2.03.2011"208 value "04.03.2011" 209 209 ) 210 210 (vvPair 211 211 variable "day" 212 value " Mi"212 value "Fr" 213 213 ) 214 214 (vvPair 215 215 variable "day_long" 216 value " Mittwoch"216 value "Freitag" 217 217 ) 218 218 (vvPair 219 219 variable "dd" 220 value "0 2"220 value "04" 221 221 ) 222 222 (vvPair … … 354 354 (vvPair 355 355 variable "time" 356 value "1 5:31:34"356 value "11:37:19" 357 357 ) 358 358 (vvPair … … 406 406 bg "0,0,32768" 407 407 ) 408 xt "109200,97000,1 19000,98000"408 xt "109200,97000,120300,98000" 409 409 st " 410 410 by %user on %dd %month %year … … 437 437 bg "0,0,32768" 438 438 ) 439 xt "126200,93000,129 200,94000"439 xt "126200,93000,129500,94000" 440 440 st " 441 441 Project: … … 468 468 bg "0,0,32768" 469 469 ) 470 xt "109200,95000,1 19200,96000"470 xt "109200,95000,120100,96000" 471 471 st " 472 472 <enter diagram title here> … … 499 499 bg "0,0,32768" 500 500 ) 501 xt "105200,95000,107 300,96000"501 xt "105200,95000,107500,96000" 502 502 st " 503 503 Title: … … 530 530 bg "0,0,32768" 531 531 ) 532 xt "126200,94200,13 5400,95200"532 xt "126200,94200,136000,95200" 533 533 st " 534 534 <enter comments here> … … 560 560 bg "0,0,32768" 561 561 ) 562 xt "130200,93000,134 700,94000"562 xt "130200,93000,134900,94000" 563 563 st " 564 564 %project_name … … 590 590 fg "32768,0,0" 591 591 ) 592 xt "112 700,93000,118300,95000"592 xt "112450,93000,118550,95000" 593 593 st " 594 594 TU Dortmund … … 623 623 bg "0,0,32768" 624 624 ) 625 xt "105200,96000,107 300,97000"625 xt "105200,96000,107500,97000" 626 626 st " 627 627 Path: … … 654 654 bg "0,0,32768" 655 655 ) 656 xt "105200,97000,10 7900,98000"656 xt "105200,97000,108300,98000" 657 657 st " 658 658 Edited: … … 685 685 bg "0,0,32768" 686 686 ) 687 xt "109200,96000,12 3400,97000"687 xt "109200,96000,125800,97000" 688 688 st " 689 689 %library/%unit/%view … … 3015 3015 va (VaSet 3016 3016 ) 3017 xt "50200,45200, 60200,48200"3017 xt "50200,45200,58200,49200" 3018 3018 st " 3019 3019 -- eb_ID 1: hard-wired IDs … … 3387 3387 va (VaSet 3388 3388 ) 3389 xt "50200,57200,6 2100,66200"3389 xt "50200,57200,60900,67200" 3390 3390 st " 3391 3391 -- eb_adc 2: ADC routing … … 4001 4001 va (VaSet 4002 4002 ) 4003 xt "27200,72200, 39400,77200"4003 xt "27200,72200,40200,77200" 4004 4004 st " 4005 4005 … … 4339 4339 va (VaSet 4340 4340 ) 4341 xt "124000,20500,12 8500,21500"4341 xt "124000,20500,129100,21500" 4342 4342 st "addr : (9:0)" 4343 4343 blo "124000,21300" … … 4376 4376 va (VaSet 4377 4377 ) 4378 xt "124000,21500,12 8800,22500"4378 xt "124000,21500,129400,22500" 4379 4379 st "data : (15:0)" 4380 4380 blo "124000,22300" … … 4414 4414 va (VaSet 4415 4415 ) 4416 xt "124000,24500,125 100,25500"4416 xt "124000,24500,125300,25500" 4417 4417 st "rd" 4418 4418 blo "124000,25300" … … 4450 4450 va (VaSet 4451 4451 ) 4452 xt "124000,25500,125 200,26500"4452 xt "124000,25500,125400,26500" 4453 4453 st "wr" 4454 4454 blo "124000,26300" … … 4461 4461 preAdd 0 4462 4462 posAdd 0 4463 o 54463 o 6 4464 4464 suid 4,0 4465 4465 ) … … 4486 4486 va (VaSet 4487 4487 ) 4488 xt "124000,26500,125 200,27500"4488 xt "124000,26500,125400,27500" 4489 4489 st "int" 4490 4490 blo "124000,27300" 4491 )4492 t (Text4493 uid 2335,04494 va (VaSet4495 )4496 xt "124000,27500,125200,28500"4497 st "'1'"4498 blo "124000,28300"4499 4491 ) 4500 4492 ) … … 4507 4499 suid 5,0 4508 4500 i "'1'" 4501 ) 4502 ) 4503 ) 4504 *152 (CptPort 4505 uid 2548,0 4506 ps "OnEdgeStrategy" 4507 shape (Triangle 4508 uid 2549,0 4509 ro 90 4510 va (VaSet 4511 vasetType 1 4512 fg "0,65535,0" 4513 ) 4514 xt "122250,27625,123000,28375" 4515 ) 4516 tg (CPTG 4517 uid 2550,0 4518 ps "CptPortTextPlaceStrategy" 4519 stg "VerticalLayoutStrategy" 4520 f (Text 4521 uid 2551,0 4522 va (VaSet 4523 ) 4524 xt "124000,27500,125200,28500" 4525 st "cs" 4526 blo "124000,28300" 4527 ) 4528 ) 4529 thePort (LogicalPort 4530 decl (Decl 4531 n "cs" 4532 t "std_logic" 4533 o 5 4534 suid 6,0 4509 4535 ) 4510 4536 ) … … 4527 4553 stg "VerticalLayoutStrategy" 4528 4554 textVec [ 4529 *15 2(Text4555 *153 (Text 4530 4556 uid 2339,0 4531 4557 va (VaSet … … 4537 4563 tm "BdLibraryNameMgr" 4538 4564 ) 4539 *15 3(Text4565 *154 (Text 4540 4566 uid 2340,0 4541 4567 va (VaSet … … 4547 4573 tm "CptNameMgr" 4548 4574 ) 4549 *15 4(Text4575 *155 (Text 4550 4576 uid 2341,0 4551 4577 va (VaSet … … 4591 4617 viewiconposition 0 4592 4618 portVis (PortSigDisplay 4593 sIVOD 14594 4619 ) 4595 4620 archFileType "UNKNOWN" 4596 4621 ) 4597 *15 5(Wire4622 *156 (Wire 4598 4623 uid 286,0 4599 4624 shape (OrthoPolyLine … … 4631 4656 on &64 4632 4657 ) 4633 *15 6(Wire4658 *157 (Wire 4634 4659 uid 318,0 4635 4660 shape (OrthoPolyLine … … 4669 4694 on &65 4670 4695 ) 4671 *15 7(Wire4696 *158 (Wire 4672 4697 uid 324,0 4673 4698 shape (OrthoPolyLine … … 4707 4732 on &66 4708 4733 ) 4709 *15 8(Wire4734 *159 (Wire 4710 4735 uid 330,0 4711 4736 shape (OrthoPolyLine … … 4743 4768 on &67 4744 4769 ) 4745 *1 59(Wire4770 *160 (Wire 4746 4771 uid 336,0 4747 4772 shape (OrthoPolyLine … … 4779 4804 on &68 4780 4805 ) 4781 *16 0(Wire4806 *161 (Wire 4782 4807 uid 374,0 4783 4808 shape (OrthoPolyLine … … 4819 4844 on &76 4820 4845 ) 4821 *16 1(Wire4846 *162 (Wire 4822 4847 uid 380,0 4823 4848 shape (OrthoPolyLine … … 4855 4880 on &77 4856 4881 ) 4857 *16 2(Wire4882 *163 (Wire 4858 4883 uid 386,0 4859 4884 shape (OrthoPolyLine … … 4891 4916 on &78 4892 4917 ) 4893 *16 3(Wire4918 *164 (Wire 4894 4919 uid 426,0 4895 4920 shape (OrthoPolyLine … … 4926 4951 on &84 4927 4952 ) 4928 *16 4(Wire4953 *165 (Wire 4929 4954 uid 442,0 4930 4955 shape (OrthoPolyLine … … 4966 4991 on &89 4967 4992 ) 4968 *16 5(Wire4993 *166 (Wire 4969 4994 uid 450,0 4970 4995 shape (OrthoPolyLine … … 5006 5031 on &90 5007 5032 ) 5008 *16 6(Wire5033 *167 (Wire 5009 5034 uid 530,0 5010 5035 shape (OrthoPolyLine … … 5046 5071 on &103 5047 5072 ) 5048 *16 7(Wire5073 *168 (Wire 5049 5074 uid 538,0 5050 5075 shape (OrthoPolyLine … … 5086 5111 on &104 5087 5112 ) 5088 *16 8(Wire5113 *169 (Wire 5089 5114 uid 546,0 5090 5115 shape (OrthoPolyLine … … 5124 5149 on &105 5125 5150 ) 5126 *1 69(Wire5151 *170 (Wire 5127 5152 uid 554,0 5128 5153 shape (OrthoPolyLine … … 5159 5184 on &105 5160 5185 ) 5161 *17 0(Wire5186 *171 (Wire 5162 5187 uid 562,0 5163 5188 shape (OrthoPolyLine … … 5194 5219 on &106 5195 5220 ) 5196 *17 1(Wire5221 *172 (Wire 5197 5222 uid 570,0 5198 5223 shape (OrthoPolyLine … … 5231 5256 on &107 5232 5257 ) 5233 *17 2(Wire5258 *173 (Wire 5234 5259 uid 578,0 5235 5260 shape (OrthoPolyLine … … 5265 5290 on &144 5266 5291 ) 5267 *17 3(Wire5292 *174 (Wire 5268 5293 uid 769,0 5269 5294 shape (OrthoPolyLine … … 5300 5325 on &108 5301 5326 ) 5302 *17 4(Wire5327 *175 (Wire 5303 5328 uid 777,0 5304 5329 shape (OrthoPolyLine … … 5337 5362 on &109 5338 5363 ) 5339 *17 5(Wire5364 *176 (Wire 5340 5365 uid 785,0 5341 5366 shape (OrthoPolyLine … … 5344 5369 vasetType 3 5345 5370 ) 5346 xt "109750,28000,1 16000,28000"5371 xt "109750,28000,122250,28000" 5347 5372 pts [ 5348 5373 "109750,28000" 5349 "1 16000,28000"5374 "122250,28000" 5350 5375 ] 5351 5376 ) 5352 5377 start &21 5378 end &152 5353 5379 sat 32 5354 eat 165380 eat 32 5355 5381 st 0 5356 5382 sf 1 … … 5372 5398 on &110 5373 5399 ) 5374 *17 6(Wire5400 *177 (Wire 5375 5401 uid 793,0 5376 5402 shape (OrthoPolyLine … … 5408 5434 on &111 5409 5435 ) 5410 *17 7(Wire5436 *178 (Wire 5411 5437 uid 801,0 5412 5438 shape (OrthoPolyLine … … 5443 5469 on &112 5444 5470 ) 5445 *17 8(Wire5471 *179 (Wire 5446 5472 uid 809,0 5447 5473 shape (OrthoPolyLine … … 5478 5504 on &113 5479 5505 ) 5480 *1 79(Wire5506 *180 (Wire 5481 5507 uid 817,0 5482 5508 shape (OrthoPolyLine … … 5513 5539 on &114 5514 5540 ) 5515 *18 0(Wire5541 *181 (Wire 5516 5542 uid 825,0 5517 5543 shape (OrthoPolyLine … … 5548 5574 on &115 5549 5575 ) 5550 *18 1(Wire5576 *182 (Wire 5551 5577 uid 833,0 5552 5578 shape (OrthoPolyLine … … 5583 5609 on &116 5584 5610 ) 5585 *18 2(Wire5611 *183 (Wire 5586 5612 uid 841,0 5587 5613 shape (OrthoPolyLine … … 5620 5646 on &117 5621 5647 ) 5622 *18 3(Wire5648 *184 (Wire 5623 5649 uid 849,0 5624 5650 shape (OrthoPolyLine … … 5656 5682 on &118 5657 5683 ) 5658 *18 4(Wire5684 *185 (Wire 5659 5685 uid 857,0 5660 5686 shape (OrthoPolyLine … … 5691 5717 on &119 5692 5718 ) 5693 *18 5(Wire5719 *186 (Wire 5694 5720 uid 865,0 5695 5721 shape (OrthoPolyLine … … 5726 5752 on &120 5727 5753 ) 5728 *18 6(Wire5754 *187 (Wire 5729 5755 uid 873,0 5730 5756 shape (OrthoPolyLine … … 5761 5787 on &121 5762 5788 ) 5763 *18 7(Wire5789 *188 (Wire 5764 5790 uid 881,0 5765 5791 shape (OrthoPolyLine … … 5796 5822 on &122 5797 5823 ) 5798 *18 8(Wire5824 *189 (Wire 5799 5825 uid 889,0 5800 5826 shape (OrthoPolyLine … … 5831 5857 on &123 5832 5858 ) 5833 *1 89(Wire5859 *190 (Wire 5834 5860 uid 897,0 5835 5861 shape (OrthoPolyLine … … 5866 5892 on &124 5867 5893 ) 5868 *19 0(Wire5894 *191 (Wire 5869 5895 uid 1437,0 5870 5896 shape (OrthoPolyLine … … 5901 5927 on &125 5902 5928 ) 5903 *19 1(Wire5929 *192 (Wire 5904 5930 uid 1445,0 5905 5931 shape (OrthoPolyLine … … 5936 5962 on &126 5937 5963 ) 5938 *19 2(Wire5964 *193 (Wire 5939 5965 uid 1453,0 5940 5966 shape (OrthoPolyLine … … 5971 5997 on &127 5972 5998 ) 5973 *19 3(Wire5999 *194 (Wire 5974 6000 uid 1461,0 5975 6001 shape (OrthoPolyLine … … 6006 6032 on &128 6007 6033 ) 6008 *19 4(Wire6034 *195 (Wire 6009 6035 uid 1469,0 6010 6036 shape (OrthoPolyLine … … 6043 6069 on &129 6044 6070 ) 6045 *19 5(Wire6071 *196 (Wire 6046 6072 uid 1477,0 6047 6073 shape (OrthoPolyLine … … 6078 6104 on &130 6079 6105 ) 6080 *19 6(Wire6106 *197 (Wire 6081 6107 uid 1485,0 6082 6108 shape (OrthoPolyLine … … 6113 6139 on &131 6114 6140 ) 6115 *19 7(Wire6141 *198 (Wire 6116 6142 uid 1503,0 6117 6143 shape (OrthoPolyLine … … 6150 6176 on &136 6151 6177 ) 6152 *19 8(Wire6178 *199 (Wire 6153 6179 uid 1529,0 6154 6180 shape (OrthoPolyLine … … 6188 6214 on &145 6189 6215 ) 6190 * 199(Wire6216 *200 (Wire 6191 6217 uid 1533,0 6192 6218 shape (OrthoPolyLine … … 6223 6249 on &136 6224 6250 ) 6225 *20 0(Wire6251 *201 (Wire 6226 6252 uid 1561,0 6227 6253 shape (OrthoPolyLine … … 6260 6286 on &143 6261 6287 ) 6262 *20 1(Wire6288 *202 (Wire 6263 6289 uid 1567,0 6264 6290 shape (OrthoPolyLine … … 6295 6321 on &143 6296 6322 ) 6297 *20 2(Wire6323 *203 (Wire 6298 6324 uid 1684,0 6299 6325 shape (OrthoPolyLine … … 6342 6368 color "26368,26368,26368" 6343 6369 ) 6344 packageList *20 3(PackageList6370 packageList *204 (PackageList 6345 6371 uid 41,0 6346 6372 stg "VerticalLayoutStrategy" 6347 6373 textVec [ 6348 *20 4(Text6374 *205 (Text 6349 6375 uid 42,0 6350 6376 va (VaSet … … 6355 6381 blo "-87000,800" 6356 6382 ) 6357 *20 5(MLText6383 *206 (MLText 6358 6384 uid 43,0 6359 6385 va (VaSet 6360 6386 ) 6361 xt "-87000,1000,-7 2500,11000"6387 xt "-87000,1000,-70900,11000" 6362 6388 st "LIBRARY ieee; 6363 6389 USE ieee.std_logic_1164.all; … … 6378 6404 stg "VerticalLayoutStrategy" 6379 6405 textVec [ 6380 *20 6(Text6406 *207 (Text 6381 6407 uid 45,0 6382 6408 va (VaSet … … 6388 6414 blo "20000,800" 6389 6415 ) 6390 *20 7(Text6416 *208 (Text 6391 6417 uid 46,0 6392 6418 va (VaSet … … 6398 6424 blo "20000,1800" 6399 6425 ) 6400 *20 8(MLText6426 *209 (MLText 6401 6427 uid 47,0 6402 6428 va (VaSet 6403 6429 isHidden 1 6404 6430 ) 6405 xt "20000,2000,2 7500,4000"6431 xt "20000,2000,28200,4000" 6406 6432 st "`resetall 6407 6433 `timescale 1ns/10ps" 6408 6434 tm "BdCompilerDirectivesTextMgr" 6409 6435 ) 6410 *2 09(Text6436 *210 (Text 6411 6437 uid 48,0 6412 6438 va (VaSet … … 6418 6444 blo "20000,4800" 6419 6445 ) 6420 *21 0(MLText6446 *211 (MLText 6421 6447 uid 49,0 6422 6448 va (VaSet … … 6426 6452 tm "BdCompilerDirectivesTextMgr" 6427 6453 ) 6428 *21 1(Text6454 *212 (Text 6429 6455 uid 50,0 6430 6456 va (VaSet … … 6436 6462 blo "20000,5800" 6437 6463 ) 6438 *21 2(MLText6464 *213 (MLText 6439 6465 uid 51,0 6440 6466 va (VaSet … … 6448 6474 ) 6449 6475 windowSize "0,0,1681,1030" 6450 viewArea "60000,4200,152106,6 0144"6476 viewArea "60000,4200,152106,61908" 6451 6477 cachedDiagramExtent "-92000,0,146000,98000" 6452 6478 pageSetupInfo (PageSetupInfo … … 6461 6487 hasePageBreakOrigin 1 6462 6488 pageBreakOrigin "-146000,0" 6463 lastUid 2 446,06489 lastUid 2551,0 6464 6490 defaultCommentText (CommentText 6465 6491 shape (Rectangle … … 6476 6502 fg "0,0,32768" 6477 6503 ) 6478 xt "200,200,2 000,1200"6504 xt "200,200,2400,1200" 6479 6505 st " 6480 6506 Text … … 6523 6549 stg "VerticalLayoutStrategy" 6524 6550 textVec [ 6525 *21 3(Text6551 *214 (Text 6526 6552 va (VaSet 6527 6553 font "Arial,8,1" … … 6532 6558 tm "BdLibraryNameMgr" 6533 6559 ) 6534 *21 4(Text6560 *215 (Text 6535 6561 va (VaSet 6536 6562 font "Arial,8,1" … … 6541 6567 tm "BlkNameMgr" 6542 6568 ) 6543 *21 5(Text6569 *216 (Text 6544 6570 va (VaSet 6545 6571 font "Arial,8,1" … … 6592 6618 stg "VerticalLayoutStrategy" 6593 6619 textVec [ 6594 *21 6(Text6620 *217 (Text 6595 6621 va (VaSet 6596 6622 font "Arial,8,1" … … 6600 6626 blo "550,4300" 6601 6627 ) 6602 *21 7(Text6628 *218 (Text 6603 6629 va (VaSet 6604 6630 font "Arial,8,1" … … 6608 6634 blo "550,5300" 6609 6635 ) 6610 *21 8(Text6636 *219 (Text 6611 6637 va (VaSet 6612 6638 font "Arial,8,1" … … 6657 6683 stg "VerticalLayoutStrategy" 6658 6684 textVec [ 6659 *2 19(Text6685 *220 (Text 6660 6686 va (VaSet 6661 6687 font "Arial,8,1" … … 6666 6692 tm "BdLibraryNameMgr" 6667 6693 ) 6668 *22 0(Text6694 *221 (Text 6669 6695 va (VaSet 6670 6696 font "Arial,8,1" … … 6675 6701 tm "CptNameMgr" 6676 6702 ) 6677 *22 1(Text6703 *222 (Text 6678 6704 va (VaSet 6679 6705 font "Arial,8,1" … … 6729 6755 stg "VerticalLayoutStrategy" 6730 6756 textVec [ 6731 *22 2(Text6757 *223 (Text 6732 6758 va (VaSet 6733 6759 font "Arial,8,1" … … 6737 6763 blo "500,4300" 6738 6764 ) 6739 *22 3(Text6765 *224 (Text 6740 6766 va (VaSet 6741 6767 font "Arial,8,1" … … 6745 6771 blo "500,5300" 6746 6772 ) 6747 *22 4(Text6773 *225 (Text 6748 6774 va (VaSet 6749 6775 font "Arial,8,1" … … 6790 6816 stg "VerticalLayoutStrategy" 6791 6817 textVec [ 6792 *22 5(Text6818 *226 (Text 6793 6819 va (VaSet 6794 6820 font "Arial,8,1" … … 6798 6824 blo "50,4300" 6799 6825 ) 6800 *22 6(Text6826 *227 (Text 6801 6827 va (VaSet 6802 6828 font "Arial,8,1" … … 6806 6832 blo "50,5300" 6807 6833 ) 6808 *22 7(Text6834 *228 (Text 6809 6835 va (VaSet 6810 6836 font "Arial,8,1" … … 6847 6873 stg "VerticalLayoutStrategy" 6848 6874 textVec [ 6849 *22 8(Text6875 *229 (Text 6850 6876 va (VaSet 6851 6877 font "Arial,8,1" … … 6856 6882 tm "HdlTextNameMgr" 6857 6883 ) 6858 *2 29(Text6884 *230 (Text 6859 6885 va (VaSet 6860 6886 font "Arial,8,1" … … 6894 6920 va (VaSet 6895 6921 ) 6896 xt "200,200,2 000,1200"6922 xt "200,200,2400,1200" 6897 6923 st " 6898 6924 Text … … 7232 7258 va (VaSet 7233 7259 ) 7234 xt "0,-1100,12 600,-100"7260 xt "0,-1100,12900,-100" 7235 7261 st "g0: FOR i IN 0 TO n GENERATE" 7236 7262 tm "FrameTitleTextMgr" … … 7259 7285 stg "VerticalLayoutStrategy" 7260 7286 textVec [ 7261 *23 0(Text7287 *231 (Text 7262 7288 va (VaSet 7263 7289 font "Arial,8,1" … … 7267 7293 blo "14100,20800" 7268 7294 ) 7269 *23 1(MLText7295 *232 (MLText 7270 7296 va (VaSet 7271 7297 ) … … 7292 7318 va (VaSet 7293 7319 ) 7294 xt "0,-1100,7 400,-100"7320 xt "0,-1100,7700,-100" 7295 7321 st "b0: BLOCK (guard)" 7296 7322 tm "FrameTitleTextMgr" … … 7319 7345 stg "VerticalLayoutStrategy" 7320 7346 textVec [ 7321 *23 2(Text7347 *233 (Text 7322 7348 va (VaSet 7323 7349 font "Arial,8,1" … … 7327 7353 blo "14100,20800" 7328 7354 ) 7329 *23 3(MLText7355 *234 (MLText 7330 7356 va (VaSet 7331 7357 ) … … 7473 7499 suid 51,0 7474 7500 usingSuid 1 7475 emptyRow *23 4(LEmptyRow7501 emptyRow *235 (LEmptyRow 7476 7502 ) 7477 7503 uid 54,0 7478 7504 optionalChildren [ 7479 *23 5(RefLabelRowHdr7480 ) 7481 *23 6(TitleRowHdr7482 ) 7483 *23 7(FilterRowHdr7484 ) 7485 *23 8(RefLabelColHdr7505 *236 (RefLabelRowHdr 7506 ) 7507 *237 (TitleRowHdr 7508 ) 7509 *238 (FilterRowHdr 7510 ) 7511 *239 (RefLabelColHdr 7486 7512 tm "RefLabelColHdrMgr" 7487 7513 ) 7488 *2 39(RowExpandColHdr7514 *240 (RowExpandColHdr 7489 7515 tm "RowExpandColHdrMgr" 7490 7516 ) 7491 *24 0(GroupColHdr7517 *241 (GroupColHdr 7492 7518 tm "GroupColHdrMgr" 7493 7519 ) 7494 *24 1(NameColHdr7520 *242 (NameColHdr 7495 7521 tm "BlockDiagramNameColHdrMgr" 7496 7522 ) 7497 *24 2(ModeColHdr7523 *243 (ModeColHdr 7498 7524 tm "BlockDiagramModeColHdrMgr" 7499 7525 ) 7500 *24 3(TypeColHdr7526 *244 (TypeColHdr 7501 7527 tm "BlockDiagramTypeColHdrMgr" 7502 7528 ) 7503 *24 4(BoundsColHdr7529 *245 (BoundsColHdr 7504 7530 tm "BlockDiagramBoundsColHdrMgr" 7505 7531 ) 7506 *24 5(InitColHdr7532 *246 (InitColHdr 7507 7533 tm "BlockDiagramInitColHdrMgr" 7508 7534 ) 7509 *24 6(EolColHdr7535 *247 (EolColHdr 7510 7536 tm "BlockDiagramEolColHdrMgr" 7511 7537 ) 7512 *24 7(LeafLogPort7538 *248 (LeafLogPort 7513 7539 port (LogicalPort 7514 7540 m 4 … … 7524 7550 uid 340,0 7525 7551 ) 7526 *24 8(LeafLogPort7552 *249 (LeafLogPort 7527 7553 port (LogicalPort 7528 7554 m 4 … … 7537 7563 uid 342,0 7538 7564 ) 7539 *2 49(LeafLogPort7565 *250 (LeafLogPort 7540 7566 port (LogicalPort 7541 7567 m 4 … … 7550 7576 uid 344,0 7551 7577 ) 7552 *25 0(LeafLogPort7578 *251 (LeafLogPort 7553 7579 port (LogicalPort 7554 7580 m 4 … … 7563 7589 uid 346,0 7564 7590 ) 7565 *25 1(LeafLogPort7591 *252 (LeafLogPort 7566 7592 port (LogicalPort 7567 7593 m 4 … … 7576 7602 uid 348,0 7577 7603 ) 7578 *25 2(LeafLogPort7604 *253 (LeafLogPort 7579 7605 port (LogicalPort 7580 7606 m 4 … … 7589 7615 uid 404,0 7590 7616 ) 7591 *25 3(LeafLogPort7617 *254 (LeafLogPort 7592 7618 port (LogicalPort 7593 7619 m 4 … … 7601 7627 uid 406,0 7602 7628 ) 7603 *25 4(LeafLogPort7629 *255 (LeafLogPort 7604 7630 port (LogicalPort 7605 7631 m 4 … … 7615 7641 uid 408,0 7616 7642 ) 7617 *25 5(LeafLogPort7643 *256 (LeafLogPort 7618 7644 port (LogicalPort 7619 7645 m 4 … … 7629 7655 uid 456,0 7630 7656 ) 7631 *25 6(LeafLogPort7657 *257 (LeafLogPort 7632 7658 port (LogicalPort 7633 7659 m 4 … … 7644 7670 uid 458,0 7645 7671 ) 7646 *25 7(LeafLogPort7672 *258 (LeafLogPort 7647 7673 port (LogicalPort 7648 7674 m 4 … … 7657 7683 uid 460,0 7658 7684 ) 7659 *25 8(LeafLogPort7685 *259 (LeafLogPort 7660 7686 port (LogicalPort 7661 7687 m 4 … … 7670 7696 uid 584,0 7671 7697 ) 7672 *2 59(LeafLogPort7698 *260 (LeafLogPort 7673 7699 port (LogicalPort 7674 7700 m 4 … … 7682 7708 uid 586,0 7683 7709 ) 7684 *26 0(LeafLogPort7710 *261 (LeafLogPort 7685 7711 port (LogicalPort 7686 7712 m 4 … … 7696 7722 uid 588,0 7697 7723 ) 7698 *26 1(LeafLogPort7724 *262 (LeafLogPort 7699 7725 port (LogicalPort 7700 7726 m 4 … … 7710 7736 uid 590,0 7711 7737 ) 7712 *26 2(LeafLogPort7738 *263 (LeafLogPort 7713 7739 port (LogicalPort 7714 7740 m 4 … … 7725 7751 uid 592,0 7726 7752 ) 7727 *26 3(LeafLogPort7753 *264 (LeafLogPort 7728 7754 port (LogicalPort 7729 7755 m 4 … … 7738 7764 uid 903,0 7739 7765 ) 7740 *26 4(LeafLogPort7766 *265 (LeafLogPort 7741 7767 port (LogicalPort 7742 7768 m 4 … … 7753 7779 uid 905,0 7754 7780 ) 7755 *26 5(LeafLogPort7781 *266 (LeafLogPort 7756 7782 port (LogicalPort 7757 7783 m 4 … … 7766 7792 uid 907,0 7767 7793 ) 7768 *266 (LeafLogPort7769 port (LogicalPort7770 m 47771 decl (Decl7772 n "wiz_int"7773 t "std_logic"7774 o 247775 suid 26,07776 )7777 )7778 uid 909,07779 )7780 7794 *267 (LeafLogPort 7781 7795 port (LogicalPort 7782 7796 m 4 7783 7797 decl (Decl 7784 n " dac_cs"7785 t "std_logic" 7786 o 2 57787 suid 2 7,07788 ) 7789 ) 7790 uid 9 11,07798 n "wiz_int" 7799 t "std_logic" 7800 o 24 7801 suid 26,0 7802 ) 7803 ) 7804 uid 909,0 7791 7805 ) 7792 7806 *268 (LeafLogPort … … 7794 7808 m 4 7795 7809 decl (Decl 7810 n "dac_cs" 7811 t "std_logic" 7812 o 25 7813 suid 27,0 7814 ) 7815 ) 7816 uid 911,0 7817 ) 7818 *269 (LeafLogPort 7819 port (LogicalPort 7820 m 4 7821 decl (Decl 7796 7822 n "mosi" 7797 7823 t "std_logic" … … 7803 7829 uid 913,0 7804 7830 ) 7805 *2 69(LeafLogPort7831 *270 (LeafLogPort 7806 7832 port (LogicalPort 7807 7833 m 4 … … 7818 7844 uid 915,0 7819 7845 ) 7820 *270 (LeafLogPort7821 port (LogicalPort7822 m 47823 decl (Decl7824 n "CLK_25_PS"7825 t "std_logic"7826 o 287827 suid 30,07828 )7829 )7830 uid 917,07831 )7832 7846 *271 (LeafLogPort 7833 7847 port (LogicalPort 7834 7848 m 4 7835 7849 decl (Decl 7850 n "CLK_25_PS" 7851 t "std_logic" 7852 o 28 7853 suid 30,0 7854 ) 7855 ) 7856 uid 917,0 7857 ) 7858 *272 (LeafLogPort 7859 port (LogicalPort 7860 m 4 7861 decl (Decl 7836 7862 n "CLK_50" 7837 7863 t "std_logic" … … 7842 7868 uid 919,0 7843 7869 ) 7844 *27 2(LeafLogPort7870 *273 (LeafLogPort 7845 7871 port (LogicalPort 7846 7872 m 4 … … 7856 7882 uid 921,0 7857 7883 ) 7858 *27 3(LeafLogPort7884 *274 (LeafLogPort 7859 7885 port (LogicalPort 7860 7886 m 4 … … 7869 7895 uid 923,0 7870 7896 ) 7871 *27 4(LeafLogPort7897 *275 (LeafLogPort 7872 7898 port (LogicalPort 7873 7899 m 4 … … 7882 7908 uid 925,0 7883 7909 ) 7884 *27 5(LeafLogPort7910 *276 (LeafLogPort 7885 7911 port (LogicalPort 7886 7912 m 4 … … 7895 7921 uid 927,0 7896 7922 ) 7897 *276 (LeafLogPort7898 port (LogicalPort7899 m 47900 decl (Decl7901 n "SROUT_in_0"7902 t "std_logic"7903 o 307904 suid 36,07905 )7906 )7907 uid 929,07908 )7909 7923 *277 (LeafLogPort 7910 7924 port (LogicalPort 7911 7925 m 4 7912 7926 decl (Decl 7913 n "SROUT_in_ 1"7914 t "std_logic" 7915 o 3 17916 suid 3 7,07917 ) 7918 ) 7919 uid 9 31,07927 n "SROUT_in_0" 7928 t "std_logic" 7929 o 30 7930 suid 36,0 7931 ) 7932 ) 7933 uid 929,0 7920 7934 ) 7921 7935 *278 (LeafLogPort … … 7923 7937 m 4 7924 7938 decl (Decl 7925 n "SROUT_in_ 2"7926 t "std_logic" 7927 o 3 27928 suid 3 8,07929 ) 7930 ) 7931 uid 93 3,07939 n "SROUT_in_1" 7940 t "std_logic" 7941 o 31 7942 suid 37,0 7943 ) 7944 ) 7945 uid 931,0 7932 7946 ) 7933 7947 *279 (LeafLogPort … … 7935 7949 m 4 7936 7950 decl (Decl 7937 n "SROUT_in_ 3"7938 t "std_logic" 7939 o 3 37940 suid 3 9,07941 ) 7942 ) 7943 uid 93 5,07951 n "SROUT_in_2" 7952 t "std_logic" 7953 o 32 7954 suid 38,0 7955 ) 7956 ) 7957 uid 933,0 7944 7958 ) 7945 7959 *280 (LeafLogPort … … 7947 7961 m 4 7948 7962 decl (Decl 7963 n "SROUT_in_3" 7964 t "std_logic" 7965 o 33 7966 suid 39,0 7967 ) 7968 ) 7969 uid 935,0 7970 ) 7971 *281 (LeafLogPort 7972 port (LogicalPort 7973 m 4 7974 decl (Decl 7949 7975 n "SRIN_out" 7950 7976 t "std_logic" … … 7956 7982 uid 1541,0 7957 7983 ) 7958 *281 (LeafLogPort7959 port (LogicalPort7960 m 47961 decl (Decl7962 n "amber"7963 t "std_logic"7964 o 357965 suid 41,07966 )7967 )7968 uid 1543,07969 )7970 7984 *282 (LeafLogPort 7971 7985 port (LogicalPort 7972 7986 m 4 7973 7987 decl (Decl 7974 n " red"7975 t "std_logic" 7976 o 3 67977 suid 4 2,07978 ) 7979 ) 7980 uid 154 5,07988 n "amber" 7989 t "std_logic" 7990 o 35 7991 suid 41,0 7992 ) 7993 ) 7994 uid 1543,0 7981 7995 ) 7982 7996 *283 (LeafLogPort … … 7984 7998 m 4 7985 7999 decl (Decl 8000 n "red" 8001 t "std_logic" 8002 o 36 8003 suid 42,0 8004 ) 8005 ) 8006 uid 1545,0 8007 ) 8008 *284 (LeafLogPort 8009 port (LogicalPort 8010 m 4 8011 decl (Decl 7986 8012 n "green" 7987 8013 t "std_logic" … … 7992 8018 uid 1547,0 7993 8019 ) 7994 *28 4(LeafLogPort8020 *285 (LeafLogPort 7995 8021 port (LogicalPort 7996 8022 m 4 … … 8005 8031 uid 1549,0 8006 8032 ) 8007 *28 5(LeafLogPort8033 *286 (LeafLogPort 8008 8034 port (LogicalPort 8009 8035 m 4 … … 8018 8044 uid 1551,0 8019 8045 ) 8020 *28 6(LeafLogPort8046 *287 (LeafLogPort 8021 8047 port (LogicalPort 8022 8048 m 4 … … 8030 8056 uid 1553,0 8031 8057 ) 8032 *28 7(LeafLogPort8058 *288 (LeafLogPort 8033 8059 port (LogicalPort 8034 8060 m 4 … … 8043 8069 uid 1555,0 8044 8070 ) 8045 *28 8(LeafLogPort8071 *289 (LeafLogPort 8046 8072 port (LogicalPort 8047 8073 m 4 … … 8057 8083 uid 1575,0 8058 8084 ) 8059 *2 89(LeafLogPort8085 *290 (LeafLogPort 8060 8086 port (LogicalPort 8061 8087 lang 2 … … 8070 8096 uid 1690,0 8071 8097 ) 8072 *29 0(LeafLogPort8098 *291 (LeafLogPort 8073 8099 port (LogicalPort 8074 8100 m 4 … … 8090 8116 uid 67,0 8091 8117 optionalChildren [ 8092 *29 1(Sheet8118 *292 (Sheet 8093 8119 sheetRow (SheetRow 8094 8120 headerVa (MVa … … 8107 8133 font "Tahoma,10,0" 8108 8134 ) 8109 emptyMRCItem *29 2(MRCItem8110 litem &23 48135 emptyMRCItem *293 (MRCItem 8136 litem &235 8111 8137 pos 44 8112 8138 dimension 20 … … 8114 8140 uid 69,0 8115 8141 optionalChildren [ 8116 *29 3(MRCItem8117 litem &23 58142 *294 (MRCItem 8143 litem &236 8118 8144 pos 0 8119 8145 dimension 20 8120 8146 uid 70,0 8121 8147 ) 8122 *29 4(MRCItem8123 litem &23 68148 *295 (MRCItem 8149 litem &237 8124 8150 pos 1 8125 8151 dimension 23 8126 8152 uid 71,0 8127 8153 ) 8128 *29 5(MRCItem8129 litem &23 78154 *296 (MRCItem 8155 litem &238 8130 8156 pos 2 8131 8157 hidden 1 … … 8133 8159 uid 72,0 8134 8160 ) 8135 *29 6(MRCItem8136 litem &24 78161 *297 (MRCItem 8162 litem &248 8137 8163 pos 0 8138 8164 dimension 20 8139 8165 uid 341,0 8140 8166 ) 8141 *29 7(MRCItem8142 litem &24 88167 *298 (MRCItem 8168 litem &249 8143 8169 pos 1 8144 8170 dimension 20 8145 8171 uid 343,0 8146 8172 ) 8147 *29 8(MRCItem8148 litem &2 498173 *299 (MRCItem 8174 litem &250 8149 8175 pos 2 8150 8176 dimension 20 8151 8177 uid 345,0 8152 8178 ) 8153 * 299(MRCItem8154 litem &25 08179 *300 (MRCItem 8180 litem &251 8155 8181 pos 3 8156 8182 dimension 20 8157 8183 uid 347,0 8158 8184 ) 8159 *30 0(MRCItem8160 litem &25 18185 *301 (MRCItem 8186 litem &252 8161 8187 pos 4 8162 8188 dimension 20 8163 8189 uid 349,0 8164 8190 ) 8165 *30 1(MRCItem8166 litem &25 28191 *302 (MRCItem 8192 litem &253 8167 8193 pos 5 8168 8194 dimension 20 8169 8195 uid 405,0 8170 8196 ) 8171 *30 2(MRCItem8172 litem &25 38197 *303 (MRCItem 8198 litem &254 8173 8199 pos 6 8174 8200 dimension 20 8175 8201 uid 407,0 8176 8202 ) 8177 *30 3(MRCItem8178 litem &25 48203 *304 (MRCItem 8204 litem &255 8179 8205 pos 7 8180 8206 dimension 20 8181 8207 uid 409,0 8182 8208 ) 8183 *30 4(MRCItem8184 litem &25 58209 *305 (MRCItem 8210 litem &256 8185 8211 pos 8 8186 8212 dimension 20 8187 8213 uid 457,0 8188 8214 ) 8189 *30 5(MRCItem8190 litem &25 68215 *306 (MRCItem 8216 litem &257 8191 8217 pos 9 8192 8218 dimension 20 8193 8219 uid 459,0 8194 8220 ) 8195 *30 6(MRCItem8196 litem &25 78221 *307 (MRCItem 8222 litem &258 8197 8223 pos 10 8198 8224 dimension 20 8199 8225 uid 461,0 8200 8226 ) 8201 *30 7(MRCItem8202 litem &25 88227 *308 (MRCItem 8228 litem &259 8203 8229 pos 11 8204 8230 dimension 20 8205 8231 uid 585,0 8206 8232 ) 8207 *30 8(MRCItem8208 litem &2 598233 *309 (MRCItem 8234 litem &260 8209 8235 pos 12 8210 8236 dimension 20 8211 8237 uid 587,0 8212 8238 ) 8213 *3 09(MRCItem8214 litem &26 08239 *310 (MRCItem 8240 litem &261 8215 8241 pos 13 8216 8242 dimension 20 8217 8243 uid 589,0 8218 8244 ) 8219 *31 0(MRCItem8220 litem &26 18245 *311 (MRCItem 8246 litem &262 8221 8247 pos 14 8222 8248 dimension 20 8223 8249 uid 591,0 8224 8250 ) 8225 *31 1(MRCItem8226 litem &26 28251 *312 (MRCItem 8252 litem &263 8227 8253 pos 15 8228 8254 dimension 20 8229 8255 uid 593,0 8230 8256 ) 8231 *31 2(MRCItem8232 litem &26 38257 *313 (MRCItem 8258 litem &264 8233 8259 pos 16 8234 8260 dimension 20 8235 8261 uid 904,0 8236 8262 ) 8237 *31 3(MRCItem8238 litem &26 48263 *314 (MRCItem 8264 litem &265 8239 8265 pos 17 8240 8266 dimension 20 8241 8267 uid 906,0 8242 8268 ) 8243 *31 4(MRCItem8244 litem &26 58269 *315 (MRCItem 8270 litem &266 8245 8271 pos 18 8246 8272 dimension 20 8247 8273 uid 908,0 8248 8274 ) 8249 *31 5(MRCItem8250 litem &26 68275 *316 (MRCItem 8276 litem &267 8251 8277 pos 19 8252 8278 dimension 20 8253 8279 uid 910,0 8254 8280 ) 8255 *31 6(MRCItem8256 litem &26 78281 *317 (MRCItem 8282 litem &268 8257 8283 pos 20 8258 8284 dimension 20 8259 8285 uid 912,0 8260 8286 ) 8261 *31 7(MRCItem8262 litem &26 88287 *318 (MRCItem 8288 litem &269 8263 8289 pos 21 8264 8290 dimension 20 8265 8291 uid 914,0 8266 8292 ) 8267 *31 8(MRCItem8268 litem &2 698293 *319 (MRCItem 8294 litem &270 8269 8295 pos 22 8270 8296 dimension 20 8271 8297 uid 916,0 8272 8298 ) 8273 *3 19(MRCItem8274 litem &27 08299 *320 (MRCItem 8300 litem &271 8275 8301 pos 23 8276 8302 dimension 20 8277 8303 uid 918,0 8278 8304 ) 8279 *32 0(MRCItem8280 litem &27 18305 *321 (MRCItem 8306 litem &272 8281 8307 pos 24 8282 8308 dimension 20 8283 8309 uid 920,0 8284 8310 ) 8285 *32 1(MRCItem8286 litem &27 28311 *322 (MRCItem 8312 litem &273 8287 8313 pos 25 8288 8314 dimension 20 8289 8315 uid 922,0 8290 8316 ) 8291 *32 2(MRCItem8292 litem &27 38317 *323 (MRCItem 8318 litem &274 8293 8319 pos 26 8294 8320 dimension 20 8295 8321 uid 924,0 8296 8322 ) 8297 *32 3(MRCItem8298 litem &27 48323 *324 (MRCItem 8324 litem &275 8299 8325 pos 27 8300 8326 dimension 20 8301 8327 uid 926,0 8302 8328 ) 8303 *32 4(MRCItem8304 litem &27 58329 *325 (MRCItem 8330 litem &276 8305 8331 pos 28 8306 8332 dimension 20 8307 8333 uid 928,0 8308 8334 ) 8309 *32 5(MRCItem8310 litem &27 68335 *326 (MRCItem 8336 litem &277 8311 8337 pos 29 8312 8338 dimension 20 8313 8339 uid 930,0 8314 8340 ) 8315 *32 6(MRCItem8316 litem &27 78341 *327 (MRCItem 8342 litem &278 8317 8343 pos 30 8318 8344 dimension 20 8319 8345 uid 932,0 8320 8346 ) 8321 *32 7(MRCItem8322 litem &27 88347 *328 (MRCItem 8348 litem &279 8323 8349 pos 31 8324 8350 dimension 20 8325 8351 uid 934,0 8326 8352 ) 8327 *32 8(MRCItem8328 litem &2 798353 *329 (MRCItem 8354 litem &280 8329 8355 pos 32 8330 8356 dimension 20 8331 8357 uid 936,0 8332 8358 ) 8333 *3 29(MRCItem8334 litem &28 08359 *330 (MRCItem 8360 litem &281 8335 8361 pos 33 8336 8362 dimension 20 8337 8363 uid 1542,0 8338 8364 ) 8339 *33 0(MRCItem8340 litem &28 18365 *331 (MRCItem 8366 litem &282 8341 8367 pos 34 8342 8368 dimension 20 8343 8369 uid 1544,0 8344 8370 ) 8345 *33 1(MRCItem8346 litem &28 28371 *332 (MRCItem 8372 litem &283 8347 8373 pos 35 8348 8374 dimension 20 8349 8375 uid 1546,0 8350 8376 ) 8351 *33 2(MRCItem8352 litem &28 38377 *333 (MRCItem 8378 litem &284 8353 8379 pos 36 8354 8380 dimension 20 8355 8381 uid 1548,0 8356 8382 ) 8357 *33 3(MRCItem8358 litem &28 48383 *334 (MRCItem 8384 litem &285 8359 8385 pos 37 8360 8386 dimension 20 8361 8387 uid 1550,0 8362 8388 ) 8363 *33 4(MRCItem8364 litem &28 58389 *335 (MRCItem 8390 litem &286 8365 8391 pos 38 8366 8392 dimension 20 8367 8393 uid 1552,0 8368 8394 ) 8369 *33 5(MRCItem8370 litem &28 68395 *336 (MRCItem 8396 litem &287 8371 8397 pos 39 8372 8398 dimension 20 8373 8399 uid 1554,0 8374 8400 ) 8375 *33 6(MRCItem8376 litem &28 78401 *337 (MRCItem 8402 litem &288 8377 8403 pos 40 8378 8404 dimension 20 8379 8405 uid 1556,0 8380 8406 ) 8381 *33 7(MRCItem8382 litem &28 88407 *338 (MRCItem 8408 litem &289 8383 8409 pos 41 8384 8410 dimension 20 8385 8411 uid 1576,0 8386 8412 ) 8387 *33 8(MRCItem8388 litem &2 898413 *339 (MRCItem 8414 litem &290 8389 8415 pos 42 8390 8416 dimension 20 8391 8417 uid 1691,0 8392 8418 ) 8393 *3 39(MRCItem8394 litem &29 08419 *340 (MRCItem 8420 litem &291 8395 8421 pos 43 8396 8422 dimension 20 … … 8408 8434 uid 73,0 8409 8435 optionalChildren [ 8410 *34 0(MRCItem8411 litem &23 88436 *341 (MRCItem 8437 litem &239 8412 8438 pos 0 8413 8439 dimension 20 8414 8440 uid 74,0 8415 8441 ) 8416 *34 1(MRCItem8417 litem &24 08442 *342 (MRCItem 8443 litem &241 8418 8444 pos 1 8419 8445 dimension 50 8420 8446 uid 75,0 8421 8447 ) 8422 *34 2(MRCItem8423 litem &24 18448 *343 (MRCItem 8449 litem &242 8424 8450 pos 2 8425 8451 dimension 100 8426 8452 uid 76,0 8427 8453 ) 8428 *34 3(MRCItem8429 litem &24 28454 *344 (MRCItem 8455 litem &243 8430 8456 pos 3 8431 8457 dimension 50 8432 8458 uid 77,0 8433 8459 ) 8434 *34 4(MRCItem8435 litem &24 38460 *345 (MRCItem 8461 litem &244 8436 8462 pos 4 8437 8463 dimension 100 8438 8464 uid 78,0 8439 8465 ) 8440 *34 5(MRCItem8441 litem &24 48466 *346 (MRCItem 8467 litem &245 8442 8468 pos 5 8443 8469 dimension 100 8444 8470 uid 79,0 8445 8471 ) 8446 *34 6(MRCItem8447 litem &24 58472 *347 (MRCItem 8473 litem &246 8448 8474 pos 6 8449 8475 dimension 50 8450 8476 uid 80,0 8451 8477 ) 8452 *34 7(MRCItem8453 litem &24 68478 *348 (MRCItem 8479 litem &247 8454 8480 pos 7 8455 8481 dimension 80 … … 8471 8497 genericsCommonDM (CommonDM 8472 8498 ldm (LogicalDM 8473 emptyRow *34 8(LEmptyRow8499 emptyRow *349 (LEmptyRow 8474 8500 ) 8475 8501 uid 83,0 8476 8502 optionalChildren [ 8477 *3 49(RefLabelRowHdr8478 ) 8479 *35 0(TitleRowHdr8480 ) 8481 *35 1(FilterRowHdr8482 ) 8483 *35 2(RefLabelColHdr8503 *350 (RefLabelRowHdr 8504 ) 8505 *351 (TitleRowHdr 8506 ) 8507 *352 (FilterRowHdr 8508 ) 8509 *353 (RefLabelColHdr 8484 8510 tm "RefLabelColHdrMgr" 8485 8511 ) 8486 *35 3(RowExpandColHdr8512 *354 (RowExpandColHdr 8487 8513 tm "RowExpandColHdrMgr" 8488 8514 ) 8489 *35 4(GroupColHdr8515 *355 (GroupColHdr 8490 8516 tm "GroupColHdrMgr" 8491 8517 ) 8492 *35 5(NameColHdr8518 *356 (NameColHdr 8493 8519 tm "GenericNameColHdrMgr" 8494 8520 ) 8495 *35 6(TypeColHdr8521 *357 (TypeColHdr 8496 8522 tm "GenericTypeColHdrMgr" 8497 8523 ) 8498 *35 7(InitColHdr8524 *358 (InitColHdr 8499 8525 tm "GenericValueColHdrMgr" 8500 8526 ) 8501 *35 8(PragmaColHdr8527 *359 (PragmaColHdr 8502 8528 tm "GenericPragmaColHdrMgr" 8503 8529 ) 8504 *3 59(EolColHdr8530 *360 (EolColHdr 8505 8531 tm "GenericEolColHdrMgr" 8506 8532 ) … … 8512 8538 uid 95,0 8513 8539 optionalChildren [ 8514 *36 0(Sheet8540 *361 (Sheet 8515 8541 sheetRow (SheetRow 8516 8542 headerVa (MVa … … 8529 8555 font "Tahoma,10,0" 8530 8556 ) 8531 emptyMRCItem *361 (MRCItem 8532 litem &348 8533 pos 0 8534 dimension 20 8535 ) 8536 uid 97,0 8537 optionalChildren [ 8538 *362 (MRCItem 8557 emptyMRCItem *362 (MRCItem 8539 8558 litem &349 8540 8559 pos 0 8541 8560 dimension 20 8542 uid 98,0 8543 ) 8561 ) 8562 uid 97,0 8563 optionalChildren [ 8544 8564 *363 (MRCItem 8545 8565 litem &350 8566 pos 0 8567 dimension 20 8568 uid 98,0 8569 ) 8570 *364 (MRCItem 8571 litem &351 8546 8572 pos 1 8547 8573 dimension 23 8548 8574 uid 99,0 8549 8575 ) 8550 *36 4(MRCItem8551 litem &35 18576 *365 (MRCItem 8577 litem &352 8552 8578 pos 2 8553 8579 hidden 1 … … 8566 8592 uid 101,0 8567 8593 optionalChildren [ 8568 *36 5(MRCItem8569 litem &35 28594 *366 (MRCItem 8595 litem &353 8570 8596 pos 0 8571 8597 dimension 20 8572 8598 uid 102,0 8573 8599 ) 8574 *36 6(MRCItem8575 litem &35 48600 *367 (MRCItem 8601 litem &355 8576 8602 pos 1 8577 8603 dimension 50 8578 8604 uid 103,0 8579 8605 ) 8580 *36 7(MRCItem8581 litem &35 58606 *368 (MRCItem 8607 litem &356 8582 8608 pos 2 8583 8609 dimension 100 8584 8610 uid 104,0 8585 8611 ) 8586 *36 8(MRCItem8587 litem &35 68612 *369 (MRCItem 8613 litem &357 8588 8614 pos 3 8589 8615 dimension 100 8590 8616 uid 105,0 8591 8617 ) 8592 *3 69(MRCItem8593 litem &35 78618 *370 (MRCItem 8619 litem &358 8594 8620 pos 4 8595 8621 dimension 50 8596 8622 uid 106,0 8597 8623 ) 8598 *37 0(MRCItem8599 litem &35 88624 *371 (MRCItem 8625 litem &359 8600 8626 pos 5 8601 8627 dimension 50 8602 8628 uid 107,0 8603 8629 ) 8604 *37 1(MRCItem8605 litem &3 598630 *372 (MRCItem 8631 litem &360 8606 8632 pos 6 8607 8633 dimension 80 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd.bak
r10225 r10240 354 354 (vvPair 355 355 variable "time" 356 value "15:3 0:11"356 value "15:31:34" 357 357 ) 358 358 (vvPair … … 4459 4459 n "wr" 4460 4460 t "std_logic" 4461 prec "-- int : out std_logic := '1';"4462 4461 preAdd 0 4463 4462 posAdd 0 … … 4496 4495 ) 4497 4496 xt "124000,27500,125200,28500" 4498 st "' 0'"4497 st "'1'" 4499 4498 blo "124000,28300" 4500 4499 ) … … 4507 4506 o 1 4508 4507 suid 5,0 4509 i "' 0'"4508 i "'1'" 4510 4509 ) 4511 4510 ) … … 6449 6448 ) 6450 6449 windowSize "0,0,1681,1030" 6451 viewArea " 59994,4224,152100,60168"6450 viewArea "60000,4200,152106,60144" 6452 6451 cachedDiagramExtent "-92000,0,146000,98000" 6453 6452 pageSetupInfo (PageSetupInfo … … 6462 6461 hasePageBreakOrigin 1 6463 6462 pageBreakOrigin "-146000,0" 6464 lastUid 2 345,06463 lastUid 2446,0 6465 6464 defaultCommentText (CommentText 6466 6465 shape (Rectangle -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/w5300_emulator/symbol.sb
r10225 r10240 31 31 ldm (LogicalDM 32 32 ordering 1 33 suid 5,033 suid 6,0 34 34 usingSuid 1 35 35 emptyRow *1 (LEmptyRow … … 119 119 preAdd 0 120 120 posAdd 0 121 o 5121 o 6 122 122 suid 4,0 123 123 ) … … 138 138 uid 169,0 139 139 ) 140 *19 (LogPort 141 port (LogicalPort 142 decl (Decl 143 n "cs" 144 t "std_logic" 145 o 5 146 suid 6,0 147 ) 148 ) 149 uid 291,0 150 ) 140 151 ] 141 152 ) … … 145 156 uid 97,0 146 157 optionalChildren [ 147 * 19(Sheet158 *20 (Sheet 148 159 sheetRow (SheetRow 149 160 headerVa (MVa … … 162 173 font "Tahoma,10,0" 163 174 ) 164 emptyMRCItem *2 0(MRCItem175 emptyMRCItem *21 (MRCItem 165 176 litem &1 166 177 pos 3 … … 169 180 uid 99,0 170 181 optionalChildren [ 171 *2 1(MRCItem182 *22 (MRCItem 172 183 litem &2 173 184 pos 0 … … 175 186 uid 100,0 176 187 ) 177 *2 2(MRCItem188 *23 (MRCItem 178 189 litem &3 179 190 pos 1 … … 181 192 uid 101,0 182 193 ) 183 *2 3(MRCItem194 *24 (MRCItem 184 195 litem &4 185 196 pos 2 … … 188 199 uid 102,0 189 200 ) 190 *2 4(MRCItem201 *25 (MRCItem 191 202 litem &14 192 203 pos 0 … … 194 205 uid 72,0 195 206 ) 196 *2 5(MRCItem207 *26 (MRCItem 197 208 litem &15 198 209 pos 1 … … 200 211 uid 74,0 201 212 ) 202 *2 6(MRCItem213 *27 (MRCItem 203 214 litem &16 204 215 pos 2 … … 206 217 uid 76,0 207 218 ) 208 *2 7(MRCItem219 *28 (MRCItem 209 220 litem &17 210 221 pos 3 … … 212 223 uid 78,0 213 224 ) 214 *2 8(MRCItem225 *29 (MRCItem 215 226 litem &18 216 227 pos 4 217 228 dimension 20 218 229 uid 170,0 230 ) 231 *30 (MRCItem 232 litem &19 233 pos 5 234 dimension 20 235 uid 292,0 219 236 ) 220 237 ] … … 229 246 uid 103,0 230 247 optionalChildren [ 231 * 29(MRCItem248 *31 (MRCItem 232 249 litem &5 233 250 pos 0 … … 235 252 uid 104,0 236 253 ) 237 *3 0(MRCItem254 *32 (MRCItem 238 255 litem &7 239 256 pos 1 … … 241 258 uid 105,0 242 259 ) 243 *3 1(MRCItem260 *33 (MRCItem 244 261 litem &8 245 262 pos 2 … … 247 264 uid 106,0 248 265 ) 249 *3 2(MRCItem266 *34 (MRCItem 250 267 litem &9 251 268 pos 3 … … 253 270 uid 107,0 254 271 ) 255 *3 3(MRCItem272 *35 (MRCItem 256 273 litem &10 257 274 pos 4 … … 259 276 uid 108,0 260 277 ) 261 *3 4(MRCItem278 *36 (MRCItem 262 279 litem &11 263 280 pos 5 … … 265 282 uid 109,0 266 283 ) 267 *3 5(MRCItem284 *37 (MRCItem 268 285 litem &12 269 286 pos 6 … … 271 288 uid 110,0 272 289 ) 273 *3 6(MRCItem290 *38 (MRCItem 274 291 litem &13 275 292 pos 7 … … 292 309 genericsCommonDM (CommonDM 293 310 ldm (LogicalDM 294 emptyRow *3 7(LEmptyRow311 emptyRow *39 (LEmptyRow 295 312 ) 296 313 uid 113,0 297 314 optionalChildren [ 298 * 38(RefLabelRowHdr299 ) 300 * 39(TitleRowHdr301 ) 302 *4 0(FilterRowHdr303 ) 304 *4 1(RefLabelColHdr315 *40 (RefLabelRowHdr 316 ) 317 *41 (TitleRowHdr 318 ) 319 *42 (FilterRowHdr 320 ) 321 *43 (RefLabelColHdr 305 322 tm "RefLabelColHdrMgr" 306 323 ) 307 *4 2(RowExpandColHdr324 *44 (RowExpandColHdr 308 325 tm "RowExpandColHdrMgr" 309 326 ) 310 *4 3(GroupColHdr327 *45 (GroupColHdr 311 328 tm "GroupColHdrMgr" 312 329 ) 313 *4 4(NameColHdr330 *46 (NameColHdr 314 331 tm "GenericNameColHdrMgr" 315 332 ) 316 *4 5(TypeColHdr333 *47 (TypeColHdr 317 334 tm "GenericTypeColHdrMgr" 318 335 ) 319 *4 6(InitColHdr336 *48 (InitColHdr 320 337 tm "GenericValueColHdrMgr" 321 338 ) 322 *4 7(PragmaColHdr339 *49 (PragmaColHdr 323 340 tm "GenericPragmaColHdrMgr" 324 341 ) 325 * 48(EolColHdr342 *50 (EolColHdr 326 343 tm "GenericEolColHdrMgr" 327 344 ) … … 333 350 uid 125,0 334 351 optionalChildren [ 335 * 49(Sheet352 *51 (Sheet 336 353 sheetRow (SheetRow 337 354 headerVa (MVa … … 350 367 font "Tahoma,10,0" 351 368 ) 352 emptyMRCItem *5 0(MRCItem353 litem &3 7369 emptyMRCItem *52 (MRCItem 370 litem &39 354 371 pos 3 355 372 dimension 20 … … 357 374 uid 127,0 358 375 optionalChildren [ 359 *5 1(MRCItem360 litem & 38376 *53 (MRCItem 377 litem &40 361 378 pos 0 362 379 dimension 20 363 380 uid 128,0 364 381 ) 365 *5 2(MRCItem366 litem & 39382 *54 (MRCItem 383 litem &41 367 384 pos 1 368 385 dimension 23 369 386 uid 129,0 370 387 ) 371 *5 3(MRCItem372 litem &4 0388 *55 (MRCItem 389 litem &42 373 390 pos 2 374 391 hidden 1 … … 387 404 uid 131,0 388 405 optionalChildren [ 389 *5 4(MRCItem390 litem &4 1406 *56 (MRCItem 407 litem &43 391 408 pos 0 392 409 dimension 20 393 410 uid 132,0 394 411 ) 395 *5 5(MRCItem396 litem &4 3412 *57 (MRCItem 413 litem &45 397 414 pos 1 398 415 dimension 50 399 416 uid 133,0 400 417 ) 401 *5 6(MRCItem402 litem &4 4418 *58 (MRCItem 419 litem &46 403 420 pos 2 404 421 dimension 100 405 422 uid 134,0 406 423 ) 407 *5 7(MRCItem408 litem &4 5424 *59 (MRCItem 425 litem &47 409 426 pos 3 410 427 dimension 100 411 428 uid 135,0 412 429 ) 413 * 58(MRCItem414 litem &4 6430 *60 (MRCItem 431 litem &48 415 432 pos 4 416 433 dimension 50 417 434 uid 136,0 418 435 ) 419 * 59(MRCItem420 litem &4 7436 *61 (MRCItem 437 litem &49 421 438 pos 5 422 439 dimension 50 423 440 uid 137,0 424 441 ) 425 *6 0(MRCItem426 litem & 48442 *62 (MRCItem 443 litem &50 427 444 pos 6 428 445 dimension 80 … … 487 504 (vvPair 488 505 variable "date" 489 value "0 2.03.2011"506 value "04.03.2011" 490 507 ) 491 508 (vvPair 492 509 variable "day" 493 value " Mi"510 value "Fr" 494 511 ) 495 512 (vvPair 496 513 variable "day_long" 497 value " Mittwoch"514 value "Freitag" 498 515 ) 499 516 (vvPair 500 517 variable "dd" 501 value "0 2"518 value "04" 502 519 ) 503 520 (vvPair … … 635 652 (vvPair 636 653 variable "time" 637 value "1 5:31:22"654 value "11:36:54" 638 655 ) 639 656 (vvPair … … 666 683 uid 82,0 667 684 optionalChildren [ 668 *6 1(SymbolBody685 *63 (SymbolBody 669 686 uid 8,0 670 687 optionalChildren [ 671 *6 2(CptPort688 *64 (CptPort 672 689 uid 48,0 673 690 ps "OnEdgeStrategy" … … 689 706 va (VaSet 690 707 ) 691 xt "30000,1500,3 4500,2500"708 xt "30000,1500,35100,2500" 692 709 st "addr : (9:0)" 693 710 blo "30000,2300" … … 716 733 ) 717 734 ) 718 *6 3(CptPort735 *65 (CptPort 719 736 uid 53,0 720 737 ps "OnEdgeStrategy" … … 736 753 va (VaSet 737 754 ) 738 xt "30000,2500,3 4800,3500"755 xt "30000,2500,35400,3500" 739 756 st "data : (15:0)" 740 757 blo "30000,3300" … … 764 781 ) 765 782 ) 766 *6 4(CptPort783 *66 (CptPort 767 784 uid 58,0 768 785 ps "OnEdgeStrategy" … … 784 801 va (VaSet 785 802 ) 786 xt "30000,5500,31 100,6500"803 xt "30000,5500,31300,6500" 787 804 st "rd" 788 805 blo "30000,6300" … … 810 827 ) 811 828 ) 812 *6 5(CptPort829 *67 (CptPort 813 830 uid 63,0 814 831 ps "OnEdgeStrategy" … … 830 847 va (VaSet 831 848 ) 832 xt "30000,6500,31 200,7500"849 xt "30000,6500,31400,7500" 833 850 st "wr" 834 851 blo "30000,7300" … … 841 858 font "Courier New,8,0" 842 859 ) 843 xt "2000,1 4200,15500,15000"860 xt "2000,15000,15500,15800" 844 861 st "wr : IN std_logic 845 862 " … … 851 868 preAdd 0 852 869 posAdd 0 853 o 5870 o 6 854 871 suid 4,0 855 872 ) 856 873 ) 857 874 ) 858 *6 6(CptPort875 *68 (CptPort 859 876 uid 163,0 860 877 ps "OnEdgeStrategy" … … 866 883 fg "0,65535,0" 867 884 ) 868 xt " 39000,1625,39750,2375"885 xt "43000,1625,43750,2375" 869 886 ) 870 887 tg (CPTG … … 876 893 va (VaSet 877 894 ) 878 xt " 36800,1500,38000,2500"895 xt "40800,1500,42000,2500" 879 896 st "int" 880 897 ju 2 881 blo " 38000,2300"898 blo "42000,2300" 882 899 tm "CptPortNameMgr" 883 900 ) … … 886 903 va (VaSet 887 904 ) 888 xt " 36800,2500,38000,3500"905 xt "40800,2500,42000,3500" 889 906 st "'1'" 890 907 ju 2 891 blo " 38000,3300"908 blo "42000,3300" 892 909 tm "InitValueDelayMgr" 893 910 ) … … 913 930 ) 914 931 ) 932 *69 (CptPort 933 uid 286,0 934 ps "OnEdgeStrategy" 935 shape (Triangle 936 uid 287,0 937 ro 90 938 va (VaSet 939 vasetType 1 940 fg "0,65535,0" 941 ) 942 xt "28250,8625,29000,9375" 943 ) 944 tg (CPTG 945 uid 288,0 946 ps "CptPortTextPlaceStrategy" 947 stg "VerticalLayoutStrategy" 948 f (Text 949 uid 289,0 950 va (VaSet 951 ) 952 xt "30000,8500,31200,9500" 953 st "cs" 954 blo "30000,9300" 955 tm "CptPortNameMgr" 956 ) 957 ) 958 dt (MLText 959 uid 290,0 960 va (VaSet 961 font "Courier New,8,0" 962 ) 963 xt "2000,14200,16500,15000" 964 st "cs : IN std_logic ; 965 " 966 ) 967 thePort (LogicalPort 968 decl (Decl 969 n "cs" 970 t "std_logic" 971 o 5 972 suid 6,0 973 ) 974 ) 975 ) 915 976 ] 916 977 shape (Rectangle … … 922 983 lineWidth 2 923 984 ) 924 xt "29000,0,39000,12000" 925 ) 985 xt "29000,0,43000,12000" 986 ) 987 oxt "29000,0,39000,12000" 926 988 biTextGroup (BiTextGroup 927 989 uid 10,0 … … 947 1009 ) 948 1010 ) 949 gi * 67(GenericInterface1011 gi *70 (GenericInterface 950 1012 uid 13,0 951 1013 ps "CenterOffsetStrategy" … … 974 1036 ) 975 1037 ) 976 * 68(Grouping1038 *71 (Grouping 977 1039 uid 16,0 978 1040 optionalChildren [ 979 * 69(CommentText1041 *72 (CommentText 980 1042 uid 18,0 981 1043 shape (Rectangle … … 995 1057 bg "0,0,32768" 996 1058 ) 997 xt "33200,20000,4 3000,21000"1059 xt "33200,20000,44300,21000" 998 1060 st " 999 1061 by %user on %dd %month %year … … 1008 1070 titleBlock 1 1009 1071 ) 1010 *7 0(CommentText1072 *73 (CommentText 1011 1073 uid 21,0 1012 1074 shape (Rectangle … … 1026 1088 bg "0,0,32768" 1027 1089 ) 1028 xt "50200,16000,53 200,17000"1090 xt "50200,16000,53500,17000" 1029 1091 st " 1030 1092 Project: … … 1039 1101 titleBlock 1 1040 1102 ) 1041 *7 1(CommentText1103 *74 (CommentText 1042 1104 uid 24,0 1043 1105 shape (Rectangle … … 1057 1119 bg "0,0,32768" 1058 1120 ) 1059 xt "33200,18000,4 3200,19000"1121 xt "33200,18000,44100,19000" 1060 1122 st " 1061 1123 <enter diagram title here> … … 1070 1132 titleBlock 1 1071 1133 ) 1072 *7 2(CommentText1134 *75 (CommentText 1073 1135 uid 27,0 1074 1136 shape (Rectangle … … 1088 1150 bg "0,0,32768" 1089 1151 ) 1090 xt "29200,18000,31 300,19000"1152 xt "29200,18000,31500,19000" 1091 1153 st " 1092 1154 Title: … … 1101 1163 titleBlock 1 1102 1164 ) 1103 *7 3(CommentText1165 *76 (CommentText 1104 1166 uid 30,0 1105 1167 shape (Rectangle … … 1119 1181 bg "0,0,32768" 1120 1182 ) 1121 xt "50200,17200, 59400,18200"1183 xt "50200,17200,60000,18200" 1122 1184 st " 1123 1185 <enter comments here> … … 1131 1193 titleBlock 1 1132 1194 ) 1133 *7 4(CommentText1195 *77 (CommentText 1134 1196 uid 33,0 1135 1197 shape (Rectangle … … 1149 1211 bg "0,0,32768" 1150 1212 ) 1151 xt "54200,16000,58 700,17000"1213 xt "54200,16000,58900,17000" 1152 1214 st " 1153 1215 %project_name … … 1162 1224 titleBlock 1 1163 1225 ) 1164 *7 5(CommentText1226 *78 (CommentText 1165 1227 uid 36,0 1166 1228 shape (Rectangle … … 1179 1241 fg "32768,0,0" 1180 1242 ) 1181 xt "36 700,16000,42300,18000"1243 xt "36450,16000,42550,18000" 1182 1244 st " 1183 1245 TU Dortmund … … 1194 1256 titleBlock 1 1195 1257 ) 1196 *7 6(CommentText1258 *79 (CommentText 1197 1259 uid 39,0 1198 1260 shape (Rectangle … … 1212 1274 bg "0,0,32768" 1213 1275 ) 1214 xt "29200,19000,31 300,20000"1276 xt "29200,19000,31500,20000" 1215 1277 st " 1216 1278 Path: … … 1225 1287 titleBlock 1 1226 1288 ) 1227 * 77(CommentText1289 *80 (CommentText 1228 1290 uid 42,0 1229 1291 shape (Rectangle … … 1243 1305 bg "0,0,32768" 1244 1306 ) 1245 xt "29200,20000,3 1900,21000"1307 xt "29200,20000,32300,21000" 1246 1308 st " 1247 1309 Edited: … … 1256 1318 titleBlock 1 1257 1319 ) 1258 * 78(CommentText1320 *81 (CommentText 1259 1321 uid 45,0 1260 1322 shape (Rectangle … … 1274 1336 bg "0,0,32768" 1275 1337 ) 1276 xt "33200,19000,4 9200,20000"1338 xt "33200,19000,48900,20000" 1277 1339 st " 1278 1340 %library/%unit/%view … … 1300 1362 oxt "14000,66000,55000,71000" 1301 1363 ) 1302 * 79(CommentText1364 *82 (CommentText 1303 1365 uid 68,0 1304 1366 shape (Rectangle … … 1343 1405 color "26368,26368,26368" 1344 1406 ) 1345 packageList *8 0(PackageList1407 packageList *83 (PackageList 1346 1408 uid 79,0 1347 1409 stg "VerticalLayoutStrategy" 1348 1410 textVec [ 1349 *8 1(Text1411 *84 (Text 1350 1412 uid 80,0 1351 1413 va (VaSet … … 1356 1418 blo "0,1800" 1357 1419 ) 1358 *8 2(MLText1420 *85 (MLText 1359 1421 uid 81,0 1360 1422 va (VaSet 1361 1423 ) 1362 xt "0,2000,1 4500,8000"1424 xt "0,2000,16100,8000" 1363 1425 st "LIBRARY ieee; 1364 1426 USE ieee.std_logic_1164.all; … … 1389 1451 fg "0,0,32768" 1390 1452 ) 1391 xt "200,200,2 000,1200"1453 xt "200,200,2400,1200" 1392 1454 st " 1393 1455 Text … … 1457 1519 ) 1458 1520 ) 1459 gi *8 3(GenericInterface1521 gi *86 (GenericInterface 1460 1522 ps "CenterOffsetStrategy" 1461 1523 matrix (Matrix … … 1554 1616 ) 1555 1617 ) 1556 DeclarativeBlock *8 4(SymDeclBlock1618 DeclarativeBlock *87 (SymDeclBlock 1557 1619 uid 1,0 1558 1620 stg "SymDeclLayoutStrategy" … … 1580 1642 font "Arial,8,1" 1581 1643 ) 1582 xt "0,15 000,2400,16000"1644 xt "0,15800,2400,16800" 1583 1645 st "User:" 1584 blo "0,1 5800"1646 blo "0,16600" 1585 1647 ) 1586 1648 internalLabel (Text … … 1599 1661 font "Courier New,8,0" 1600 1662 ) 1601 xt "2000,16 000,2000,16000"1663 xt "2000,16800,2000,16800" 1602 1664 tm "SyDeclarativeTextMgr" 1603 1665 ) … … 1612 1674 ) 1613 1675 ) 1614 lastUid 2 62,01676 lastUid 292,0 1615 1677 activeModelName "Symbol:CDM" 1616 1678 )
Note:
See TracChangeset
for help on using the changeset viewer.