Changeset 10081
- Timestamp:
- 01/05/11 17:19:13 (13 years ago)
- Location:
- firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib
- Files:
-
- 17 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/data_generator.vhd
r10073 r10081 67 67 68 68 drs_read_s_cell_ready : in std_logic; 69 drs_s_cell_array : in drs_s_cell_array_type 69 drs_s_cell_array : in drs_s_cell_array_type; 70 71 drs_readout_started : out std_logic 70 72 ); 71 73 end data_generator ; … … 73 75 architecture Behavioral of data_generator is 74 76 75 type state_generate_type is (INIT, CONFIG, CONFIG1, CONFIG2,CONFIG3, CONFIG4, CONFIG5, CONFIG6, WRITE_HEADER, WRITE_EXTERNAL_TRIGGER, WRITE_INTERNAL_TRIGGER, WRITE_BOARD_ID, WRITE_TEMPERATURES,77 type state_generate_type is (INIT, CONFIG, CONFIG1, CONFIG2,CONFIG3, CONFIG4, CONFIG5, CONFIG6, CONFIG7, WRITE_HEADER, WRITE_EXTERNAL_TRIGGER, WRITE_INTERNAL_TRIGGER, WRITE_BOARD_ID, WRITE_TEMPERATURES, 76 78 WRITE_DAC1, WRITE_DAC2, WRITE_CHANNEL_ID, WRITE_START_CELL, WRITE_ROI, WRITE_ADC_DATA, WRITE_DATA_END, WRITE_DATA_END_WAIT, 77 79 WRITE_END_FLAG, WRITE_DATA_STOP, … … 93 95 signal roi_max_int : roi_max_type; 94 96 97 signal sig_drs_readout_started : std_logic := '0'; 98 95 99 begin 96 100 101 drs_readout_started <= sig_drs_readout_started; 97 102 98 103 generate_data : process (clk) … … 151 156 if (drs_srin_write_ready = '1') then 152 157 roi_max_int <= roi_max; 153 state_generate <= WRITE_DATA_IDLE; 154 end if; 158 state_generate <= CONFIG6; 159 end if; 160 when CONFIG6 => 161 drs_channel_id <= DRS_WRITE_CONFIG_REG; 162 drs_srin_data <= "11111111"; 163 drs_srin_write_8b <= '1'; 164 if (drs_srin_write_ack = '1') then 165 drs_srin_write_8b <= '0'; 166 state_generate <= CONFIG7; 167 end if; 168 when CONFIG7 => 169 if (drs_srin_write_ready = '1') then 170 roi_max_int <= roi_max; 171 state_generate <= WRITE_DATA_IDLE; 172 end if; 155 173 -- end configure DRS 156 174 … … 161 179 -- if (ram_write_ea = '1' and (trigger_flag = '1' or s_trigger = '1')) then 162 180 if (ram_write_ea = '1' and trigger_flag = '1') then 181 sig_drs_readout_started <= '1'; -- is set to '0' in state WRITE_DAC1 163 182 -- stop drs, dwrite low 164 183 drs_dwrite <= '0'; … … 193 212 194 213 when WRITE_DAC1 => 214 sig_drs_readout_started <= '0'; -- is set to '1' in state WRITE_DATA_IDLE 195 215 data_out <= conv_std_logic_vector (dac_array (3), 16) 196 216 & conv_std_logic_vector (dac_array (2), 16) … … 256 276 & "000" & adc_otr(1) & adc_data_array(1) 257 277 & "000" & adc_otr(0) & adc_data_array(0); 258 --data_out <= "00000" & conv_std_logic_vector (data_cntr, 11)278 -- data_out <= "00000" & conv_std_logic_vector (data_cntr, 11) 259 279 -- & "00010" & conv_std_logic_vector (data_cntr, 11) 260 280 -- & "00100" & conv_std_logic_vector (data_cntr, 11) -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/data_generator.vhd.bak
r10073 r10081 67 67 68 68 drs_read_s_cell_ready : in std_logic; 69 drs_s_cell_array : in drs_s_cell_array_type 69 drs_s_cell_array : in drs_s_cell_array_type; 70 71 drs_readout_started : out std_logic 70 72 ); 71 73 end data_generator ; … … 73 75 architecture Behavioral of data_generator is 74 76 75 type state_generate_type is (INIT, CONFIG, CONFIG1, CONFIG2,CONFIG3, CONFIG4, CONFIG5, CONFIG6, WRITE_HEADER, WRITE_EXTERNAL_TRIGGER, WRITE_INTERNAL_TRIGGER, WRITE_BOARD_ID, WRITE_TEMPERATURES,77 type state_generate_type is (INIT, CONFIG, CONFIG1, CONFIG2,CONFIG3, CONFIG4, CONFIG5, CONFIG6, CONFIG7, WRITE_HEADER, WRITE_EXTERNAL_TRIGGER, WRITE_INTERNAL_TRIGGER, WRITE_BOARD_ID, WRITE_TEMPERATURES, 76 78 WRITE_DAC1, WRITE_DAC2, WRITE_CHANNEL_ID, WRITE_START_CELL, WRITE_ROI, WRITE_ADC_DATA, WRITE_DATA_END, WRITE_DATA_END_WAIT, 77 79 WRITE_END_FLAG, WRITE_DATA_STOP, … … 93 95 signal roi_max_int : roi_max_type; 94 96 97 signal sig_drs_readout_started : std_logic := '0'; 98 95 99 begin 96 100 101 drs_readout_started <= sig_drs_readout_started; 97 102 98 103 generate_data : process (clk) … … 142 147 when CONFIG4 => 143 148 drs_channel_id <= DRS_WRITE_SHIFT_REG; 144 drs_srin_data <= "1 0101010";149 drs_srin_data <= "11111111"; 145 150 drs_srin_write_8b <= '1'; 146 151 if (drs_srin_write_ack = '1') then … … 151 156 if (drs_srin_write_ready = '1') then 152 157 roi_max_int <= roi_max; 153 state_generate <= WRITE_DATA_IDLE; 154 end if; 158 state_generate <= CONFIG6; 159 end if; 160 when CONFIG6 => 161 drs_channel_id <= DRS_WRITE_CONFIG_REG; 162 drs_srin_data <= "11111111"; 163 drs_srin_write_8b <= '1'; 164 if (drs_srin_write_ack = '1') then 165 drs_srin_write_8b <= '0'; 166 state_generate <= CONFIG7; 167 end if; 168 when CONFIG7 => 169 if (drs_srin_write_ready = '1') then 170 roi_max_int <= roi_max; 171 state_generate <= WRITE_DATA_IDLE; 172 end if; 155 173 -- end configure DRS 156 174 … … 161 179 -- if (ram_write_ea = '1' and (trigger_flag = '1' or s_trigger = '1')) then 162 180 if (ram_write_ea = '1' and trigger_flag = '1') then 181 sig_drs_readout_started <= '1'; -- is set to '0' in state WRITE_DAC1 163 182 -- stop drs, dwrite low 164 183 drs_dwrite <= '0'; … … 193 212 194 213 when WRITE_DAC1 => 214 sig_drs_readout_started <= '0'; -- is set to '1' in state WRITE_DATA_IDLE 195 215 data_out <= conv_std_logic_vector (dac_array (3), 16) 196 216 & conv_std_logic_vector (dac_array (2), 16) … … 252 272 when WRITE_ADC_DATA => 253 273 if (data_cntr < roi_max (channel_id)) then 254 data_out <= "000" & adc_otr(3) & adc_data_array(3)255 & "000" & adc_otr(2) & adc_data_array(2)256 & "000" & adc_otr(1) & adc_data_array(1)257 & "000" & adc_otr(0) & adc_data_array(0);258 --data_out <= "00000" & conv_std_logic_vector (data_cntr, 11)259 --& "00010" & conv_std_logic_vector (data_cntr, 11)260 --& "00100" & conv_std_logic_vector (data_cntr, 11)261 --& "00110" & conv_std_logic_vector (data_cntr, 11) ;274 -- data_out <= "000" & adc_otr(3) & adc_data_array(3) 275 -- & "000" & adc_otr(2) & adc_data_array(2) 276 -- & "000" & adc_otr(1) & adc_data_array(1) 277 -- & "000" & adc_otr(0) & adc_data_array(0); 278 data_out <= "00000" & conv_std_logic_vector (data_cntr, 11) 279 & "00010" & conv_std_logic_vector (data_cntr, 11) 280 & "00100" & conv_std_logic_vector (data_cntr, 11) 281 & "00110" & conv_std_logic_vector (data_cntr, 11) ; 262 282 addr_cntr <= addr_cntr + 1; 263 283 state_generate <= WRITE_ADC_DATA; -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/drs_pulser_dummy.vhd
r10072 r10081 61 61 srin_write_ack <= '1'; 62 62 srin_cntr <= 0; 63 SRCLK_EN <= '1';63 --SRCLK_EN <= '1'; 64 64 state_main <= SRIN_WRITE_8B; 65 65 end if; … … 75 75 76 76 when SRIN_WRITE_8B => 77 SRCLK_EN <= '1'; 77 78 srin_out <= srin_data (7 - srin_cntr); 78 79 if (srin_cntr = 7) then 79 SRCLK_EN <= '0';80 --SRCLK_EN <= '0'; 80 81 state_main <= SRIN_WRITE_END; 81 82 else … … 83 84 end if; 84 85 when SRIN_WRITE_END => 85 srin_out <= '0'; 86 SRCLK_EN <= '0'; 87 srin_out <= '1'; 86 88 srin_write_ready <= '1'; 87 89 srin_write_ack <= '0'; -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd
r10078 r10081 3 3 -- Created: 4 4 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 1 2:19:0705.01.20115 -- at - 17:46:34 05.01.2011 6 6 -- 7 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 79 79 -- Created: 80 80 -- by - dneise.UNKNOWN (E5B-LABOR6) 81 -- at - 1 2:19:0805.01.201181 -- at - 17:46:35 05.01.2011 82 82 -- 83 83 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 124 124 -- status: 125 125 SIGNAL shifting : std_logic := '0'; 126 127 -- Implicit buffer signal declarations 128 SIGNAL SRIN_internal : std_logic; 126 129 127 130 … … 253 256 -- HDL Embedded Text Block 9 eb3 254 257 -- eb3 9 255 A0_T(0) <= CLK50_OUT;256 A0_T(1) <= CLK25_OUT;258 A0_T(0) <= ready; 259 A0_T(1) <= shifting; 257 260 A0_T(2) <= CLK25_PSOUT; 258 261 A0_T(3) <= PS_DIR_IN; … … 262 265 A0_T(7) <= DCM_locked; 263 266 264 A1_T(0) <= ready;265 A1_T(1) <= shifting;266 A1_T(2) <= PS DONE_extraOUT;267 A1_T(3) <= PSCLK_OUT;268 A1_T(4) <= LOCKED_extraOUT;269 270 A1_T(5) <= '0';271 A1_T(6) <= '0';272 A1_T(7) <= '0';267 A1_T(0) <= SRIN_internal; 268 A1_T(1) <= PSDONE_extraOUT; 269 A1_T(2) <= PSCLK_OUT; 270 A1_T(3) <= LOCKED_extraOUT; 271 272 A1_T(4) <= drs_channel_id(0); 273 A1_T(5) <= drs_channel_id(1); 274 A1_T(6) <= drs_channel_id(2); 275 A1_T(7) <= drs_channel_id(3); 273 276 274 277 … … 311 314 RSRLOAD => RSRLOAD, 312 315 SRCLK => SRCLK, 313 SRIN_out => SRIN ,316 SRIN_out => SRIN_internal, 314 317 adc_clk_en => adc_clk_en, 315 318 adc_oeb => OE_ADC, … … 337 340 ); 338 341 342 -- Implicit buffered output assignments 343 SRIN <= SRIN_internal; 344 339 345 END struct; -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd
r10072 r10081 140 140 constant CMD_PS_RESET : std_logic_vector := X"17"; 141 141 -- DRS Registers 142 constant DRS_CONFIG_REG : std_logic_vector := "1100"; 142 143 constant DRS_WRITE_SHIFT_REG : std_logic_vector := "1101"; 143 144 constant DRS_WRITE_CONFIG_REG : std_logic_vector := "1110"; 145 constant DRS_DISABLE_ALL_OUTS : std_logic_vector := "1111"; 144 146 145 147 -- Declare functions and procedure -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd.bak
r9912 r10081 23 23 24 24 -- @ ETH zurich 25 constant NETMASK : ip_type := (255, 255, 248, 0);26 constant IP_ADDRESS : ip_type := (192, 33, 99, 225);27 constant GATEWAY : ip_type := (192, 33, 96, 1);25 -- constant NETMASK : ip_type := (255, 255, 248, 0); 26 -- constant IP_ADDRESS : ip_type := (192, 33, 99, 225); 27 -- constant GATEWAY : ip_type := (192, 33, 96, 1); 28 28 29 29 -- @ TU Dortmund 30 --constant NETMASK : ip_type := (255, 255, 255, 0);31 --constant IP_ADDRESS : ip_type := (129, 217, 160, 119);32 --constant GATEWAY : ip_type := (129, 217, 160, 1);30 constant NETMASK : ip_type := (255, 255, 255, 0); 31 constant IP_ADDRESS : ip_type := (129, 217, 160, 119); 32 constant GATEWAY : ip_type := (129, 217, 160, 1); 33 33 34 34 constant FIRST_PORT : integer := 5000; … … 79 79 80 80 -- 81 constant W5300_TX_FIFO_SIZE : integer := (15360 / 2); -- Socket TX FIFO-Size in 16 Bit Words 81 constant W5300_TX_FIFO_SIZE_8B : integer := 15360; -- Socket TX FIFO-Size in Bytes 82 constant W5300_TX_FIFO_SIZE : integer := (W5300_TX_FIFO_SIZE_8B / 2); -- Socket TX FIFO-Size in 16 Bit Words 82 83 83 84 constant LOG2_OF_RAM_SIZE_64B : integer := 15; … … 119 120 constant CMD_READ : std_logic_vector := X"0A"; 120 121 constant CMD_WRITE : std_logic_vector := X"05"; 122 -- Config-RAM 123 constant BADDR_ROI : std_logic_vector := X"00"; -- Baseaddress ROI-Values 124 constant BADDR_DAC : std_logic_vector := X"24"; -- Baseaddress DAC-Values 121 125 122 126 constant CMD_DENABLE : std_logic_vector := X"06"; … … 135 139 136 140 constant CMD_PS_RESET : std_logic_vector := X"17"; 137 141 -- DRS Registers 142 constant DRS_WRITE_SHIFT_REG : std_logic_vector := "1101"; 138 143 139 144 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd
r10078 r10081 3 3 -- Created: 4 4 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 1 2:19:0605.01.20115 -- at - 17:46:33 05.01.2011 6 6 -- 7 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 80 80 -- Created: 81 81 -- by - dneise.UNKNOWN (E5B-LABOR6) 82 -- at - 1 2:19:0705.01.201182 -- at - 17:46:34 05.01.2011 83 83 -- 84 84 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 137 137 SIGNAL drs_read_s_cell : std_logic := '0'; 138 138 SIGNAL drs_read_s_cell_ready : std_logic; 139 SIGNAL drs_readout_started : std_logic; 139 140 SIGNAL drs_s_cell_array : drs_s_cell_array_type; 140 141 SIGNAL drs_srin_data : std_logic_vector(7 DOWNTO 0) := (others => '0'); … … 298 299 drs_srin_write_ready : IN std_logic ; 299 300 drs_read_s_cell_ready : IN std_logic ; 300 drs_s_cell_array : IN drs_s_cell_array_type 301 drs_s_cell_array : IN drs_s_cell_array_type ; 302 drs_readout_started : OUT std_logic 301 303 ); 302 304 END COMPONENT; … … 596 598 drs_srin_write_ready => srin_write_ready, 597 599 drs_read_s_cell_ready => drs_read_s_cell_ready, 598 drs_s_cell_array => drs_s_cell_array 600 drs_s_cell_array => drs_s_cell_array, 601 drs_readout_started => drs_readout_started 599 602 ); 600 603 I_main_drs_pulser : drs_pulser … … 628 631 amber => amber, 629 632 red => red, 630 trigger => trigger_out,633 trigger => drs_readout_started, 631 634 socks_waiting => socks_waiting, 632 635 socks_connected => socks_connected -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_board_struct.xrf
r10075 r10081 265 265 DESIGN @f@a@d_@board 266 266 VIEW struct.bd 267 NO_GRAPHIC 126 267 GRAPHIC 13136,0 127 0 268 DESIGN @f@a@d_@board 269 VIEW struct.bd 270 NO_GRAPHIC 129 268 271 LIBRARY FACT_FAD_lib 269 272 DESIGN @f@a@d_main 270 273 VIEW struct 271 GRAPHIC 169,0 1 280272 DESIGN @f@a@d_main 273 VIEW symbol.sb 274 GRAPHIC 14,0 1 291275 DESIGN @f@a@d_main 276 VIEW symbol.sb 277 GRAPHIC 1755,0 13 30278 DESIGN @f@a@d_main 279 VIEW symbol.sb 280 GRAPHIC 2710,0 13 40281 DESIGN @f@a@d_main 282 VIEW symbol.sb 283 GRAPHIC 2715,0 13 50284 DESIGN @f@a@d_main 285 VIEW symbol.sb 286 GRAPHIC 2720,0 13 60287 DESIGN @f@a@d_main 288 VIEW symbol.sb 289 GRAPHIC 2725,0 1 370290 DESIGN @f@a@d_main 291 VIEW symbol.sb 292 GRAPHIC 2282,0 1 380293 DESIGN @f@a@d_main 294 VIEW symbol.sb 295 GRAPHIC 1976,0 1 390296 DESIGN @f@a@d_main 297 VIEW symbol.sb 298 GRAPHIC 923,0 14 00299 DESIGN @f@a@d_main 300 VIEW symbol.sb 301 GRAPHIC 928,0 14 10302 DESIGN @f@a@d_main 303 VIEW symbol.sb 304 GRAPHIC 464,0 14 20305 DESIGN @f@a@d_main 306 VIEW symbol.sb 307 GRAPHIC 1062,0 14 30308 DESIGN @f@a@d_main 309 VIEW symbol.sb 310 GRAPHIC 4584,0 14 40311 DESIGN @f@a@d_main 312 VIEW symbol.sb 313 GRAPHIC 4589,0 14 50314 DESIGN @f@a@d_main 315 VIEW symbol.sb 316 GRAPHIC 4579,0 14 60317 DESIGN @f@a@d_main 318 VIEW symbol.sb 319 GRAPHIC 1389,0 1 470320 DESIGN @f@a@d_main 321 VIEW symbol.sb 322 GRAPHIC 1725,0 1 480323 DESIGN @f@a@d_main 324 VIEW symbol.sb 325 GRAPHIC 4497,0 1 490326 DESIGN @f@a@d_main 327 VIEW symbol.sb 328 GRAPHIC 4467,0 15 00329 DESIGN @f@a@d_main 330 VIEW symbol.sb 331 GRAPHIC 4487,0 15 10332 DESIGN @f@a@d_main 333 VIEW symbol.sb 334 GRAPHIC 4472,0 15 20335 DESIGN @f@a@d_main 336 VIEW symbol.sb 337 GRAPHIC 4482,0 15 30338 DESIGN @f@a@d_main 339 VIEW symbol.sb 340 GRAPHIC 4477,0 15 40341 DESIGN @f@a@d_main 342 VIEW symbol.sb 343 GRAPHIC 4517,0 15 50344 DESIGN @f@a@d_main 345 VIEW symbol.sb 346 GRAPHIC 4522,0 15 60347 DESIGN @f@a@d_main 348 VIEW symbol.sb 349 GRAPHIC 2987,0 1 570350 DESIGN @f@a@d_main 351 VIEW symbol.sb 352 GRAPHIC 2992,0 1 580353 DESIGN @f@a@d_main 354 VIEW symbol.sb 355 GRAPHIC 4780,0 1 590356 DESIGN @f@a@d_main 357 VIEW symbol.sb 358 GRAPHIC 4323,0 16 00359 DESIGN @f@a@d_main 360 VIEW symbol.sb 361 GRAPHIC 833,0 16 10362 DESIGN @f@a@d_main 363 VIEW symbol.sb 364 GRAPHIC 4911,0 16 20365 DESIGN @f@a@d_main 366 VIEW symbol.sb 367 GRAPHIC 3641,0 16 30368 DESIGN @f@a@d_main 369 VIEW symbol.sb 370 GRAPHIC 4144,0 16 40371 DESIGN @f@a@d_main 372 VIEW symbol.sb 373 GRAPHIC 2448,0 16 50374 DESIGN @f@a@d_main 375 VIEW symbol.sb 376 GRAPHIC 2453,0 16 60377 DESIGN @f@a@d_main 378 VIEW symbol.sb 379 GRAPHIC 4906,0 1 670380 DESIGN @f@a@d_main 381 VIEW symbol.sb 382 GRAPHIC 163,0 1 680383 DESIGN @f@a@d_main 384 VIEW symbol.sb 385 GRAPHIC 4067,0 1 690386 DESIGN @f@a@d_main 387 VIEW symbol.sb 388 GRAPHIC 4502,0 17 00389 DESIGN @f@a@d_main 390 VIEW symbol.sb 391 GRAPHIC 4512,0 17 10392 DESIGN @f@a@d_main 393 VIEW symbol.sb 394 GRAPHIC 4916,0 17 20395 DESIGN @f@a@d_main 396 VIEW symbol.sb 397 GRAPHIC 3631,0 17 30398 DESIGN @f@a@d_main 399 VIEW symbol.sb 400 GRAPHIC 3646,0 17 40401 DESIGN @f@a@d_main 402 VIEW symbol.sb 403 GRAPHIC 4507,0 17 50404 DESIGN @f@a@d_main 405 VIEW symbol.sb 406 GRAPHIC 1037,0 1 770407 DESIGN @f@a@d_main 408 VIEW symbol.sb 409 GRAPHIC 1047,0 1 780410 DESIGN @f@a@d_main 411 VIEW symbol.sb 412 GRAPHIC 1057,0 1 790413 DESIGN @f@a@d_main 414 VIEW symbol.sb 415 GRAPHIC 135,0 18 00416 DESIGN @f@a@d_main 417 VIEW symbol.sb 418 GRAPHIC 1052,0 18 10419 DESIGN @f@a@d_main 420 VIEW symbol.sb 421 GRAPHIC 3636,0 18 20422 DESIGN @f@a@d_main 423 VIEW symbol.sb 424 GRAPHIC 1042,0 18 30274 GRAPHIC 169,0 131 0 275 DESIGN @f@a@d_main 276 VIEW symbol.sb 277 GRAPHIC 14,0 132 1 278 DESIGN @f@a@d_main 279 VIEW symbol.sb 280 GRAPHIC 1755,0 136 0 281 DESIGN @f@a@d_main 282 VIEW symbol.sb 283 GRAPHIC 2710,0 137 0 284 DESIGN @f@a@d_main 285 VIEW symbol.sb 286 GRAPHIC 2715,0 138 0 287 DESIGN @f@a@d_main 288 VIEW symbol.sb 289 GRAPHIC 2720,0 139 0 290 DESIGN @f@a@d_main 291 VIEW symbol.sb 292 GRAPHIC 2725,0 140 0 293 DESIGN @f@a@d_main 294 VIEW symbol.sb 295 GRAPHIC 2282,0 141 0 296 DESIGN @f@a@d_main 297 VIEW symbol.sb 298 GRAPHIC 1976,0 142 0 299 DESIGN @f@a@d_main 300 VIEW symbol.sb 301 GRAPHIC 923,0 143 0 302 DESIGN @f@a@d_main 303 VIEW symbol.sb 304 GRAPHIC 928,0 144 0 305 DESIGN @f@a@d_main 306 VIEW symbol.sb 307 GRAPHIC 464,0 145 0 308 DESIGN @f@a@d_main 309 VIEW symbol.sb 310 GRAPHIC 1062,0 146 0 311 DESIGN @f@a@d_main 312 VIEW symbol.sb 313 GRAPHIC 4584,0 147 0 314 DESIGN @f@a@d_main 315 VIEW symbol.sb 316 GRAPHIC 4589,0 148 0 317 DESIGN @f@a@d_main 318 VIEW symbol.sb 319 GRAPHIC 4579,0 149 0 320 DESIGN @f@a@d_main 321 VIEW symbol.sb 322 GRAPHIC 1389,0 150 0 323 DESIGN @f@a@d_main 324 VIEW symbol.sb 325 GRAPHIC 1725,0 151 0 326 DESIGN @f@a@d_main 327 VIEW symbol.sb 328 GRAPHIC 4497,0 152 0 329 DESIGN @f@a@d_main 330 VIEW symbol.sb 331 GRAPHIC 4467,0 153 0 332 DESIGN @f@a@d_main 333 VIEW symbol.sb 334 GRAPHIC 4487,0 154 0 335 DESIGN @f@a@d_main 336 VIEW symbol.sb 337 GRAPHIC 4472,0 155 0 338 DESIGN @f@a@d_main 339 VIEW symbol.sb 340 GRAPHIC 4482,0 156 0 341 DESIGN @f@a@d_main 342 VIEW symbol.sb 343 GRAPHIC 4477,0 157 0 344 DESIGN @f@a@d_main 345 VIEW symbol.sb 346 GRAPHIC 4517,0 158 0 347 DESIGN @f@a@d_main 348 VIEW symbol.sb 349 GRAPHIC 4522,0 159 0 350 DESIGN @f@a@d_main 351 VIEW symbol.sb 352 GRAPHIC 2987,0 160 0 353 DESIGN @f@a@d_main 354 VIEW symbol.sb 355 GRAPHIC 2992,0 161 0 356 DESIGN @f@a@d_main 357 VIEW symbol.sb 358 GRAPHIC 4780,0 162 0 359 DESIGN @f@a@d_main 360 VIEW symbol.sb 361 GRAPHIC 4323,0 163 0 362 DESIGN @f@a@d_main 363 VIEW symbol.sb 364 GRAPHIC 833,0 164 0 365 DESIGN @f@a@d_main 366 VIEW symbol.sb 367 GRAPHIC 4911,0 165 0 368 DESIGN @f@a@d_main 369 VIEW symbol.sb 370 GRAPHIC 3641,0 166 0 371 DESIGN @f@a@d_main 372 VIEW symbol.sb 373 GRAPHIC 4144,0 167 0 374 DESIGN @f@a@d_main 375 VIEW symbol.sb 376 GRAPHIC 2448,0 168 0 377 DESIGN @f@a@d_main 378 VIEW symbol.sb 379 GRAPHIC 2453,0 169 0 380 DESIGN @f@a@d_main 381 VIEW symbol.sb 382 GRAPHIC 4906,0 170 0 383 DESIGN @f@a@d_main 384 VIEW symbol.sb 385 GRAPHIC 163,0 171 0 386 DESIGN @f@a@d_main 387 VIEW symbol.sb 388 GRAPHIC 4067,0 172 0 389 DESIGN @f@a@d_main 390 VIEW symbol.sb 391 GRAPHIC 4502,0 173 0 392 DESIGN @f@a@d_main 393 VIEW symbol.sb 394 GRAPHIC 4512,0 174 0 395 DESIGN @f@a@d_main 396 VIEW symbol.sb 397 GRAPHIC 4916,0 175 0 398 DESIGN @f@a@d_main 399 VIEW symbol.sb 400 GRAPHIC 3631,0 176 0 401 DESIGN @f@a@d_main 402 VIEW symbol.sb 403 GRAPHIC 3646,0 177 0 404 DESIGN @f@a@d_main 405 VIEW symbol.sb 406 GRAPHIC 4507,0 178 0 407 DESIGN @f@a@d_main 408 VIEW symbol.sb 409 GRAPHIC 1037,0 180 0 410 DESIGN @f@a@d_main 411 VIEW symbol.sb 412 GRAPHIC 1047,0 181 0 413 DESIGN @f@a@d_main 414 VIEW symbol.sb 415 GRAPHIC 1057,0 182 0 416 DESIGN @f@a@d_main 417 VIEW symbol.sb 418 GRAPHIC 135,0 183 0 419 DESIGN @f@a@d_main 420 VIEW symbol.sb 421 GRAPHIC 1052,0 184 0 422 DESIGN @f@a@d_main 423 VIEW symbol.sb 424 GRAPHIC 3636,0 185 0 425 DESIGN @f@a@d_main 426 VIEW symbol.sb 427 GRAPHIC 1042,0 186 0 425 428 LIBRARY FACT_FAD_lib 426 429 DESIGN @f@a@d_@board 427 430 VIEW struct.bd 428 NO_GRAPHIC 186 429 DESIGN @f@a@d_@board 430 VIEW struct.bd 431 GRAPHIC 169,0 189 0 432 DESIGN @f@a@d_@board 433 VIEW struct.bd 434 NO_GRAPHIC 192 435 DESIGN @f@a@d_@board 436 VIEW struct.bd 437 GRAPHIC 265,0 195 0 438 DESIGN @f@a@d_@board 439 VIEW struct.bd 440 NO_GRAPHIC 199 441 DESIGN @f@a@d_@board 442 VIEW struct.bd 443 GRAPHIC 3248,0 200 0 444 DESIGN @f@a@d_@board 445 VIEW struct.bd 446 NO_GRAPHIC 206 447 DESIGN @f@a@d_@board 448 VIEW struct.bd 449 GRAPHIC 3300,0 207 0 450 DESIGN @f@a@d_@board 451 VIEW struct.bd 452 NO_GRAPHIC 213 453 DESIGN @f@a@d_@board 454 VIEW struct.bd 455 GRAPHIC 3394,0 214 0 456 DESIGN @f@a@d_@board 457 VIEW struct.bd 458 NO_GRAPHIC 220 459 DESIGN @f@a@d_@board 460 VIEW struct.bd 461 GRAPHIC 3542,0 221 0 462 DESIGN @f@a@d_@board 463 VIEW struct.bd 464 NO_GRAPHIC 227 465 DESIGN @f@a@d_@board 466 VIEW struct.bd 467 GRAPHIC 3700,0 228 0 468 DESIGN @f@a@d_@board 469 VIEW struct.bd 470 NO_GRAPHIC 244 471 DESIGN @f@a@d_@board 472 VIEW struct.bd 473 GRAPHIC 6888,0 245 0 431 NO_GRAPHIC 189 432 DESIGN @f@a@d_@board 433 VIEW struct.bd 434 GRAPHIC 169,0 192 0 435 DESIGN @f@a@d_@board 436 VIEW struct.bd 437 NO_GRAPHIC 195 438 DESIGN @f@a@d_@board 439 VIEW struct.bd 440 GRAPHIC 265,0 198 0 441 DESIGN @f@a@d_@board 442 VIEW struct.bd 443 NO_GRAPHIC 202 444 DESIGN @f@a@d_@board 445 VIEW struct.bd 446 GRAPHIC 3248,0 203 0 447 DESIGN @f@a@d_@board 448 VIEW struct.bd 449 NO_GRAPHIC 209 450 DESIGN @f@a@d_@board 451 VIEW struct.bd 452 GRAPHIC 3300,0 210 0 453 DESIGN @f@a@d_@board 454 VIEW struct.bd 455 NO_GRAPHIC 216 456 DESIGN @f@a@d_@board 457 VIEW struct.bd 458 GRAPHIC 3394,0 217 0 459 DESIGN @f@a@d_@board 460 VIEW struct.bd 461 NO_GRAPHIC 223 462 DESIGN @f@a@d_@board 463 VIEW struct.bd 464 GRAPHIC 3542,0 224 0 465 DESIGN @f@a@d_@board 466 VIEW struct.bd 467 NO_GRAPHIC 230 468 DESIGN @f@a@d_@board 469 VIEW struct.bd 470 GRAPHIC 3700,0 231 0 474 471 DESIGN @f@a@d_@board 475 472 VIEW struct.bd … … 477 474 DESIGN @f@a@d_@board 478 475 VIEW struct.bd 479 GRAPHIC 7092,0 248 0 480 DESIGN @f@a@d_@board 481 VIEW struct.bd 482 NO_GRAPHIC 251 483 DESIGN @f@a@d_@board 484 VIEW struct.bd 485 GRAPHIC 10310,0 252 0 486 DESIGN @f@a@d_@board 487 VIEW struct.bd 488 NO_GRAPHIC 272 489 DESIGN @f@a@d_@board 490 VIEW struct.bd 491 GRAPHIC 10023,0 273 0 492 DESIGN @f@a@d_@board 493 VIEW struct.bd 494 GRAPHIC 7652,0 276 0 495 DESIGN @f@a@d_@board 496 VIEW struct.bd 497 NO_GRAPHIC 279 498 DESIGN @f@a@d_@board 499 VIEW struct.bd 500 GRAPHIC 169,0 281 0 501 DESIGN @f@a@d_@board 502 VIEW struct.bd 503 GRAPHIC 176,0 282 1 504 DESIGN @f@a@d_@board 505 VIEW struct.bd 506 GRAPHIC 245,0 286 0 507 DESIGN @f@a@d_@board 508 VIEW struct.bd 509 GRAPHIC 1865,0 287 0 510 DESIGN @f@a@d_@board 511 VIEW struct.bd 512 GRAPHIC 1873,0 288 0 513 DESIGN @f@a@d_@board 514 VIEW struct.bd 515 GRAPHIC 1881,0 289 0 516 DESIGN @f@a@d_@board 517 VIEW struct.bd 518 GRAPHIC 1889,0 290 0 519 DESIGN @f@a@d_@board 520 VIEW struct.bd 521 GRAPHIC 1467,0 291 0 522 DESIGN @f@a@d_@board 523 VIEW struct.bd 524 GRAPHIC 1730,0 292 0 525 DESIGN @f@a@d_@board 526 VIEW struct.bd 527 GRAPHIC 277,0 293 0 528 DESIGN @f@a@d_@board 529 VIEW struct.bd 530 GRAPHIC 285,0 294 0 531 DESIGN @f@a@d_@board 532 VIEW struct.bd 533 GRAPHIC 3218,0 295 0 534 DESIGN @f@a@d_@board 535 VIEW struct.bd 536 GRAPHIC 450,0 296 0 537 DESIGN @f@a@d_@board 538 VIEW struct.bd 539 GRAPHIC 10506,0 297 0 540 DESIGN @f@a@d_@board 541 VIEW struct.bd 542 GRAPHIC 10514,0 298 0 543 DESIGN @f@a@d_@board 544 VIEW struct.bd 545 GRAPHIC 10498,0 299 0 546 DESIGN @f@a@d_@board 547 VIEW struct.bd 548 GRAPHIC 10034,0 300 0 549 DESIGN @f@a@d_@board 550 VIEW struct.bd 551 GRAPHIC 9502,0 301 0 552 DESIGN @f@a@d_@board 553 VIEW struct.bd 554 GRAPHIC 10554,0 302 0 555 DESIGN @f@a@d_@board 556 VIEW struct.bd 557 GRAPHIC 10594,0 303 0 558 DESIGN @f@a@d_@board 559 VIEW struct.bd 560 GRAPHIC 10586,0 304 0 561 DESIGN @f@a@d_@board 562 VIEW struct.bd 563 GRAPHIC 10578,0 305 0 564 DESIGN @f@a@d_@board 565 VIEW struct.bd 566 GRAPHIC 10538,0 306 0 567 DESIGN @f@a@d_@board 568 VIEW struct.bd 569 GRAPHIC 10546,0 307 0 570 DESIGN @f@a@d_@board 571 VIEW struct.bd 572 GRAPHIC 10522,0 308 0 573 DESIGN @f@a@d_@board 574 VIEW struct.bd 575 GRAPHIC 10530,0 309 0 576 DESIGN @f@a@d_@board 577 VIEW struct.bd 578 GRAPHIC 2409,0 310 0 579 DESIGN @f@a@d_@board 580 VIEW struct.bd 581 GRAPHIC 2423,0 311 0 582 DESIGN @f@a@d_@board 583 VIEW struct.bd 584 GRAPHIC 12320,0 312 0 585 DESIGN @f@a@d_@board 586 VIEW struct.bd 587 GRAPHIC 10052,0 313 0 588 DESIGN @f@a@d_@board 589 VIEW struct.bd 590 GRAPHIC 362,0 314 0 591 DESIGN @f@a@d_@board 592 VIEW struct.bd 593 GRAPHIC 12545,0 315 0 594 DESIGN @f@a@d_@board 595 VIEW struct.bd 596 GRAPHIC 7477,0 316 0 597 DESIGN @f@a@d_@board 598 VIEW struct.bd 599 GRAPHIC 6431,0 317 0 600 DESIGN @f@a@d_@board 601 VIEW struct.bd 602 GRAPHIC 8853,0 318 0 603 DESIGN @f@a@d_@board 604 VIEW struct.bd 605 GRAPHIC 1841,0 319 0 606 DESIGN @f@a@d_@board 607 VIEW struct.bd 608 GRAPHIC 12573,0 320 0 609 DESIGN @f@a@d_@board 610 VIEW struct.bd 611 GRAPHIC 4942,0 321 0 612 DESIGN @f@a@d_@board 613 VIEW struct.bd 614 GRAPHIC 3682,0 322 0 615 DESIGN @f@a@d_@board 616 VIEW struct.bd 617 GRAPHIC 10562,0 324 0 618 DESIGN @f@a@d_@board 619 VIEW struct.bd 620 GRAPHIC 12559,0 325 0 621 DESIGN @f@a@d_@board 622 VIEW struct.bd 623 GRAPHIC 3009,0 326 0 624 DESIGN @f@a@d_@board 625 VIEW struct.bd 626 GRAPHIC 3021,0 327 0 627 DESIGN @f@a@d_@board 628 VIEW struct.bd 629 GRAPHIC 10570,0 328 0 630 DESIGN @f@a@d_@board 631 VIEW struct.bd 632 GRAPHIC 426,0 329 0 633 DESIGN @f@a@d_@board 634 VIEW struct.bd 635 GRAPHIC 434,0 330 0 636 DESIGN @f@a@d_@board 637 VIEW struct.bd 638 GRAPHIC 458,0 331 0 639 DESIGN @f@a@d_@board 640 VIEW struct.bd 641 GRAPHIC 418,0 332 0 642 DESIGN @f@a@d_@board 643 VIEW struct.bd 644 GRAPHIC 466,0 333 0 645 DESIGN @f@a@d_@board 646 VIEW struct.bd 647 GRAPHIC 3015,0 334 0 648 DESIGN @f@a@d_@board 649 VIEW struct.bd 650 GRAPHIC 442,0 335 0 651 DESIGN @f@a@d_@board 652 VIEW struct.bd 653 NO_GRAPHIC 338 476 GRAPHIC 6888,0 248 0 477 DESIGN @f@a@d_@board 478 VIEW struct.bd 479 NO_GRAPHIC 250 480 DESIGN @f@a@d_@board 481 VIEW struct.bd 482 GRAPHIC 7092,0 251 0 483 DESIGN @f@a@d_@board 484 VIEW struct.bd 485 NO_GRAPHIC 254 486 DESIGN @f@a@d_@board 487 VIEW struct.bd 488 GRAPHIC 10310,0 255 0 489 DESIGN @f@a@d_@board 490 VIEW struct.bd 491 NO_GRAPHIC 275 492 DESIGN @f@a@d_@board 493 VIEW struct.bd 494 GRAPHIC 10023,0 276 0 495 DESIGN @f@a@d_@board 496 VIEW struct.bd 497 GRAPHIC 7652,0 279 0 498 DESIGN @f@a@d_@board 499 VIEW struct.bd 500 NO_GRAPHIC 282 501 DESIGN @f@a@d_@board 502 VIEW struct.bd 503 GRAPHIC 169,0 284 0 504 DESIGN @f@a@d_@board 505 VIEW struct.bd 506 GRAPHIC 176,0 285 1 507 DESIGN @f@a@d_@board 508 VIEW struct.bd 509 GRAPHIC 245,0 289 0 510 DESIGN @f@a@d_@board 511 VIEW struct.bd 512 GRAPHIC 1865,0 290 0 513 DESIGN @f@a@d_@board 514 VIEW struct.bd 515 GRAPHIC 1873,0 291 0 516 DESIGN @f@a@d_@board 517 VIEW struct.bd 518 GRAPHIC 1881,0 292 0 519 DESIGN @f@a@d_@board 520 VIEW struct.bd 521 GRAPHIC 1889,0 293 0 522 DESIGN @f@a@d_@board 523 VIEW struct.bd 524 GRAPHIC 1467,0 294 0 525 DESIGN @f@a@d_@board 526 VIEW struct.bd 527 GRAPHIC 1730,0 295 0 528 DESIGN @f@a@d_@board 529 VIEW struct.bd 530 GRAPHIC 277,0 296 0 531 DESIGN @f@a@d_@board 532 VIEW struct.bd 533 GRAPHIC 285,0 297 0 534 DESIGN @f@a@d_@board 535 VIEW struct.bd 536 GRAPHIC 3218,0 298 0 537 DESIGN @f@a@d_@board 538 VIEW struct.bd 539 GRAPHIC 450,0 299 0 540 DESIGN @f@a@d_@board 541 VIEW struct.bd 542 GRAPHIC 10506,0 300 0 543 DESIGN @f@a@d_@board 544 VIEW struct.bd 545 GRAPHIC 10514,0 301 0 546 DESIGN @f@a@d_@board 547 VIEW struct.bd 548 GRAPHIC 10498,0 302 0 549 DESIGN @f@a@d_@board 550 VIEW struct.bd 551 GRAPHIC 10034,0 303 0 552 DESIGN @f@a@d_@board 553 VIEW struct.bd 554 GRAPHIC 9502,0 304 0 555 DESIGN @f@a@d_@board 556 VIEW struct.bd 557 GRAPHIC 10554,0 305 0 558 DESIGN @f@a@d_@board 559 VIEW struct.bd 560 GRAPHIC 10594,0 306 0 561 DESIGN @f@a@d_@board 562 VIEW struct.bd 563 GRAPHIC 10586,0 307 0 564 DESIGN @f@a@d_@board 565 VIEW struct.bd 566 GRAPHIC 10578,0 308 0 567 DESIGN @f@a@d_@board 568 VIEW struct.bd 569 GRAPHIC 10538,0 309 0 570 DESIGN @f@a@d_@board 571 VIEW struct.bd 572 GRAPHIC 10546,0 310 0 573 DESIGN @f@a@d_@board 574 VIEW struct.bd 575 GRAPHIC 10522,0 311 0 576 DESIGN @f@a@d_@board 577 VIEW struct.bd 578 GRAPHIC 10530,0 312 0 579 DESIGN @f@a@d_@board 580 VIEW struct.bd 581 GRAPHIC 2409,0 313 0 582 DESIGN @f@a@d_@board 583 VIEW struct.bd 584 GRAPHIC 2423,0 314 0 585 DESIGN @f@a@d_@board 586 VIEW struct.bd 587 GRAPHIC 12320,0 315 0 588 DESIGN @f@a@d_@board 589 VIEW struct.bd 590 GRAPHIC 10052,0 316 0 591 DESIGN @f@a@d_@board 592 VIEW struct.bd 593 GRAPHIC 362,0 317 0 594 DESIGN @f@a@d_@board 595 VIEW struct.bd 596 GRAPHIC 12545,0 318 0 597 DESIGN @f@a@d_@board 598 VIEW struct.bd 599 GRAPHIC 7477,0 319 0 600 DESIGN @f@a@d_@board 601 VIEW struct.bd 602 GRAPHIC 6431,0 320 0 603 DESIGN @f@a@d_@board 604 VIEW struct.bd 605 GRAPHIC 8853,0 321 0 606 DESIGN @f@a@d_@board 607 VIEW struct.bd 608 GRAPHIC 1841,0 322 0 609 DESIGN @f@a@d_@board 610 VIEW struct.bd 611 GRAPHIC 12573,0 323 0 612 DESIGN @f@a@d_@board 613 VIEW struct.bd 614 GRAPHIC 4942,0 324 0 615 DESIGN @f@a@d_@board 616 VIEW struct.bd 617 GRAPHIC 3682,0 325 0 618 DESIGN @f@a@d_@board 619 VIEW struct.bd 620 GRAPHIC 10562,0 327 0 621 DESIGN @f@a@d_@board 622 VIEW struct.bd 623 GRAPHIC 12559,0 328 0 624 DESIGN @f@a@d_@board 625 VIEW struct.bd 626 GRAPHIC 3009,0 329 0 627 DESIGN @f@a@d_@board 628 VIEW struct.bd 629 GRAPHIC 3021,0 330 0 630 DESIGN @f@a@d_@board 631 VIEW struct.bd 632 GRAPHIC 10570,0 331 0 633 DESIGN @f@a@d_@board 634 VIEW struct.bd 635 GRAPHIC 426,0 332 0 636 DESIGN @f@a@d_@board 637 VIEW struct.bd 638 GRAPHIC 434,0 333 0 639 DESIGN @f@a@d_@board 640 VIEW struct.bd 641 GRAPHIC 458,0 334 0 642 DESIGN @f@a@d_@board 643 VIEW struct.bd 644 GRAPHIC 418,0 335 0 645 DESIGN @f@a@d_@board 646 VIEW struct.bd 647 GRAPHIC 466,0 336 0 648 DESIGN @f@a@d_@board 649 VIEW struct.bd 650 GRAPHIC 3015,0 337 0 651 DESIGN @f@a@d_@board 652 VIEW struct.bd 653 GRAPHIC 442,0 338 0 654 DESIGN @f@a@d_@board 655 VIEW struct.bd 656 GRAPHIC 13136,0 342 0 657 DESIGN @f@a@d_@board 658 VIEW struct.bd 659 NO_GRAPHIC 344 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf
r10075 r10081 271 271 DESIGN @f@a@d_main 272 272 VIEW struct.bd 273 GRAPHIC 4405,0 138 0 274 DESIGN @f@a@d_main 275 VIEW struct.bd 276 GRAPHIC 10314,0 139 0 277 DESIGN @f@a@d_main 278 VIEW struct.bd 279 GRAPHIC 6544,0 140 0 280 DESIGN @f@a@d_main 281 VIEW struct.bd 282 GRAPHIC 6450,0 141 0 283 DESIGN @f@a@d_main 284 VIEW struct.bd 285 GRAPHIC 5948,0 142 0 286 DESIGN @f@a@d_main 287 VIEW struct.bd 288 GRAPHIC 2640,0 143 0 289 DESIGN @f@a@d_main 290 VIEW struct.bd 291 GRAPHIC 9231,0 144 0 292 DESIGN @f@a@d_main 293 VIEW struct.bd 294 GRAPHIC 9239,0 145 0 295 DESIGN @f@a@d_main 296 VIEW struct.bd 297 GRAPHIC 9941,0 146 0 298 DESIGN @f@a@d_main 299 VIEW struct.bd 300 GRAPHIC 362,0 147 0 301 DESIGN @f@a@d_main 302 VIEW struct.bd 303 GRAPHIC 368,0 148 0 304 DESIGN @f@a@d_main 305 VIEW struct.bd 306 GRAPHIC 2297,0 149 0 307 DESIGN @f@a@d_main 308 VIEW struct.bd 309 GRAPHIC 2574,0 150 0 310 DESIGN @f@a@d_main 311 VIEW struct.bd 312 GRAPHIC 2580,0 151 0 313 DESIGN @f@a@d_main 314 VIEW struct.bd 315 GRAPHIC 10465,0 153 0 316 DESIGN @f@a@d_main 317 VIEW struct.bd 318 GRAPHIC 2924,0 154 0 319 DESIGN @f@a@d_main 320 VIEW struct.bd 321 GRAPHIC 2598,0 155 0 322 DESIGN @f@a@d_main 323 VIEW struct.bd 324 GRAPHIC 10264,0 156 0 325 DESIGN @f@a@d_main 326 VIEW struct.bd 327 GRAPHIC 8730,0 157 0 328 DESIGN @f@a@d_main 329 VIEW struct.bd 330 GRAPHIC 8746,0 158 0 331 DESIGN @f@a@d_main 332 VIEW struct.bd 333 GRAPHIC 5478,0 159 0 334 DESIGN @f@a@d_main 335 VIEW struct.bd 336 GRAPHIC 5472,0 160 0 337 DESIGN @f@a@d_main 338 VIEW struct.bd 339 GRAPHIC 10627,0 161 0 340 DESIGN @f@a@d_main 341 VIEW struct.bd 342 GRAPHIC 10635,0 162 0 343 DESIGN @f@a@d_main 344 VIEW struct.bd 345 GRAPHIC 9949,0 163 0 346 DESIGN @f@a@d_main 347 VIEW struct.bd 348 GRAPHIC 10302,0 164 0 349 DESIGN @f@a@d_main 350 VIEW struct.bd 351 GRAPHIC 10308,0 165 0 352 DESIGN @f@a@d_main 353 VIEW struct.bd 354 GRAPHIC 10296,0 166 0 355 DESIGN @f@a@d_main 356 VIEW struct.bd 357 GRAPHIC 1981,0 167 0 358 DESIGN @f@a@d_main 359 VIEW struct.bd 360 GRAPHIC 10449,0 168 0 361 DESIGN @f@a@d_main 362 VIEW struct.bd 363 GRAPHIC 8414,0 169 0 364 DESIGN @f@a@d_main 365 VIEW struct.bd 366 GRAPHIC 2468,0 170 0 367 DESIGN @f@a@d_main 368 VIEW struct.bd 369 GRAPHIC 2492,0 171 0 370 DESIGN @f@a@d_main 371 VIEW struct.bd 372 GRAPHIC 2486,0 172 0 373 DESIGN @f@a@d_main 374 VIEW struct.bd 375 GRAPHIC 2474,0 173 0 376 DESIGN @f@a@d_main 377 VIEW struct.bd 378 GRAPHIC 2498,0 174 0 379 DESIGN @f@a@d_main 380 VIEW struct.bd 381 GRAPHIC 2504,0 175 0 382 DESIGN @f@a@d_main 383 VIEW struct.bd 384 GRAPHIC 2480,0 176 0 385 DESIGN @f@a@d_main 386 VIEW struct.bd 387 GRAPHIC 320,0 177 0 388 DESIGN @f@a@d_main 389 VIEW struct.bd 390 NO_GRAPHIC 178 391 DESIGN @f@a@d_main 392 VIEW struct.bd 393 GRAPHIC 6276,0 180 0 394 DESIGN @f@a@d_main 395 VIEW struct.bd 396 GRAPHIC 3888,0 181 0 397 DESIGN @f@a@d_main 398 VIEW struct.bd 399 NO_GRAPHIC 183 273 GRAPHIC 11403,0 138 0 274 DESIGN @f@a@d_main 275 VIEW struct.bd 276 GRAPHIC 4405,0 139 0 277 DESIGN @f@a@d_main 278 VIEW struct.bd 279 GRAPHIC 10314,0 140 0 280 DESIGN @f@a@d_main 281 VIEW struct.bd 282 GRAPHIC 6544,0 141 0 283 DESIGN @f@a@d_main 284 VIEW struct.bd 285 GRAPHIC 6450,0 142 0 286 DESIGN @f@a@d_main 287 VIEW struct.bd 288 GRAPHIC 5948,0 143 0 289 DESIGN @f@a@d_main 290 VIEW struct.bd 291 GRAPHIC 2640,0 144 0 292 DESIGN @f@a@d_main 293 VIEW struct.bd 294 GRAPHIC 9231,0 145 0 295 DESIGN @f@a@d_main 296 VIEW struct.bd 297 GRAPHIC 9239,0 146 0 298 DESIGN @f@a@d_main 299 VIEW struct.bd 300 GRAPHIC 9941,0 147 0 301 DESIGN @f@a@d_main 302 VIEW struct.bd 303 GRAPHIC 362,0 148 0 304 DESIGN @f@a@d_main 305 VIEW struct.bd 306 GRAPHIC 368,0 149 0 307 DESIGN @f@a@d_main 308 VIEW struct.bd 309 GRAPHIC 2297,0 150 0 310 DESIGN @f@a@d_main 311 VIEW struct.bd 312 GRAPHIC 2574,0 151 0 313 DESIGN @f@a@d_main 314 VIEW struct.bd 315 GRAPHIC 2580,0 152 0 316 DESIGN @f@a@d_main 317 VIEW struct.bd 318 GRAPHIC 10465,0 154 0 319 DESIGN @f@a@d_main 320 VIEW struct.bd 321 GRAPHIC 2924,0 155 0 322 DESIGN @f@a@d_main 323 VIEW struct.bd 324 GRAPHIC 2598,0 156 0 325 DESIGN @f@a@d_main 326 VIEW struct.bd 327 GRAPHIC 10264,0 157 0 328 DESIGN @f@a@d_main 329 VIEW struct.bd 330 GRAPHIC 8730,0 158 0 331 DESIGN @f@a@d_main 332 VIEW struct.bd 333 GRAPHIC 8746,0 159 0 334 DESIGN @f@a@d_main 335 VIEW struct.bd 336 GRAPHIC 5478,0 160 0 337 DESIGN @f@a@d_main 338 VIEW struct.bd 339 GRAPHIC 5472,0 161 0 340 DESIGN @f@a@d_main 341 VIEW struct.bd 342 GRAPHIC 10627,0 162 0 343 DESIGN @f@a@d_main 344 VIEW struct.bd 345 GRAPHIC 10635,0 163 0 346 DESIGN @f@a@d_main 347 VIEW struct.bd 348 GRAPHIC 9949,0 164 0 349 DESIGN @f@a@d_main 350 VIEW struct.bd 351 GRAPHIC 10302,0 165 0 352 DESIGN @f@a@d_main 353 VIEW struct.bd 354 GRAPHIC 10308,0 166 0 355 DESIGN @f@a@d_main 356 VIEW struct.bd 357 GRAPHIC 10296,0 167 0 358 DESIGN @f@a@d_main 359 VIEW struct.bd 360 GRAPHIC 1981,0 168 0 361 DESIGN @f@a@d_main 362 VIEW struct.bd 363 GRAPHIC 10449,0 169 0 364 DESIGN @f@a@d_main 365 VIEW struct.bd 366 GRAPHIC 8414,0 170 0 367 DESIGN @f@a@d_main 368 VIEW struct.bd 369 GRAPHIC 2468,0 171 0 370 DESIGN @f@a@d_main 371 VIEW struct.bd 372 GRAPHIC 2492,0 172 0 373 DESIGN @f@a@d_main 374 VIEW struct.bd 375 GRAPHIC 2486,0 173 0 376 DESIGN @f@a@d_main 377 VIEW struct.bd 378 GRAPHIC 2474,0 174 0 379 DESIGN @f@a@d_main 380 VIEW struct.bd 381 GRAPHIC 2498,0 175 0 382 DESIGN @f@a@d_main 383 VIEW struct.bd 384 GRAPHIC 2504,0 176 0 385 DESIGN @f@a@d_main 386 VIEW struct.bd 387 GRAPHIC 2480,0 177 0 388 DESIGN @f@a@d_main 389 VIEW struct.bd 390 GRAPHIC 320,0 178 0 391 DESIGN @f@a@d_main 392 VIEW struct.bd 393 NO_GRAPHIC 179 394 DESIGN @f@a@d_main 395 VIEW struct.bd 396 GRAPHIC 6276,0 181 0 397 DESIGN @f@a@d_main 398 VIEW struct.bd 399 GRAPHIC 3888,0 182 0 400 DESIGN @f@a@d_main 401 VIEW struct.bd 402 NO_GRAPHIC 184 400 403 LIBRARY FACT_FAD_lib 401 404 DESIGN adc_buffer 402 405 VIEW beha 403 GRAPHIC 5678,0 18 50404 DESIGN @f@a@d_main 405 VIEW struct.bd 406 NO_GRAPHIC 19 2407 DESIGN @f@a@d_main 408 VIEW struct.bd 409 GRAPHIC 9175,0 19 40406 GRAPHIC 5678,0 186 0 407 DESIGN @f@a@d_main 408 VIEW struct.bd 409 NO_GRAPHIC 193 410 DESIGN @f@a@d_main 411 VIEW struct.bd 412 GRAPHIC 9175,0 195 0 410 413 DESIGN clock_generator_var_ps 411 414 VIEW symbol.sb 412 GRAPHIC 168,0 19 60415 GRAPHIC 168,0 197 0 413 416 DESIGN clock_generator_var_ps 414 417 VIEW symbol.sb 415 GRAPHIC 848,0 19 70418 GRAPHIC 848,0 198 0 416 419 DESIGN clock_generator_var_ps 417 420 VIEW symbol.sb 418 GRAPHIC 703,0 19 80421 GRAPHIC 703,0 199 0 419 422 DESIGN clock_generator_var_ps 420 423 VIEW symbol.sb 421 GRAPHIC 698,0 1990424 GRAPHIC 698,0 200 0 422 425 DESIGN clock_generator_var_ps 423 426 VIEW symbol.sb 424 GRAPHIC 126,0 20 00427 GRAPHIC 126,0 201 0 425 428 DESIGN clock_generator_var_ps 426 429 VIEW symbol.sb 427 GRAPHIC 643,0 20 10430 GRAPHIC 643,0 202 0 428 431 DESIGN clock_generator_var_ps 429 432 VIEW symbol.sb 430 GRAPHIC 121,0 20 20433 GRAPHIC 121,0 203 0 431 434 DESIGN clock_generator_var_ps 432 435 VIEW symbol.sb 433 GRAPHIC 481,0 20 30436 GRAPHIC 481,0 204 0 434 437 DESIGN clock_generator_var_ps 435 438 VIEW symbol.sb 436 GRAPHIC 544,0 20 40439 GRAPHIC 544,0 205 0 437 440 DESIGN clock_generator_var_ps 438 441 VIEW symbol.sb 439 GRAPHIC 524,0 20 50442 GRAPHIC 524,0 206 0 440 443 DESIGN clock_generator_var_ps 441 444 VIEW symbol.sb 442 GRAPHIC 539,0 20 60445 GRAPHIC 539,0 207 0 443 446 DESIGN clock_generator_var_ps 444 447 VIEW symbol.sb 445 GRAPHIC 529,0 20 70448 GRAPHIC 529,0 208 0 446 449 DESIGN clock_generator_var_ps 447 450 VIEW symbol.sb 448 GRAPHIC 534,0 20 80451 GRAPHIC 534,0 209 0 449 452 DESIGN clock_generator_var_ps 450 453 VIEW symbol.sb 451 GRAPHIC 475,0 2 090454 GRAPHIC 475,0 210 0 452 455 DESIGN clock_generator_var_ps 453 456 VIEW symbol.sb 454 GRAPHIC 463,0 21 00457 GRAPHIC 463,0 211 0 455 458 DESIGN clock_generator_var_ps 456 459 VIEW symbol.sb 457 GRAPHIC 469,0 21 10458 DESIGN @f@a@d_main 459 VIEW struct.bd 460 GRAPHIC 5072,0 21 50460 GRAPHIC 469,0 212 0 461 DESIGN @f@a@d_main 462 VIEW struct.bd 463 GRAPHIC 5072,0 216 0 461 464 DESIGN control_unit 462 465 VIEW symbol.sb 463 GRAPHIC 130,0 21 70466 GRAPHIC 130,0 218 0 464 467 DESIGN control_unit 465 468 VIEW symbol.sb 466 GRAPHIC 135,0 21 80469 GRAPHIC 135,0 219 0 467 470 DESIGN control_unit 468 471 VIEW symbol.sb 469 GRAPHIC 170,0 2 190472 GRAPHIC 170,0 220 0 470 473 DESIGN control_unit 471 474 VIEW symbol.sb 472 GRAPHIC 175,0 22 00475 GRAPHIC 175,0 221 0 473 476 DESIGN control_unit 474 477 VIEW symbol.sb 475 GRAPHIC 160,0 22 10478 GRAPHIC 160,0 222 0 476 479 DESIGN control_unit 477 480 VIEW symbol.sb 478 GRAPHIC 145,0 22 20481 GRAPHIC 145,0 223 0 479 482 DESIGN control_unit 480 483 VIEW symbol.sb 481 GRAPHIC 140,0 22 30484 GRAPHIC 140,0 224 0 482 485 DESIGN control_unit 483 486 VIEW symbol.sb 484 GRAPHIC 180,0 22 40487 GRAPHIC 180,0 225 0 485 488 DESIGN control_unit 486 489 VIEW symbol.sb 487 GRAPHIC 558,0 22 50490 GRAPHIC 558,0 226 0 488 491 DESIGN control_unit 489 492 VIEW symbol.sb 490 GRAPHIC 564,0 22 70493 GRAPHIC 564,0 228 0 491 494 DESIGN control_unit 492 495 VIEW symbol.sb 493 GRAPHIC 350,0 2 290496 GRAPHIC 350,0 230 0 494 497 DESIGN control_unit 495 498 VIEW symbol.sb 496 GRAPHIC 165,0 23 00499 GRAPHIC 165,0 231 0 497 500 DESIGN control_unit 498 501 VIEW symbol.sb 499 GRAPHIC 155,0 23 10502 GRAPHIC 155,0 232 0 500 503 DESIGN control_unit 501 504 VIEW symbol.sb 502 GRAPHIC 150,0 23 20503 DESIGN @f@a@d_main 504 VIEW struct.bd 505 GRAPHIC 8277,0 23 50505 GRAPHIC 150,0 233 0 506 DESIGN @f@a@d_main 507 VIEW struct.bd 508 GRAPHIC 8277,0 236 0 506 509 DESIGN data@r@a@m_64b_16b_width14_5 507 510 VIEW data@r@a@m_64b_16b_width14_5_a 508 GRAPHIC 48,0 23 70511 GRAPHIC 48,0 238 0 509 512 DESIGN data@r@a@m_64b_16b_width14_5 510 513 VIEW data@r@a@m_64b_16b_width14_5_a 511 GRAPHIC 53,0 23 80514 GRAPHIC 53,0 239 0 512 515 DESIGN data@r@a@m_64b_16b_width14_5 513 516 VIEW data@r@a@m_64b_16b_width14_5_a 514 GRAPHIC 58,0 2 390517 GRAPHIC 58,0 240 0 515 518 DESIGN data@r@a@m_64b_16b_width14_5 516 519 VIEW data@r@a@m_64b_16b_width14_5_a 517 GRAPHIC 63,0 24 00520 GRAPHIC 63,0 241 0 518 521 DESIGN data@r@a@m_64b_16b_width14_5 519 522 VIEW data@r@a@m_64b_16b_width14_5_a 520 GRAPHIC 68,0 24 10523 GRAPHIC 68,0 242 0 521 524 DESIGN data@r@a@m_64b_16b_width14_5 522 525 VIEW data@r@a@m_64b_16b_width14_5_a 523 GRAPHIC 73,0 24 20526 GRAPHIC 73,0 243 0 524 527 DESIGN data@r@a@m_64b_16b_width14_5 525 528 VIEW data@r@a@m_64b_16b_width14_5_a 526 GRAPHIC 78,0 243 0 527 DESIGN @f@a@d_main 528 VIEW struct.bd 529 GRAPHIC 1399,0 246 0 530 DESIGN data_generator 531 VIEW symbol.sb 532 GRAPHIC 14,0 247 1 533 DESIGN data_generator 534 VIEW @behavioral 535 GRAPHIC 48,0 251 0 536 DESIGN data_generator 537 VIEW @behavioral 538 GRAPHIC 53,0 253 0 539 DESIGN data_generator 540 VIEW @behavioral 541 GRAPHIC 58,0 254 0 542 DESIGN data_generator 543 VIEW @behavioral 544 GRAPHIC 73,0 255 0 545 DESIGN data_generator 546 VIEW @behavioral 547 GRAPHIC 78,0 256 0 548 DESIGN data_generator 549 VIEW @behavioral 550 GRAPHIC 402,0 257 0 551 DESIGN data_generator 552 VIEW @behavioral 553 GRAPHIC 407,0 258 0 554 DESIGN data_generator 555 VIEW @behavioral 556 GRAPHIC 1479,0 259 0 557 DESIGN data_generator 558 VIEW @behavioral 559 GRAPHIC 1122,0 261 0 560 DESIGN data_generator 561 VIEW @behavioral 562 GRAPHIC 963,0 263 0 563 DESIGN data_generator 564 VIEW @behavioral 565 GRAPHIC 1127,0 265 0 566 DESIGN data_generator 567 VIEW @behavioral 568 GRAPHIC 1048,0 267 0 569 DESIGN data_generator 570 VIEW @behavioral 571 GRAPHIC 958,0 268 0 572 DESIGN data_generator 573 VIEW @behavioral 574 GRAPHIC 1053,0 269 0 575 DESIGN data_generator 576 VIEW @behavioral 577 GRAPHIC 1201,0 270 0 578 DESIGN data_generator 579 VIEW @behavioral 580 GRAPHIC 1196,0 271 0 581 DESIGN data_generator 582 VIEW @behavioral 583 GRAPHIC 1206,0 272 0 584 DESIGN data_generator 585 VIEW @behavioral 586 GRAPHIC 473,0 273 0 587 DESIGN data_generator 588 VIEW @behavioral 589 GRAPHIC 412,0 274 0 590 DESIGN data_generator 591 VIEW @behavioral 592 GRAPHIC 1085,0 275 0 593 DESIGN data_generator 594 VIEW @behavioral 595 GRAPHIC 1090,0 276 0 596 DESIGN data_generator 597 VIEW @behavioral 598 GRAPHIC 1240,0 277 0 599 DESIGN data_generator 600 VIEW @behavioral 601 GRAPHIC 526,0 278 0 602 DESIGN data_generator 603 VIEW @behavioral 604 GRAPHIC 88,0 279 0 605 DESIGN data_generator 606 VIEW @behavioral 607 GRAPHIC 285,0 280 0 608 DESIGN data_generator 609 VIEW @behavioral 610 GRAPHIC 93,0 281 0 611 DESIGN data_generator 612 VIEW @behavioral 613 GRAPHIC 98,0 282 0 614 DESIGN data_generator 615 VIEW @behavioral 616 GRAPHIC 1164,0 283 0 617 DESIGN data_generator 618 VIEW @behavioral 619 GRAPHIC 1159,0 285 0 620 DESIGN data_generator 621 VIEW @behavioral 622 GRAPHIC 898,0 286 0 623 DESIGN data_generator 624 VIEW @behavioral 625 GRAPHIC 637,0 287 0 626 DESIGN data_generator 627 VIEW @behavioral 628 GRAPHIC 1395,0 288 0 629 DESIGN data_generator 630 VIEW @behavioral 631 GRAPHIC 1427,0 289 0 632 DESIGN data_generator 633 VIEW @behavioral 634 GRAPHIC 676,0 290 0 635 DESIGN data_generator 636 VIEW @behavioral 637 GRAPHIC 845,0 291 0 638 DESIGN data_generator 639 VIEW @behavioral 640 GRAPHIC 681,0 292 0 641 DESIGN data_generator 642 VIEW @behavioral 643 GRAPHIC 801,0 293 0 644 DESIGN data_generator 645 VIEW @behavioral 646 GRAPHIC 1464,0 294 0 647 DESIGN data_generator 648 VIEW @behavioral 649 GRAPHIC 1469,0 295 0 650 DESIGN data_generator 651 VIEW @behavioral 652 GRAPHIC 1459,0 296 0 653 DESIGN data_generator 654 VIEW @behavioral 655 GRAPHIC 1474,0 297 0 656 DESIGN data_generator 657 VIEW @behavioral 658 GRAPHIC 806,0 298 0 659 DESIGN data_generator 660 VIEW @behavioral 661 GRAPHIC 811,0 299 0 662 DESIGN @f@a@d_main 663 VIEW struct.bd 664 GRAPHIC 4903,0 302 0 665 DESIGN @f@a@d_main 666 VIEW struct.bd 667 NO_GRAPHIC 320 668 DESIGN @f@a@d_main 669 VIEW struct.bd 670 GRAPHIC 11209,0 322 0 671 DESIGN @f@a@d_main 672 VIEW struct.bd 673 GRAPHIC 11216,0 323 1 674 DESIGN @f@a@d_main 675 VIEW struct.bd 676 NO_GRAPHIC 336 677 DESIGN @f@a@d_main 678 VIEW struct.bd 679 GRAPHIC 2311,0 338 0 680 DESIGN memory_manager 681 VIEW symbol.sb 682 GRAPHIC 14,0 339 1 683 DESIGN memory_manager 684 VIEW beha 685 GRAPHIC 138,0 344 0 686 DESIGN memory_manager 687 VIEW beha 688 GRAPHIC 194,0 345 0 689 DESIGN memory_manager 690 VIEW beha 691 GRAPHIC 349,0 346 0 692 DESIGN memory_manager 693 VIEW beha 694 GRAPHIC 949,0 347 0 695 DESIGN memory_manager 696 VIEW beha 697 GRAPHIC 569,0 349 0 698 DESIGN memory_manager 699 VIEW beha 700 GRAPHIC 224,0 351 0 701 DESIGN memory_manager 702 VIEW beha 703 GRAPHIC 254,0 352 0 704 DESIGN memory_manager 705 VIEW beha 706 GRAPHIC 804,0 353 0 707 DESIGN memory_manager 708 VIEW beha 709 GRAPHIC 433,0 354 0 710 DESIGN memory_manager 711 VIEW beha 712 GRAPHIC 622,0 355 0 713 DESIGN memory_manager 714 VIEW beha 715 GRAPHIC 289,0 356 0 716 DESIGN memory_manager 717 VIEW beha 718 GRAPHIC 309,0 357 0 719 DESIGN memory_manager 720 VIEW beha 721 GRAPHIC 284,0 358 0 722 DESIGN memory_manager 723 VIEW beha 724 GRAPHIC 294,0 359 0 725 DESIGN memory_manager 726 VIEW beha 727 GRAPHIC 304,0 360 0 728 DESIGN memory_manager 729 VIEW beha 730 GRAPHIC 299,0 361 0 731 DESIGN memory_manager 732 VIEW beha 733 GRAPHIC 379,0 362 0 734 DESIGN memory_manager 735 VIEW beha 736 GRAPHIC 915,0 363 0 737 DESIGN memory_manager 738 VIEW beha 739 GRAPHIC 51,0 364 0 740 DESIGN @f@a@d_main 741 VIEW struct.bd 742 GRAPHIC 5793,0 367 0 529 GRAPHIC 78,0 244 0 530 DESIGN @f@a@d_main 531 VIEW struct.bd 532 GRAPHIC 1399,0 247 0 533 DESIGN data_generator 534 VIEW symbol.sb 535 GRAPHIC 14,0 248 1 536 DESIGN data_generator 537 VIEW @behavioral 538 GRAPHIC 48,0 252 0 539 DESIGN data_generator 540 VIEW @behavioral 541 GRAPHIC 53,0 254 0 542 DESIGN data_generator 543 VIEW @behavioral 544 GRAPHIC 58,0 255 0 545 DESIGN data_generator 546 VIEW @behavioral 547 GRAPHIC 73,0 256 0 548 DESIGN data_generator 549 VIEW @behavioral 550 GRAPHIC 78,0 257 0 551 DESIGN data_generator 552 VIEW @behavioral 553 GRAPHIC 402,0 258 0 554 DESIGN data_generator 555 VIEW @behavioral 556 GRAPHIC 407,0 259 0 557 DESIGN data_generator 558 VIEW @behavioral 559 GRAPHIC 1479,0 260 0 560 DESIGN data_generator 561 VIEW @behavioral 562 GRAPHIC 1122,0 262 0 563 DESIGN data_generator 564 VIEW @behavioral 565 GRAPHIC 963,0 264 0 566 DESIGN data_generator 567 VIEW @behavioral 568 GRAPHIC 1127,0 266 0 569 DESIGN data_generator 570 VIEW @behavioral 571 GRAPHIC 1048,0 268 0 572 DESIGN data_generator 573 VIEW @behavioral 574 GRAPHIC 958,0 269 0 575 DESIGN data_generator 576 VIEW @behavioral 577 GRAPHIC 1053,0 270 0 578 DESIGN data_generator 579 VIEW @behavioral 580 GRAPHIC 1201,0 271 0 581 DESIGN data_generator 582 VIEW @behavioral 583 GRAPHIC 1196,0 272 0 584 DESIGN data_generator 585 VIEW @behavioral 586 GRAPHIC 1206,0 273 0 587 DESIGN data_generator 588 VIEW @behavioral 589 GRAPHIC 473,0 274 0 590 DESIGN data_generator 591 VIEW @behavioral 592 GRAPHIC 412,0 275 0 593 DESIGN data_generator 594 VIEW @behavioral 595 GRAPHIC 1085,0 276 0 596 DESIGN data_generator 597 VIEW @behavioral 598 GRAPHIC 1090,0 277 0 599 DESIGN data_generator 600 VIEW @behavioral 601 GRAPHIC 1240,0 278 0 602 DESIGN data_generator 603 VIEW @behavioral 604 GRAPHIC 526,0 279 0 605 DESIGN data_generator 606 VIEW @behavioral 607 GRAPHIC 88,0 280 0 608 DESIGN data_generator 609 VIEW @behavioral 610 GRAPHIC 285,0 281 0 611 DESIGN data_generator 612 VIEW @behavioral 613 GRAPHIC 93,0 282 0 614 DESIGN data_generator 615 VIEW @behavioral 616 GRAPHIC 98,0 283 0 617 DESIGN data_generator 618 VIEW @behavioral 619 GRAPHIC 1164,0 284 0 620 DESIGN data_generator 621 VIEW @behavioral 622 GRAPHIC 1159,0 286 0 623 DESIGN data_generator 624 VIEW @behavioral 625 GRAPHIC 898,0 287 0 626 DESIGN data_generator 627 VIEW @behavioral 628 GRAPHIC 637,0 288 0 629 DESIGN data_generator 630 VIEW @behavioral 631 GRAPHIC 1395,0 289 0 632 DESIGN data_generator 633 VIEW @behavioral 634 GRAPHIC 1427,0 290 0 635 DESIGN data_generator 636 VIEW @behavioral 637 GRAPHIC 676,0 291 0 638 DESIGN data_generator 639 VIEW @behavioral 640 GRAPHIC 845,0 292 0 641 DESIGN data_generator 642 VIEW @behavioral 643 GRAPHIC 681,0 293 0 644 DESIGN data_generator 645 VIEW @behavioral 646 GRAPHIC 801,0 294 0 647 DESIGN data_generator 648 VIEW @behavioral 649 GRAPHIC 1464,0 295 0 650 DESIGN data_generator 651 VIEW @behavioral 652 GRAPHIC 1469,0 296 0 653 DESIGN data_generator 654 VIEW @behavioral 655 GRAPHIC 1459,0 297 0 656 DESIGN data_generator 657 VIEW @behavioral 658 GRAPHIC 1474,0 298 0 659 DESIGN data_generator 660 VIEW @behavioral 661 GRAPHIC 806,0 299 0 662 DESIGN data_generator 663 VIEW @behavioral 664 GRAPHIC 811,0 300 0 665 DESIGN data_generator 666 VIEW @behavioral 667 GRAPHIC 1519,0 301 0 668 DESIGN @f@a@d_main 669 VIEW struct.bd 670 GRAPHIC 4903,0 304 0 671 DESIGN @f@a@d_main 672 VIEW struct.bd 673 NO_GRAPHIC 322 674 DESIGN @f@a@d_main 675 VIEW struct.bd 676 GRAPHIC 11209,0 324 0 677 DESIGN @f@a@d_main 678 VIEW struct.bd 679 GRAPHIC 11216,0 325 1 680 DESIGN @f@a@d_main 681 VIEW struct.bd 682 NO_GRAPHIC 338 683 DESIGN @f@a@d_main 684 VIEW struct.bd 685 GRAPHIC 2311,0 340 0 686 DESIGN memory_manager 687 VIEW symbol.sb 688 GRAPHIC 14,0 341 1 689 DESIGN memory_manager 690 VIEW beha 691 GRAPHIC 138,0 346 0 692 DESIGN memory_manager 693 VIEW beha 694 GRAPHIC 194,0 347 0 695 DESIGN memory_manager 696 VIEW beha 697 GRAPHIC 349,0 348 0 698 DESIGN memory_manager 699 VIEW beha 700 GRAPHIC 949,0 349 0 701 DESIGN memory_manager 702 VIEW beha 703 GRAPHIC 569,0 351 0 704 DESIGN memory_manager 705 VIEW beha 706 GRAPHIC 224,0 353 0 707 DESIGN memory_manager 708 VIEW beha 709 GRAPHIC 254,0 354 0 710 DESIGN memory_manager 711 VIEW beha 712 GRAPHIC 804,0 355 0 713 DESIGN memory_manager 714 VIEW beha 715 GRAPHIC 433,0 356 0 716 DESIGN memory_manager 717 VIEW beha 718 GRAPHIC 622,0 357 0 719 DESIGN memory_manager 720 VIEW beha 721 GRAPHIC 289,0 358 0 722 DESIGN memory_manager 723 VIEW beha 724 GRAPHIC 309,0 359 0 725 DESIGN memory_manager 726 VIEW beha 727 GRAPHIC 284,0 360 0 728 DESIGN memory_manager 729 VIEW beha 730 GRAPHIC 294,0 361 0 731 DESIGN memory_manager 732 VIEW beha 733 GRAPHIC 304,0 362 0 734 DESIGN memory_manager 735 VIEW beha 736 GRAPHIC 299,0 363 0 737 DESIGN memory_manager 738 VIEW beha 739 GRAPHIC 379,0 364 0 740 DESIGN memory_manager 741 VIEW beha 742 GRAPHIC 915,0 365 0 743 DESIGN memory_manager 744 VIEW beha 745 GRAPHIC 51,0 366 0 746 DESIGN @f@a@d_main 747 VIEW struct.bd 748 GRAPHIC 5793,0 369 0 743 749 DESIGN spi_interface 744 750 VIEW symbol.sb 745 GRAPHIC 1121,0 3 690751 GRAPHIC 1121,0 371 0 746 752 DESIGN spi_interface 747 753 VIEW symbol.sb 748 GRAPHIC 326,0 37 00754 GRAPHIC 326,0 372 0 749 755 DESIGN spi_interface 750 756 VIEW symbol.sb 751 GRAPHIC 197,0 37 10757 GRAPHIC 197,0 373 0 752 758 DESIGN spi_interface 753 759 VIEW symbol.sb 754 GRAPHIC 321,0 37 20760 GRAPHIC 321,0 374 0 755 761 DESIGN spi_interface 756 762 VIEW symbol.sb 757 GRAPHIC 1198,0 37 30763 GRAPHIC 1198,0 375 0 758 764 DESIGN spi_interface 759 765 VIEW symbol.sb 760 GRAPHIC 1017,0 37 40766 GRAPHIC 1017,0 376 0 761 767 DESIGN spi_interface 762 768 VIEW symbol.sb 763 GRAPHIC 1229,0 37 50769 GRAPHIC 1229,0 377 0 764 770 DESIGN spi_interface 765 771 VIEW symbol.sb 766 GRAPHIC 126,0 37 60772 GRAPHIC 126,0 378 0 767 773 DESIGN spi_interface 768 774 VIEW symbol.sb 769 GRAPHIC 819,0 37 70775 GRAPHIC 819,0 379 0 770 776 DESIGN spi_interface 771 777 VIEW symbol.sb 772 GRAPHIC 1022,0 3 780778 GRAPHIC 1022,0 380 0 773 779 DESIGN spi_interface 774 780 VIEW symbol.sb 775 GRAPHIC 824,0 3 790781 GRAPHIC 824,0 381 0 776 782 DESIGN spi_interface 777 783 VIEW symbol.sb 778 GRAPHIC 1283,0 38 00779 DESIGN @f@a@d_main 780 VIEW struct.bd 781 GRAPHIC 1768,0 38 30784 GRAPHIC 1283,0 382 0 785 DESIGN @f@a@d_main 786 VIEW struct.bd 787 GRAPHIC 1768,0 385 0 782 788 DESIGN trigger_counter 783 789 VIEW beha 784 GRAPHIC 48,0 38 50790 GRAPHIC 48,0 387 0 785 791 DESIGN trigger_counter 786 792 VIEW beha 787 GRAPHIC 53,0 38 60793 GRAPHIC 53,0 388 0 788 794 DESIGN trigger_counter 789 795 VIEW beha 790 GRAPHIC 148,0 38 70791 DESIGN @f@a@d_main 792 VIEW struct.bd 793 GRAPHIC 1606,0 39 00794 DESIGN w5300_modul 795 VIEW symbol.sb 796 GRAPHIC 14,0 39 11797 DESIGN w5300_modul 798 VIEW @behavioral 799 GRAPHIC 48,0 39 50800 DESIGN w5300_modul 801 VIEW @behavioral 802 GRAPHIC 53,0 39 60803 DESIGN w5300_modul 804 VIEW @behavioral 805 GRAPHIC 58,0 39 70806 DESIGN w5300_modul 807 VIEW @behavioral 808 GRAPHIC 63,0 3980809 DESIGN w5300_modul 810 VIEW @behavioral 811 GRAPHIC 68,0 3990812 DESIGN w5300_modul 813 VIEW @behavioral 814 GRAPHIC 73,0 40 00815 DESIGN w5300_modul 816 VIEW @behavioral 817 GRAPHIC 491,0 40 10818 DESIGN w5300_modul 819 VIEW @behavioral 820 GRAPHIC 83,0 40 20821 DESIGN w5300_modul 822 VIEW @behavioral 823 GRAPHIC 88,0 40 30824 DESIGN w5300_modul 825 VIEW @behavioral 826 GRAPHIC 93,0 40 40827 DESIGN w5300_modul 828 VIEW @behavioral 829 GRAPHIC 98,0 40 50830 DESIGN w5300_modul 831 VIEW @behavioral 832 GRAPHIC 103,0 40 60833 DESIGN w5300_modul 834 VIEW @behavioral 835 GRAPHIC 108,0 40 70836 DESIGN w5300_modul 837 VIEW @behavioral 838 GRAPHIC 113,0 4 080839 DESIGN w5300_modul 840 VIEW @behavioral 841 GRAPHIC 885,0 4 090842 DESIGN w5300_modul 843 VIEW @behavioral 844 GRAPHIC 118,0 41 00845 DESIGN w5300_modul 846 VIEW @behavioral 847 GRAPHIC 353,0 41 10848 DESIGN w5300_modul 849 VIEW @behavioral 850 GRAPHIC 348,0 41 20851 DESIGN w5300_modul 852 VIEW @behavioral 853 GRAPHIC 385,0 41 30854 DESIGN w5300_modul 855 VIEW @behavioral 856 GRAPHIC 521,0 41 40857 DESIGN w5300_modul 858 VIEW @behavioral 859 GRAPHIC 576,0 41 50860 DESIGN w5300_modul 861 VIEW @behavioral 862 GRAPHIC 566,0 41 60863 DESIGN w5300_modul 864 VIEW @behavioral 865 GRAPHIC 551,0 41 70866 DESIGN w5300_modul 867 VIEW @behavioral 868 GRAPHIC 561,0 4 180869 DESIGN w5300_modul 870 VIEW @behavioral 871 GRAPHIC 571,0 4 190872 DESIGN w5300_modul 873 VIEW @behavioral 874 GRAPHIC 640,0 42 00875 DESIGN w5300_modul 876 VIEW @behavioral 877 GRAPHIC 1052,0 42 10878 DESIGN w5300_modul 879 VIEW @behavioral 880 GRAPHIC 1057,0 42 30881 DESIGN w5300_modul 882 VIEW @behavioral 883 GRAPHIC 556,0 42 50884 DESIGN w5300_modul 885 VIEW @behavioral 886 GRAPHIC 670,0 42 70887 DESIGN w5300_modul 888 VIEW @behavioral 889 GRAPHIC 723,0 4 280890 DESIGN w5300_modul 891 VIEW @behavioral 892 GRAPHIC 917,0 4 290893 DESIGN w5300_modul 894 VIEW @behavioral 895 GRAPHIC 949,0 43 00896 DESIGN w5300_modul 897 VIEW @behavioral 898 GRAPHIC 954,0 43 10899 DESIGN w5300_modul 900 VIEW @behavioral 901 GRAPHIC 988,0 43 20902 DESIGN w5300_modul 903 VIEW @behavioral 904 GRAPHIC 1020,0 43 30905 DESIGN w5300_modul 906 VIEW @behavioral 907 GRAPHIC 1096,0 43 40908 DESIGN w5300_modul 909 VIEW @behavioral 910 GRAPHIC 1091,0 43 50796 GRAPHIC 148,0 389 0 797 DESIGN @f@a@d_main 798 VIEW struct.bd 799 GRAPHIC 1606,0 392 0 800 DESIGN w5300_modul 801 VIEW symbol.sb 802 GRAPHIC 14,0 393 1 803 DESIGN w5300_modul 804 VIEW @behavioral 805 GRAPHIC 48,0 397 0 806 DESIGN w5300_modul 807 VIEW @behavioral 808 GRAPHIC 53,0 398 0 809 DESIGN w5300_modul 810 VIEW @behavioral 811 GRAPHIC 58,0 399 0 812 DESIGN w5300_modul 813 VIEW @behavioral 814 GRAPHIC 63,0 400 0 815 DESIGN w5300_modul 816 VIEW @behavioral 817 GRAPHIC 68,0 401 0 818 DESIGN w5300_modul 819 VIEW @behavioral 820 GRAPHIC 73,0 402 0 821 DESIGN w5300_modul 822 VIEW @behavioral 823 GRAPHIC 491,0 403 0 824 DESIGN w5300_modul 825 VIEW @behavioral 826 GRAPHIC 83,0 404 0 827 DESIGN w5300_modul 828 VIEW @behavioral 829 GRAPHIC 88,0 405 0 830 DESIGN w5300_modul 831 VIEW @behavioral 832 GRAPHIC 93,0 406 0 833 DESIGN w5300_modul 834 VIEW @behavioral 835 GRAPHIC 98,0 407 0 836 DESIGN w5300_modul 837 VIEW @behavioral 838 GRAPHIC 103,0 408 0 839 DESIGN w5300_modul 840 VIEW @behavioral 841 GRAPHIC 108,0 409 0 842 DESIGN w5300_modul 843 VIEW @behavioral 844 GRAPHIC 113,0 410 0 845 DESIGN w5300_modul 846 VIEW @behavioral 847 GRAPHIC 885,0 411 0 848 DESIGN w5300_modul 849 VIEW @behavioral 850 GRAPHIC 118,0 412 0 851 DESIGN w5300_modul 852 VIEW @behavioral 853 GRAPHIC 353,0 413 0 854 DESIGN w5300_modul 855 VIEW @behavioral 856 GRAPHIC 348,0 414 0 857 DESIGN w5300_modul 858 VIEW @behavioral 859 GRAPHIC 385,0 415 0 860 DESIGN w5300_modul 861 VIEW @behavioral 862 GRAPHIC 521,0 416 0 863 DESIGN w5300_modul 864 VIEW @behavioral 865 GRAPHIC 576,0 417 0 866 DESIGN w5300_modul 867 VIEW @behavioral 868 GRAPHIC 566,0 418 0 869 DESIGN w5300_modul 870 VIEW @behavioral 871 GRAPHIC 551,0 419 0 872 DESIGN w5300_modul 873 VIEW @behavioral 874 GRAPHIC 561,0 420 0 875 DESIGN w5300_modul 876 VIEW @behavioral 877 GRAPHIC 571,0 421 0 878 DESIGN w5300_modul 879 VIEW @behavioral 880 GRAPHIC 640,0 422 0 881 DESIGN w5300_modul 882 VIEW @behavioral 883 GRAPHIC 1052,0 423 0 884 DESIGN w5300_modul 885 VIEW @behavioral 886 GRAPHIC 1057,0 425 0 887 DESIGN w5300_modul 888 VIEW @behavioral 889 GRAPHIC 556,0 427 0 890 DESIGN w5300_modul 891 VIEW @behavioral 892 GRAPHIC 670,0 429 0 893 DESIGN w5300_modul 894 VIEW @behavioral 895 GRAPHIC 723,0 430 0 896 DESIGN w5300_modul 897 VIEW @behavioral 898 GRAPHIC 917,0 431 0 899 DESIGN w5300_modul 900 VIEW @behavioral 901 GRAPHIC 949,0 432 0 902 DESIGN w5300_modul 903 VIEW @behavioral 904 GRAPHIC 954,0 433 0 905 DESIGN w5300_modul 906 VIEW @behavioral 907 GRAPHIC 988,0 434 0 908 DESIGN w5300_modul 909 VIEW @behavioral 910 GRAPHIC 1020,0 435 0 911 DESIGN w5300_modul 912 VIEW @behavioral 913 GRAPHIC 1096,0 436 0 914 DESIGN w5300_modul 915 VIEW @behavioral 916 GRAPHIC 1091,0 437 0 911 917 LIBRARY FACT_FAD_lib 912 918 DESIGN @f@a@d_main 913 919 VIEW struct.bd 914 NO_GRAPHIC 438 915 DESIGN @f@a@d_main 916 VIEW struct.bd 917 GRAPHIC 5678,0 441 0 918 DESIGN @f@a@d_main 919 VIEW struct.bd 920 GRAPHIC 9175,0 442 0 921 DESIGN @f@a@d_main 922 VIEW struct.bd 923 GRAPHIC 5072,0 443 0 924 DESIGN @f@a@d_main 925 VIEW struct.bd 926 GRAPHIC 8277,0 444 0 927 DESIGN @f@a@d_main 928 VIEW struct.bd 929 GRAPHIC 1399,0 445 0 930 DESIGN @f@a@d_main 931 VIEW struct.bd 932 GRAPHIC 4903,0 446 0 933 DESIGN @f@a@d_main 934 VIEW struct.bd 935 GRAPHIC 11209,0 447 0 936 DESIGN @f@a@d_main 937 VIEW struct.bd 938 GRAPHIC 2311,0 448 0 939 DESIGN @f@a@d_main 940 VIEW struct.bd 941 GRAPHIC 5793,0 449 0 942 DESIGN @f@a@d_main 943 VIEW struct.bd 944 GRAPHIC 1768,0 450 0 945 DESIGN @f@a@d_main 946 VIEW struct.bd 947 GRAPHIC 1606,0 451 0 948 DESIGN @f@a@d_main 949 VIEW struct.bd 950 NO_GRAPHIC 454 951 DESIGN @f@a@d_main 952 VIEW struct.bd 953 GRAPHIC 6529,0 456 0 954 DESIGN @f@a@d_main 955 VIEW struct.bd 956 GRAPHIC 9957,0 459 0 957 DESIGN @f@a@d_main 958 VIEW struct.bd 959 GRAPHIC 8721,0 462 0 960 DESIGN @f@a@d_main 961 VIEW struct.bd 962 GRAPHIC 9430,0 465 0 963 DESIGN @f@a@d_main 964 VIEW struct.bd 965 GRAPHIC 9472,0 468 0 966 DESIGN @f@a@d_main 967 VIEW struct.bd 968 GRAPHIC 9662,0 471 0 969 DESIGN @f@a@d_main 970 VIEW struct.bd 971 GRAPHIC 9679,0 474 0 972 DESIGN @f@a@d_main 973 VIEW struct.bd 974 GRAPHIC 9710,0 477 0 975 DESIGN @f@a@d_main 976 VIEW struct.bd 977 GRAPHIC 8562,0 480 0 978 DESIGN @f@a@d_main 979 VIEW struct.bd 980 GRAPHIC 10380,0 491 0 981 DESIGN @f@a@d_main 982 VIEW struct.bd 983 NO_GRAPHIC 494 984 DESIGN @f@a@d_main 985 VIEW struct.bd 986 GRAPHIC 5678,0 496 0 987 DESIGN @f@a@d_main 988 VIEW struct.bd 989 GRAPHIC 5646,0 498 0 990 DESIGN @f@a@d_main 991 VIEW struct.bd 992 GRAPHIC 4272,0 499 0 993 DESIGN @f@a@d_main 994 VIEW struct.bd 995 GRAPHIC 2786,0 500 0 996 DESIGN @f@a@d_main 997 VIEW struct.bd 998 GRAPHIC 5626,0 501 0 999 DESIGN @f@a@d_main 1000 VIEW struct.bd 1001 GRAPHIC 5634,0 502 0 1002 DESIGN @f@a@d_main 1003 VIEW struct.bd 1004 GRAPHIC 9175,0 504 0 1005 DESIGN @f@a@d_main 1006 VIEW struct.bd 1007 GRAPHIC 4042,0 506 0 1008 DESIGN @f@a@d_main 1009 VIEW struct.bd 1010 GRAPHIC 10036,0 507 0 1011 DESIGN @f@a@d_main 1012 VIEW struct.bd 1013 GRAPHIC 9253,0 508 0 1014 DESIGN @f@a@d_main 1015 VIEW struct.bd 1016 GRAPHIC 9261,0 509 0 1017 DESIGN @f@a@d_main 1018 VIEW struct.bd 1019 GRAPHIC 6072,0 510 0 1020 DESIGN @f@a@d_main 1021 VIEW struct.bd 1022 GRAPHIC 3984,0 511 0 1023 DESIGN @f@a@d_main 1024 VIEW struct.bd 1025 GRAPHIC 3888,0 512 0 1026 DESIGN @f@a@d_main 1027 VIEW struct.bd 1028 GRAPHIC 9353,0 513 0 1029 DESIGN @f@a@d_main 1030 VIEW struct.bd 1031 GRAPHIC 9269,0 514 0 1032 DESIGN @f@a@d_main 1033 VIEW struct.bd 1034 GRAPHIC 9325,0 515 0 1035 DESIGN @f@a@d_main 1036 VIEW struct.bd 1037 GRAPHIC 9283,0 516 0 1038 DESIGN @f@a@d_main 1039 VIEW struct.bd 1040 GRAPHIC 9311,0 517 0 1041 DESIGN @f@a@d_main 1042 VIEW struct.bd 1043 GRAPHIC 9297,0 518 0 1044 DESIGN @f@a@d_main 1045 VIEW struct.bd 1046 GRAPHIC 9367,0 519 0 1047 DESIGN @f@a@d_main 1048 VIEW struct.bd 1049 GRAPHIC 9397,0 520 0 1050 DESIGN @f@a@d_main 1051 VIEW struct.bd 1052 GRAPHIC 9382,0 521 0 1053 DESIGN @f@a@d_main 1054 VIEW struct.bd 1055 GRAPHIC 5072,0 523 0 1056 DESIGN @f@a@d_main 1057 VIEW struct.bd 1058 GRAPHIC 5582,0 525 0 1059 DESIGN @f@a@d_main 1060 VIEW struct.bd 1061 GRAPHIC 5090,0 526 0 1062 DESIGN @f@a@d_main 1063 VIEW struct.bd 1064 GRAPHIC 5130,0 527 0 1065 DESIGN @f@a@d_main 1066 VIEW struct.bd 1067 GRAPHIC 5184,0 528 0 1068 DESIGN @f@a@d_main 1069 VIEW struct.bd 1070 GRAPHIC 5122,0 529 0 1071 DESIGN @f@a@d_main 1072 VIEW struct.bd 1073 GRAPHIC 5106,0 530 0 1074 DESIGN @f@a@d_main 1075 VIEW struct.bd 1076 GRAPHIC 5098,0 531 0 1077 DESIGN @f@a@d_main 1078 VIEW struct.bd 1079 GRAPHIC 5190,0 532 0 1080 DESIGN @f@a@d_main 1081 VIEW struct.bd 1082 GRAPHIC 10194,0 533 0 1083 DESIGN @f@a@d_main 1084 VIEW struct.bd 1085 GRAPHIC 10202,0 534 0 1086 DESIGN @f@a@d_main 1087 VIEW struct.bd 1088 GRAPHIC 6002,0 535 0 1089 DESIGN @f@a@d_main 1090 VIEW struct.bd 1091 GRAPHIC 5146,0 536 0 1092 DESIGN @f@a@d_main 1093 VIEW struct.bd 1094 GRAPHIC 5138,0 537 0 1095 DESIGN @f@a@d_main 1096 VIEW struct.bd 1097 GRAPHIC 5114,0 538 0 1098 DESIGN @f@a@d_main 1099 VIEW struct.bd 1100 GRAPHIC 8277,0 540 0 1101 DESIGN @f@a@d_main 1102 VIEW struct.bd 1103 GRAPHIC 5602,0 542 0 1104 DESIGN @f@a@d_main 1105 VIEW struct.bd 1106 GRAPHIC 334,0 543 0 1107 DESIGN @f@a@d_main 1108 VIEW struct.bd 1109 GRAPHIC 328,0 544 0 1110 DESIGN @f@a@d_main 1111 VIEW struct.bd 1112 GRAPHIC 322,0 545 0 1113 DESIGN @f@a@d_main 1114 VIEW struct.bd 1115 GRAPHIC 4240,0 546 0 1116 DESIGN @f@a@d_main 1117 VIEW struct.bd 1118 GRAPHIC 364,0 547 0 1119 DESIGN @f@a@d_main 1120 VIEW struct.bd 1121 GRAPHIC 370,0 548 0 1122 DESIGN @f@a@d_main 1123 VIEW struct.bd 1124 GRAPHIC 1399,0 550 0 1125 DESIGN @f@a@d_main 1126 VIEW struct.bd 1127 GRAPHIC 1406,0 551 1 1128 DESIGN @f@a@d_main 1129 VIEW struct.bd 1130 GRAPHIC 5602,0 555 0 1131 DESIGN @f@a@d_main 1132 VIEW struct.bd 1133 GRAPHIC 334,0 556 0 1134 DESIGN @f@a@d_main 1135 VIEW struct.bd 1136 GRAPHIC 328,0 557 0 1137 DESIGN @f@a@d_main 1138 VIEW struct.bd 1139 GRAPHIC 322,0 558 0 1140 DESIGN @f@a@d_main 1141 VIEW struct.bd 1142 GRAPHIC 2299,0 559 0 1143 DESIGN @f@a@d_main 1144 VIEW struct.bd 1145 GRAPHIC 2576,0 560 0 1146 DESIGN @f@a@d_main 1147 VIEW struct.bd 1148 GRAPHIC 2582,0 561 0 1149 DESIGN @f@a@d_main 1150 VIEW struct.bd 1151 GRAPHIC 10467,0 562 0 1152 DESIGN @f@a@d_main 1153 VIEW struct.bd 1154 GRAPHIC 2588,0 563 0 1155 DESIGN @f@a@d_main 1156 VIEW struct.bd 1157 GRAPHIC 5184,0 564 0 1158 DESIGN @f@a@d_main 1159 VIEW struct.bd 1160 GRAPHIC 5745,0 565 0 1161 DESIGN @f@a@d_main 1162 VIEW struct.bd 1163 GRAPHIC 2594,0 566 0 1164 DESIGN @f@a@d_main 1165 VIEW struct.bd 1166 GRAPHIC 5190,0 567 0 1167 DESIGN @f@a@d_main 1168 VIEW struct.bd 1169 GRAPHIC 5404,0 568 0 1170 DESIGN @f@a@d_main 1171 VIEW struct.bd 1172 GRAPHIC 6018,0 569 0 1173 DESIGN @f@a@d_main 1174 VIEW struct.bd 1175 GRAPHIC 6002,0 570 0 1176 DESIGN @f@a@d_main 1177 VIEW struct.bd 1178 GRAPHIC 6008,0 571 0 1179 DESIGN @f@a@d_main 1180 VIEW struct.bd 1181 GRAPHIC 5138,0 572 0 1182 DESIGN @f@a@d_main 1183 VIEW struct.bd 1184 GRAPHIC 2600,0 573 0 1185 DESIGN @f@a@d_main 1186 VIEW struct.bd 1187 GRAPHIC 5480,0 574 0 1188 DESIGN @f@a@d_main 1189 VIEW struct.bd 1190 GRAPHIC 5474,0 575 0 1191 DESIGN @f@a@d_main 1192 VIEW struct.bd 1193 GRAPHIC 6064,0 576 0 1194 DESIGN @f@a@d_main 1195 VIEW struct.bd 1196 GRAPHIC 2642,0 577 0 1197 DESIGN @f@a@d_main 1198 VIEW struct.bd 1199 GRAPHIC 1411,0 578 0 1200 DESIGN @f@a@d_main 1201 VIEW struct.bd 1202 GRAPHIC 1682,0 579 0 1203 DESIGN @f@a@d_main 1204 VIEW struct.bd 1205 GRAPHIC 1983,0 580 0 1206 DESIGN @f@a@d_main 1207 VIEW struct.bd 1208 GRAPHIC 10439,0 581 0 1209 DESIGN @f@a@d_main 1210 VIEW struct.bd 1211 GRAPHIC 5950,0 582 0 1212 DESIGN @f@a@d_main 1213 VIEW struct.bd 1214 GRAPHIC 5962,0 583 0 1215 DESIGN @f@a@d_main 1216 VIEW struct.bd 1217 GRAPHIC 5626,0 584 0 1218 DESIGN @f@a@d_main 1219 VIEW struct.bd 1220 GRAPHIC 2778,0 585 0 1221 DESIGN @f@a@d_main 1222 VIEW struct.bd 1223 GRAPHIC 9006,0 586 0 1224 DESIGN @f@a@d_main 1225 VIEW struct.bd 1226 GRAPHIC 5634,0 587 0 1227 DESIGN @f@a@d_main 1228 VIEW struct.bd 1229 GRAPHIC 8577,0 588 0 1230 DESIGN @f@a@d_main 1231 VIEW struct.bd 1232 GRAPHIC 6540,0 589 0 1233 DESIGN @f@a@d_main 1234 VIEW struct.bd 1235 GRAPHIC 4401,0 590 0 1236 DESIGN @f@a@d_main 1237 VIEW struct.bd 1238 GRAPHIC 4419,0 591 0 1239 DESIGN @f@a@d_main 1240 VIEW struct.bd 1241 GRAPHIC 10298,0 592 0 1242 DESIGN @f@a@d_main 1243 VIEW struct.bd 1244 GRAPHIC 10304,0 593 0 1245 DESIGN @f@a@d_main 1246 VIEW struct.bd 1247 GRAPHIC 10316,0 594 0 1248 DESIGN @f@a@d_main 1249 VIEW struct.bd 1250 GRAPHIC 10310,0 595 0 1251 DESIGN @f@a@d_main 1252 VIEW struct.bd 1253 GRAPHIC 4743,0 596 0 1254 DESIGN @f@a@d_main 1255 VIEW struct.bd 1256 GRAPHIC 4407,0 597 0 1257 DESIGN @f@a@d_main 1258 VIEW struct.bd 1259 GRAPHIC 4903,0 599 0 1260 DESIGN @f@a@d_main 1261 VIEW struct.bd 1262 GRAPHIC 4757,0 601 0 1263 DESIGN @f@a@d_main 1264 VIEW struct.bd 1265 GRAPHIC 4401,0 602 0 1266 DESIGN @f@a@d_main 1267 VIEW struct.bd 1268 GRAPHIC 4419,0 603 0 1269 DESIGN @f@a@d_main 1270 VIEW struct.bd 1271 GRAPHIC 4671,0 604 0 1272 DESIGN @f@a@d_main 1273 VIEW struct.bd 1274 GRAPHIC 4679,0 605 0 1275 DESIGN @f@a@d_main 1276 VIEW struct.bd 1277 GRAPHIC 4687,0 606 0 1278 DESIGN @f@a@d_main 1279 VIEW struct.bd 1280 GRAPHIC 4695,0 607 0 1281 DESIGN @f@a@d_main 1282 VIEW struct.bd 1283 GRAPHIC 4407,0 608 0 1284 DESIGN @f@a@d_main 1285 VIEW struct.bd 1286 GRAPHIC 4743,0 609 0 1287 DESIGN @f@a@d_main 1288 VIEW struct.bd 1289 GRAPHIC 10298,0 610 0 1290 DESIGN @f@a@d_main 1291 VIEW struct.bd 1292 GRAPHIC 10310,0 611 0 1293 DESIGN @f@a@d_main 1294 VIEW struct.bd 1295 GRAPHIC 10304,0 612 0 1296 DESIGN @f@a@d_main 1297 VIEW struct.bd 1298 GRAPHIC 10316,0 613 0 1299 DESIGN @f@a@d_main 1300 VIEW struct.bd 1301 GRAPHIC 10322,0 614 0 1302 DESIGN @f@a@d_main 1303 VIEW struct.bd 1304 GRAPHIC 4948,0 615 0 1305 DESIGN @f@a@d_main 1306 VIEW struct.bd 1307 GRAPHIC 10010,0 616 0 1308 DESIGN @f@a@d_main 1309 VIEW struct.bd 1310 GRAPHIC 11209,0 618 0 1311 DESIGN @f@a@d_main 1312 VIEW struct.bd 1313 GRAPHIC 11216,0 619 1 1314 DESIGN @f@a@d_main 1315 VIEW struct.bd 1316 GRAPHIC 10699,0 625 0 1317 DESIGN @f@a@d_main 1318 VIEW struct.bd 1319 GRAPHIC 10723,0 626 0 1320 DESIGN @f@a@d_main 1321 VIEW struct.bd 1322 GRAPHIC 10737,0 627 0 1323 DESIGN @f@a@d_main 1324 VIEW struct.bd 1325 GRAPHIC 10751,0 628 0 1326 DESIGN @f@a@d_main 1327 VIEW struct.bd 1328 GRAPHIC 10707,0 629 0 1329 DESIGN @f@a@d_main 1330 VIEW struct.bd 1331 GRAPHIC 10685,0 630 0 1332 DESIGN @f@a@d_main 1333 VIEW struct.bd 1334 GRAPHIC 10691,0 631 0 1335 DESIGN @f@a@d_main 1336 VIEW struct.bd 1337 GRAPHIC 2311,0 633 0 1338 DESIGN @f@a@d_main 1339 VIEW struct.bd 1340 GRAPHIC 2318,0 634 1 1341 DESIGN @f@a@d_main 1342 VIEW struct.bd 1343 GRAPHIC 6082,0 639 0 1344 DESIGN @f@a@d_main 1345 VIEW struct.bd 1346 GRAPHIC 2588,0 640 0 1347 DESIGN @f@a@d_main 1348 VIEW struct.bd 1349 GRAPHIC 2582,0 641 0 1350 DESIGN @f@a@d_main 1351 VIEW struct.bd 1352 GRAPHIC 10467,0 642 0 1353 DESIGN @f@a@d_main 1354 VIEW struct.bd 1355 GRAPHIC 5168,0 643 0 1356 DESIGN @f@a@d_main 1357 VIEW struct.bd 1358 GRAPHIC 2576,0 644 0 1359 DESIGN @f@a@d_main 1360 VIEW struct.bd 1361 GRAPHIC 2594,0 645 0 1362 DESIGN @f@a@d_main 1363 VIEW struct.bd 1364 GRAPHIC 6018,0 646 0 1365 DESIGN @f@a@d_main 1366 VIEW struct.bd 1367 GRAPHIC 2600,0 647 0 1368 DESIGN @f@a@d_main 1369 VIEW struct.bd 1370 GRAPHIC 2642,0 648 0 1371 DESIGN @f@a@d_main 1372 VIEW struct.bd 1373 GRAPHIC 2488,0 649 0 1374 DESIGN @f@a@d_main 1375 VIEW struct.bd 1376 GRAPHIC 2482,0 650 0 1377 DESIGN @f@a@d_main 1378 VIEW struct.bd 1379 GRAPHIC 2494,0 651 0 1380 DESIGN @f@a@d_main 1381 VIEW struct.bd 1382 GRAPHIC 2476,0 652 0 1383 DESIGN @f@a@d_main 1384 VIEW struct.bd 1385 GRAPHIC 2506,0 653 0 1386 DESIGN @f@a@d_main 1387 VIEW struct.bd 1388 GRAPHIC 2500,0 654 0 1389 DESIGN @f@a@d_main 1390 VIEW struct.bd 1391 GRAPHIC 2470,0 655 0 1392 DESIGN @f@a@d_main 1393 VIEW struct.bd 1394 GRAPHIC 8416,0 656 0 1395 DESIGN @f@a@d_main 1396 VIEW struct.bd 1397 GRAPHIC 2299,0 657 0 1398 DESIGN @f@a@d_main 1399 VIEW struct.bd 1400 GRAPHIC 5793,0 659 0 1401 DESIGN @f@a@d_main 1402 VIEW struct.bd 1403 GRAPHIC 5805,0 661 0 1404 DESIGN @f@a@d_main 1405 VIEW struct.bd 1406 GRAPHIC 5745,0 662 0 1407 DESIGN @f@a@d_main 1408 VIEW struct.bd 1409 GRAPHIC 5146,0 663 0 1410 DESIGN @f@a@d_main 1411 VIEW struct.bd 1412 GRAPHIC 5404,0 664 0 1413 DESIGN @f@a@d_main 1414 VIEW struct.bd 1415 GRAPHIC 6008,0 665 0 1416 DESIGN @f@a@d_main 1417 VIEW struct.bd 1418 GRAPHIC 5829,0 666 0 1419 DESIGN @f@a@d_main 1420 VIEW struct.bd 1421 GRAPHIC 6160,0 667 0 1422 DESIGN @f@a@d_main 1423 VIEW struct.bd 1424 GRAPHIC 8732,0 668 0 1425 DESIGN @f@a@d_main 1426 VIEW struct.bd 1427 GRAPHIC 5480,0 669 0 1428 DESIGN @f@a@d_main 1429 VIEW struct.bd 1430 GRAPHIC 5837,0 670 0 1431 DESIGN @f@a@d_main 1432 VIEW struct.bd 1433 GRAPHIC 5474,0 671 0 1434 DESIGN @f@a@d_main 1435 VIEW struct.bd 1436 GRAPHIC 5821,0 672 0 1437 DESIGN @f@a@d_main 1438 VIEW struct.bd 1439 GRAPHIC 1768,0 674 0 1440 DESIGN @f@a@d_main 1441 VIEW struct.bd 1442 GRAPHIC 1983,0 676 0 1443 DESIGN @f@a@d_main 1444 VIEW struct.bd 1445 GRAPHIC 10443,0 677 0 1446 DESIGN @f@a@d_main 1447 VIEW struct.bd 1448 GRAPHIC 6276,0 678 0 1449 DESIGN @f@a@d_main 1450 VIEW struct.bd 1451 GRAPHIC 1606,0 680 0 1452 DESIGN @f@a@d_main 1453 VIEW struct.bd 1454 GRAPHIC 1613,0 681 1 1455 DESIGN @f@a@d_main 1456 VIEW struct.bd 1457 GRAPHIC 3888,0 685 0 1458 DESIGN @f@a@d_main 1459 VIEW struct.bd 1460 GRAPHIC 376,0 686 0 1461 DESIGN @f@a@d_main 1462 VIEW struct.bd 1463 GRAPHIC 384,0 687 0 1464 DESIGN @f@a@d_main 1465 VIEW struct.bd 1466 GRAPHIC 392,0 688 0 1467 DESIGN @f@a@d_main 1468 VIEW struct.bd 1469 GRAPHIC 400,0 689 0 1470 DESIGN @f@a@d_main 1471 VIEW struct.bd 1472 GRAPHIC 408,0 690 0 1473 DESIGN @f@a@d_main 1474 VIEW struct.bd 1475 GRAPHIC 5222,0 691 0 1476 DESIGN @f@a@d_main 1477 VIEW struct.bd 1478 GRAPHIC 424,0 692 0 1479 DESIGN @f@a@d_main 1480 VIEW struct.bd 1481 GRAPHIC 432,0 693 0 1482 DESIGN @f@a@d_main 1483 VIEW struct.bd 1484 GRAPHIC 2482,0 694 0 1485 DESIGN @f@a@d_main 1486 VIEW struct.bd 1487 GRAPHIC 2488,0 695 0 1488 DESIGN @f@a@d_main 1489 VIEW struct.bd 1490 GRAPHIC 370,0 696 0 1491 DESIGN @f@a@d_main 1492 VIEW struct.bd 1493 GRAPHIC 364,0 697 0 1494 DESIGN @f@a@d_main 1495 VIEW struct.bd 1496 GRAPHIC 2476,0 698 0 1497 DESIGN @f@a@d_main 1498 VIEW struct.bd 1499 GRAPHIC 8416,0 699 0 1500 DESIGN @f@a@d_main 1501 VIEW struct.bd 1502 GRAPHIC 2470,0 700 0 1503 DESIGN @f@a@d_main 1504 VIEW struct.bd 1505 GRAPHIC 2506,0 701 0 1506 DESIGN @f@a@d_main 1507 VIEW struct.bd 1508 GRAPHIC 2500,0 702 0 1509 DESIGN @f@a@d_main 1510 VIEW struct.bd 1511 GRAPHIC 2494,0 703 0 1512 DESIGN @f@a@d_main 1513 VIEW struct.bd 1514 GRAPHIC 10266,0 704 0 1515 DESIGN @f@a@d_main 1516 VIEW struct.bd 1517 GRAPHIC 5950,0 705 0 1518 DESIGN @f@a@d_main 1519 VIEW struct.bd 1520 GRAPHIC 5962,0 706 0 1521 DESIGN @f@a@d_main 1522 VIEW struct.bd 1523 GRAPHIC 5090,0 707 0 1524 DESIGN @f@a@d_main 1525 VIEW struct.bd 1526 GRAPHIC 5114,0 708 0 1527 DESIGN @f@a@d_main 1528 VIEW struct.bd 1529 GRAPHIC 5122,0 709 0 1530 DESIGN @f@a@d_main 1531 VIEW struct.bd 1532 GRAPHIC 5130,0 710 0 1533 DESIGN @f@a@d_main 1534 VIEW struct.bd 1535 GRAPHIC 10194,0 711 0 1536 DESIGN @f@a@d_main 1537 VIEW struct.bd 1538 GRAPHIC 10202,0 712 0 1539 DESIGN @f@a@d_main 1540 VIEW struct.bd 1541 GRAPHIC 5106,0 713 0 1542 DESIGN @f@a@d_main 1543 VIEW struct.bd 1544 GRAPHIC 6362,0 714 0 1545 DESIGN @f@a@d_main 1546 VIEW struct.bd 1547 GRAPHIC 6452,0 715 0 1548 DESIGN @f@a@d_main 1549 VIEW struct.bd 1550 GRAPHIC 8752,0 716 0 1551 DESIGN @f@a@d_main 1552 VIEW struct.bd 1553 GRAPHIC 9233,0 717 0 1554 DESIGN @f@a@d_main 1555 VIEW struct.bd 1556 GRAPHIC 9241,0 718 0 1557 DESIGN @f@a@d_main 1558 VIEW struct.bd 1559 GRAPHIC 9943,0 719 0 1560 DESIGN @f@a@d_main 1561 VIEW struct.bd 1562 GRAPHIC 9951,0 720 0 1563 DESIGN @f@a@d_main 1564 VIEW struct.bd 1565 GRAPHIC 10637,0 721 0 1566 DESIGN @f@a@d_main 1567 VIEW struct.bd 1568 GRAPHIC 10629,0 722 0 1569 DESIGN @f@a@d_main 1570 VIEW struct.bd 1571 GRAPHIC 6276,0 726 0 1572 DESIGN @f@a@d_main 1573 VIEW struct.bd 1574 GRAPHIC 3888,0 727 0 1575 DESIGN @f@a@d_main 1576 VIEW struct.bd 1577 NO_GRAPHIC 729 920 NO_GRAPHIC 440 921 DESIGN @f@a@d_main 922 VIEW struct.bd 923 GRAPHIC 5678,0 443 0 924 DESIGN @f@a@d_main 925 VIEW struct.bd 926 GRAPHIC 9175,0 444 0 927 DESIGN @f@a@d_main 928 VIEW struct.bd 929 GRAPHIC 5072,0 445 0 930 DESIGN @f@a@d_main 931 VIEW struct.bd 932 GRAPHIC 8277,0 446 0 933 DESIGN @f@a@d_main 934 VIEW struct.bd 935 GRAPHIC 1399,0 447 0 936 DESIGN @f@a@d_main 937 VIEW struct.bd 938 GRAPHIC 4903,0 448 0 939 DESIGN @f@a@d_main 940 VIEW struct.bd 941 GRAPHIC 11209,0 449 0 942 DESIGN @f@a@d_main 943 VIEW struct.bd 944 GRAPHIC 2311,0 450 0 945 DESIGN @f@a@d_main 946 VIEW struct.bd 947 GRAPHIC 5793,0 451 0 948 DESIGN @f@a@d_main 949 VIEW struct.bd 950 GRAPHIC 1768,0 452 0 951 DESIGN @f@a@d_main 952 VIEW struct.bd 953 GRAPHIC 1606,0 453 0 954 DESIGN @f@a@d_main 955 VIEW struct.bd 956 NO_GRAPHIC 456 957 DESIGN @f@a@d_main 958 VIEW struct.bd 959 GRAPHIC 6529,0 458 0 960 DESIGN @f@a@d_main 961 VIEW struct.bd 962 GRAPHIC 9957,0 461 0 963 DESIGN @f@a@d_main 964 VIEW struct.bd 965 GRAPHIC 8721,0 464 0 966 DESIGN @f@a@d_main 967 VIEW struct.bd 968 GRAPHIC 9430,0 467 0 969 DESIGN @f@a@d_main 970 VIEW struct.bd 971 GRAPHIC 9472,0 470 0 972 DESIGN @f@a@d_main 973 VIEW struct.bd 974 GRAPHIC 9662,0 473 0 975 DESIGN @f@a@d_main 976 VIEW struct.bd 977 GRAPHIC 9679,0 476 0 978 DESIGN @f@a@d_main 979 VIEW struct.bd 980 GRAPHIC 9710,0 479 0 981 DESIGN @f@a@d_main 982 VIEW struct.bd 983 GRAPHIC 8562,0 482 0 984 DESIGN @f@a@d_main 985 VIEW struct.bd 986 GRAPHIC 10380,0 493 0 987 DESIGN @f@a@d_main 988 VIEW struct.bd 989 NO_GRAPHIC 496 990 DESIGN @f@a@d_main 991 VIEW struct.bd 992 GRAPHIC 5678,0 498 0 993 DESIGN @f@a@d_main 994 VIEW struct.bd 995 GRAPHIC 5646,0 500 0 996 DESIGN @f@a@d_main 997 VIEW struct.bd 998 GRAPHIC 4272,0 501 0 999 DESIGN @f@a@d_main 1000 VIEW struct.bd 1001 GRAPHIC 2786,0 502 0 1002 DESIGN @f@a@d_main 1003 VIEW struct.bd 1004 GRAPHIC 5626,0 503 0 1005 DESIGN @f@a@d_main 1006 VIEW struct.bd 1007 GRAPHIC 5634,0 504 0 1008 DESIGN @f@a@d_main 1009 VIEW struct.bd 1010 GRAPHIC 9175,0 506 0 1011 DESIGN @f@a@d_main 1012 VIEW struct.bd 1013 GRAPHIC 4042,0 508 0 1014 DESIGN @f@a@d_main 1015 VIEW struct.bd 1016 GRAPHIC 10036,0 509 0 1017 DESIGN @f@a@d_main 1018 VIEW struct.bd 1019 GRAPHIC 9253,0 510 0 1020 DESIGN @f@a@d_main 1021 VIEW struct.bd 1022 GRAPHIC 9261,0 511 0 1023 DESIGN @f@a@d_main 1024 VIEW struct.bd 1025 GRAPHIC 6072,0 512 0 1026 DESIGN @f@a@d_main 1027 VIEW struct.bd 1028 GRAPHIC 3984,0 513 0 1029 DESIGN @f@a@d_main 1030 VIEW struct.bd 1031 GRAPHIC 3888,0 514 0 1032 DESIGN @f@a@d_main 1033 VIEW struct.bd 1034 GRAPHIC 9353,0 515 0 1035 DESIGN @f@a@d_main 1036 VIEW struct.bd 1037 GRAPHIC 9269,0 516 0 1038 DESIGN @f@a@d_main 1039 VIEW struct.bd 1040 GRAPHIC 9325,0 517 0 1041 DESIGN @f@a@d_main 1042 VIEW struct.bd 1043 GRAPHIC 9283,0 518 0 1044 DESIGN @f@a@d_main 1045 VIEW struct.bd 1046 GRAPHIC 9311,0 519 0 1047 DESIGN @f@a@d_main 1048 VIEW struct.bd 1049 GRAPHIC 9297,0 520 0 1050 DESIGN @f@a@d_main 1051 VIEW struct.bd 1052 GRAPHIC 9367,0 521 0 1053 DESIGN @f@a@d_main 1054 VIEW struct.bd 1055 GRAPHIC 9397,0 522 0 1056 DESIGN @f@a@d_main 1057 VIEW struct.bd 1058 GRAPHIC 9382,0 523 0 1059 DESIGN @f@a@d_main 1060 VIEW struct.bd 1061 GRAPHIC 5072,0 525 0 1062 DESIGN @f@a@d_main 1063 VIEW struct.bd 1064 GRAPHIC 5582,0 527 0 1065 DESIGN @f@a@d_main 1066 VIEW struct.bd 1067 GRAPHIC 5090,0 528 0 1068 DESIGN @f@a@d_main 1069 VIEW struct.bd 1070 GRAPHIC 5130,0 529 0 1071 DESIGN @f@a@d_main 1072 VIEW struct.bd 1073 GRAPHIC 5184,0 530 0 1074 DESIGN @f@a@d_main 1075 VIEW struct.bd 1076 GRAPHIC 5122,0 531 0 1077 DESIGN @f@a@d_main 1078 VIEW struct.bd 1079 GRAPHIC 5106,0 532 0 1080 DESIGN @f@a@d_main 1081 VIEW struct.bd 1082 GRAPHIC 5098,0 533 0 1083 DESIGN @f@a@d_main 1084 VIEW struct.bd 1085 GRAPHIC 5190,0 534 0 1086 DESIGN @f@a@d_main 1087 VIEW struct.bd 1088 GRAPHIC 10194,0 535 0 1089 DESIGN @f@a@d_main 1090 VIEW struct.bd 1091 GRAPHIC 10202,0 536 0 1092 DESIGN @f@a@d_main 1093 VIEW struct.bd 1094 GRAPHIC 6002,0 537 0 1095 DESIGN @f@a@d_main 1096 VIEW struct.bd 1097 GRAPHIC 5146,0 538 0 1098 DESIGN @f@a@d_main 1099 VIEW struct.bd 1100 GRAPHIC 5138,0 539 0 1101 DESIGN @f@a@d_main 1102 VIEW struct.bd 1103 GRAPHIC 5114,0 540 0 1104 DESIGN @f@a@d_main 1105 VIEW struct.bd 1106 GRAPHIC 8277,0 542 0 1107 DESIGN @f@a@d_main 1108 VIEW struct.bd 1109 GRAPHIC 5602,0 544 0 1110 DESIGN @f@a@d_main 1111 VIEW struct.bd 1112 GRAPHIC 334,0 545 0 1113 DESIGN @f@a@d_main 1114 VIEW struct.bd 1115 GRAPHIC 328,0 546 0 1116 DESIGN @f@a@d_main 1117 VIEW struct.bd 1118 GRAPHIC 322,0 547 0 1119 DESIGN @f@a@d_main 1120 VIEW struct.bd 1121 GRAPHIC 4240,0 548 0 1122 DESIGN @f@a@d_main 1123 VIEW struct.bd 1124 GRAPHIC 364,0 549 0 1125 DESIGN @f@a@d_main 1126 VIEW struct.bd 1127 GRAPHIC 370,0 550 0 1128 DESIGN @f@a@d_main 1129 VIEW struct.bd 1130 GRAPHIC 1399,0 552 0 1131 DESIGN @f@a@d_main 1132 VIEW struct.bd 1133 GRAPHIC 1406,0 553 1 1134 DESIGN @f@a@d_main 1135 VIEW struct.bd 1136 GRAPHIC 5602,0 557 0 1137 DESIGN @f@a@d_main 1138 VIEW struct.bd 1139 GRAPHIC 334,0 558 0 1140 DESIGN @f@a@d_main 1141 VIEW struct.bd 1142 GRAPHIC 328,0 559 0 1143 DESIGN @f@a@d_main 1144 VIEW struct.bd 1145 GRAPHIC 322,0 560 0 1146 DESIGN @f@a@d_main 1147 VIEW struct.bd 1148 GRAPHIC 2299,0 561 0 1149 DESIGN @f@a@d_main 1150 VIEW struct.bd 1151 GRAPHIC 2576,0 562 0 1152 DESIGN @f@a@d_main 1153 VIEW struct.bd 1154 GRAPHIC 2582,0 563 0 1155 DESIGN @f@a@d_main 1156 VIEW struct.bd 1157 GRAPHIC 10467,0 564 0 1158 DESIGN @f@a@d_main 1159 VIEW struct.bd 1160 GRAPHIC 2588,0 565 0 1161 DESIGN @f@a@d_main 1162 VIEW struct.bd 1163 GRAPHIC 5184,0 566 0 1164 DESIGN @f@a@d_main 1165 VIEW struct.bd 1166 GRAPHIC 5745,0 567 0 1167 DESIGN @f@a@d_main 1168 VIEW struct.bd 1169 GRAPHIC 2594,0 568 0 1170 DESIGN @f@a@d_main 1171 VIEW struct.bd 1172 GRAPHIC 5190,0 569 0 1173 DESIGN @f@a@d_main 1174 VIEW struct.bd 1175 GRAPHIC 5404,0 570 0 1176 DESIGN @f@a@d_main 1177 VIEW struct.bd 1178 GRAPHIC 6018,0 571 0 1179 DESIGN @f@a@d_main 1180 VIEW struct.bd 1181 GRAPHIC 6002,0 572 0 1182 DESIGN @f@a@d_main 1183 VIEW struct.bd 1184 GRAPHIC 6008,0 573 0 1185 DESIGN @f@a@d_main 1186 VIEW struct.bd 1187 GRAPHIC 5138,0 574 0 1188 DESIGN @f@a@d_main 1189 VIEW struct.bd 1190 GRAPHIC 2600,0 575 0 1191 DESIGN @f@a@d_main 1192 VIEW struct.bd 1193 GRAPHIC 5480,0 576 0 1194 DESIGN @f@a@d_main 1195 VIEW struct.bd 1196 GRAPHIC 5474,0 577 0 1197 DESIGN @f@a@d_main 1198 VIEW struct.bd 1199 GRAPHIC 6064,0 578 0 1200 DESIGN @f@a@d_main 1201 VIEW struct.bd 1202 GRAPHIC 2642,0 579 0 1203 DESIGN @f@a@d_main 1204 VIEW struct.bd 1205 GRAPHIC 1411,0 580 0 1206 DESIGN @f@a@d_main 1207 VIEW struct.bd 1208 GRAPHIC 1682,0 581 0 1209 DESIGN @f@a@d_main 1210 VIEW struct.bd 1211 GRAPHIC 1983,0 582 0 1212 DESIGN @f@a@d_main 1213 VIEW struct.bd 1214 GRAPHIC 10439,0 583 0 1215 DESIGN @f@a@d_main 1216 VIEW struct.bd 1217 GRAPHIC 5950,0 584 0 1218 DESIGN @f@a@d_main 1219 VIEW struct.bd 1220 GRAPHIC 5962,0 585 0 1221 DESIGN @f@a@d_main 1222 VIEW struct.bd 1223 GRAPHIC 5626,0 586 0 1224 DESIGN @f@a@d_main 1225 VIEW struct.bd 1226 GRAPHIC 2778,0 587 0 1227 DESIGN @f@a@d_main 1228 VIEW struct.bd 1229 GRAPHIC 9006,0 588 0 1230 DESIGN @f@a@d_main 1231 VIEW struct.bd 1232 GRAPHIC 5634,0 589 0 1233 DESIGN @f@a@d_main 1234 VIEW struct.bd 1235 GRAPHIC 8577,0 590 0 1236 DESIGN @f@a@d_main 1237 VIEW struct.bd 1238 GRAPHIC 6540,0 591 0 1239 DESIGN @f@a@d_main 1240 VIEW struct.bd 1241 GRAPHIC 4401,0 592 0 1242 DESIGN @f@a@d_main 1243 VIEW struct.bd 1244 GRAPHIC 4419,0 593 0 1245 DESIGN @f@a@d_main 1246 VIEW struct.bd 1247 GRAPHIC 10298,0 594 0 1248 DESIGN @f@a@d_main 1249 VIEW struct.bd 1250 GRAPHIC 10304,0 595 0 1251 DESIGN @f@a@d_main 1252 VIEW struct.bd 1253 GRAPHIC 10316,0 596 0 1254 DESIGN @f@a@d_main 1255 VIEW struct.bd 1256 GRAPHIC 10310,0 597 0 1257 DESIGN @f@a@d_main 1258 VIEW struct.bd 1259 GRAPHIC 4743,0 598 0 1260 DESIGN @f@a@d_main 1261 VIEW struct.bd 1262 GRAPHIC 4407,0 599 0 1263 DESIGN @f@a@d_main 1264 VIEW struct.bd 1265 GRAPHIC 11405,0 600 0 1266 DESIGN @f@a@d_main 1267 VIEW struct.bd 1268 GRAPHIC 4903,0 602 0 1269 DESIGN @f@a@d_main 1270 VIEW struct.bd 1271 GRAPHIC 4757,0 604 0 1272 DESIGN @f@a@d_main 1273 VIEW struct.bd 1274 GRAPHIC 4401,0 605 0 1275 DESIGN @f@a@d_main 1276 VIEW struct.bd 1277 GRAPHIC 4419,0 606 0 1278 DESIGN @f@a@d_main 1279 VIEW struct.bd 1280 GRAPHIC 4671,0 607 0 1281 DESIGN @f@a@d_main 1282 VIEW struct.bd 1283 GRAPHIC 4679,0 608 0 1284 DESIGN @f@a@d_main 1285 VIEW struct.bd 1286 GRAPHIC 4687,0 609 0 1287 DESIGN @f@a@d_main 1288 VIEW struct.bd 1289 GRAPHIC 4695,0 610 0 1290 DESIGN @f@a@d_main 1291 VIEW struct.bd 1292 GRAPHIC 4407,0 611 0 1293 DESIGN @f@a@d_main 1294 VIEW struct.bd 1295 GRAPHIC 4743,0 612 0 1296 DESIGN @f@a@d_main 1297 VIEW struct.bd 1298 GRAPHIC 10298,0 613 0 1299 DESIGN @f@a@d_main 1300 VIEW struct.bd 1301 GRAPHIC 10310,0 614 0 1302 DESIGN @f@a@d_main 1303 VIEW struct.bd 1304 GRAPHIC 10304,0 615 0 1305 DESIGN @f@a@d_main 1306 VIEW struct.bd 1307 GRAPHIC 10316,0 616 0 1308 DESIGN @f@a@d_main 1309 VIEW struct.bd 1310 GRAPHIC 10322,0 617 0 1311 DESIGN @f@a@d_main 1312 VIEW struct.bd 1313 GRAPHIC 4948,0 618 0 1314 DESIGN @f@a@d_main 1315 VIEW struct.bd 1316 GRAPHIC 10010,0 619 0 1317 DESIGN @f@a@d_main 1318 VIEW struct.bd 1319 GRAPHIC 11209,0 621 0 1320 DESIGN @f@a@d_main 1321 VIEW struct.bd 1322 GRAPHIC 11216,0 622 1 1323 DESIGN @f@a@d_main 1324 VIEW struct.bd 1325 GRAPHIC 10699,0 628 0 1326 DESIGN @f@a@d_main 1327 VIEW struct.bd 1328 GRAPHIC 10723,0 629 0 1329 DESIGN @f@a@d_main 1330 VIEW struct.bd 1331 GRAPHIC 10737,0 630 0 1332 DESIGN @f@a@d_main 1333 VIEW struct.bd 1334 GRAPHIC 10751,0 631 0 1335 DESIGN @f@a@d_main 1336 VIEW struct.bd 1337 GRAPHIC 10707,0 632 0 1338 DESIGN @f@a@d_main 1339 VIEW struct.bd 1340 GRAPHIC 10685,0 633 0 1341 DESIGN @f@a@d_main 1342 VIEW struct.bd 1343 GRAPHIC 10691,0 634 0 1344 DESIGN @f@a@d_main 1345 VIEW struct.bd 1346 GRAPHIC 2311,0 636 0 1347 DESIGN @f@a@d_main 1348 VIEW struct.bd 1349 GRAPHIC 2318,0 637 1 1350 DESIGN @f@a@d_main 1351 VIEW struct.bd 1352 GRAPHIC 6082,0 642 0 1353 DESIGN @f@a@d_main 1354 VIEW struct.bd 1355 GRAPHIC 2588,0 643 0 1356 DESIGN @f@a@d_main 1357 VIEW struct.bd 1358 GRAPHIC 2582,0 644 0 1359 DESIGN @f@a@d_main 1360 VIEW struct.bd 1361 GRAPHIC 10467,0 645 0 1362 DESIGN @f@a@d_main 1363 VIEW struct.bd 1364 GRAPHIC 5168,0 646 0 1365 DESIGN @f@a@d_main 1366 VIEW struct.bd 1367 GRAPHIC 2576,0 647 0 1368 DESIGN @f@a@d_main 1369 VIEW struct.bd 1370 GRAPHIC 2594,0 648 0 1371 DESIGN @f@a@d_main 1372 VIEW struct.bd 1373 GRAPHIC 6018,0 649 0 1374 DESIGN @f@a@d_main 1375 VIEW struct.bd 1376 GRAPHIC 2600,0 650 0 1377 DESIGN @f@a@d_main 1378 VIEW struct.bd 1379 GRAPHIC 2642,0 651 0 1380 DESIGN @f@a@d_main 1381 VIEW struct.bd 1382 GRAPHIC 2488,0 652 0 1383 DESIGN @f@a@d_main 1384 VIEW struct.bd 1385 GRAPHIC 2482,0 653 0 1386 DESIGN @f@a@d_main 1387 VIEW struct.bd 1388 GRAPHIC 2494,0 654 0 1389 DESIGN @f@a@d_main 1390 VIEW struct.bd 1391 GRAPHIC 2476,0 655 0 1392 DESIGN @f@a@d_main 1393 VIEW struct.bd 1394 GRAPHIC 2506,0 656 0 1395 DESIGN @f@a@d_main 1396 VIEW struct.bd 1397 GRAPHIC 2500,0 657 0 1398 DESIGN @f@a@d_main 1399 VIEW struct.bd 1400 GRAPHIC 2470,0 658 0 1401 DESIGN @f@a@d_main 1402 VIEW struct.bd 1403 GRAPHIC 8416,0 659 0 1404 DESIGN @f@a@d_main 1405 VIEW struct.bd 1406 GRAPHIC 2299,0 660 0 1407 DESIGN @f@a@d_main 1408 VIEW struct.bd 1409 GRAPHIC 5793,0 662 0 1410 DESIGN @f@a@d_main 1411 VIEW struct.bd 1412 GRAPHIC 5805,0 664 0 1413 DESIGN @f@a@d_main 1414 VIEW struct.bd 1415 GRAPHIC 5745,0 665 0 1416 DESIGN @f@a@d_main 1417 VIEW struct.bd 1418 GRAPHIC 5146,0 666 0 1419 DESIGN @f@a@d_main 1420 VIEW struct.bd 1421 GRAPHIC 5404,0 667 0 1422 DESIGN @f@a@d_main 1423 VIEW struct.bd 1424 GRAPHIC 6008,0 668 0 1425 DESIGN @f@a@d_main 1426 VIEW struct.bd 1427 GRAPHIC 5829,0 669 0 1428 DESIGN @f@a@d_main 1429 VIEW struct.bd 1430 GRAPHIC 6160,0 670 0 1431 DESIGN @f@a@d_main 1432 VIEW struct.bd 1433 GRAPHIC 8732,0 671 0 1434 DESIGN @f@a@d_main 1435 VIEW struct.bd 1436 GRAPHIC 5480,0 672 0 1437 DESIGN @f@a@d_main 1438 VIEW struct.bd 1439 GRAPHIC 5837,0 673 0 1440 DESIGN @f@a@d_main 1441 VIEW struct.bd 1442 GRAPHIC 5474,0 674 0 1443 DESIGN @f@a@d_main 1444 VIEW struct.bd 1445 GRAPHIC 5821,0 675 0 1446 DESIGN @f@a@d_main 1447 VIEW struct.bd 1448 GRAPHIC 1768,0 677 0 1449 DESIGN @f@a@d_main 1450 VIEW struct.bd 1451 GRAPHIC 1983,0 679 0 1452 DESIGN @f@a@d_main 1453 VIEW struct.bd 1454 GRAPHIC 10443,0 680 0 1455 DESIGN @f@a@d_main 1456 VIEW struct.bd 1457 GRAPHIC 6276,0 681 0 1458 DESIGN @f@a@d_main 1459 VIEW struct.bd 1460 GRAPHIC 1606,0 683 0 1461 DESIGN @f@a@d_main 1462 VIEW struct.bd 1463 GRAPHIC 1613,0 684 1 1464 DESIGN @f@a@d_main 1465 VIEW struct.bd 1466 GRAPHIC 3888,0 688 0 1467 DESIGN @f@a@d_main 1468 VIEW struct.bd 1469 GRAPHIC 376,0 689 0 1470 DESIGN @f@a@d_main 1471 VIEW struct.bd 1472 GRAPHIC 384,0 690 0 1473 DESIGN @f@a@d_main 1474 VIEW struct.bd 1475 GRAPHIC 392,0 691 0 1476 DESIGN @f@a@d_main 1477 VIEW struct.bd 1478 GRAPHIC 400,0 692 0 1479 DESIGN @f@a@d_main 1480 VIEW struct.bd 1481 GRAPHIC 408,0 693 0 1482 DESIGN @f@a@d_main 1483 VIEW struct.bd 1484 GRAPHIC 5222,0 694 0 1485 DESIGN @f@a@d_main 1486 VIEW struct.bd 1487 GRAPHIC 424,0 695 0 1488 DESIGN @f@a@d_main 1489 VIEW struct.bd 1490 GRAPHIC 432,0 696 0 1491 DESIGN @f@a@d_main 1492 VIEW struct.bd 1493 GRAPHIC 2482,0 697 0 1494 DESIGN @f@a@d_main 1495 VIEW struct.bd 1496 GRAPHIC 2488,0 698 0 1497 DESIGN @f@a@d_main 1498 VIEW struct.bd 1499 GRAPHIC 370,0 699 0 1500 DESIGN @f@a@d_main 1501 VIEW struct.bd 1502 GRAPHIC 364,0 700 0 1503 DESIGN @f@a@d_main 1504 VIEW struct.bd 1505 GRAPHIC 2476,0 701 0 1506 DESIGN @f@a@d_main 1507 VIEW struct.bd 1508 GRAPHIC 8416,0 702 0 1509 DESIGN @f@a@d_main 1510 VIEW struct.bd 1511 GRAPHIC 2470,0 703 0 1512 DESIGN @f@a@d_main 1513 VIEW struct.bd 1514 GRAPHIC 2506,0 704 0 1515 DESIGN @f@a@d_main 1516 VIEW struct.bd 1517 GRAPHIC 2500,0 705 0 1518 DESIGN @f@a@d_main 1519 VIEW struct.bd 1520 GRAPHIC 2494,0 706 0 1521 DESIGN @f@a@d_main 1522 VIEW struct.bd 1523 GRAPHIC 10266,0 707 0 1524 DESIGN @f@a@d_main 1525 VIEW struct.bd 1526 GRAPHIC 5950,0 708 0 1527 DESIGN @f@a@d_main 1528 VIEW struct.bd 1529 GRAPHIC 5962,0 709 0 1530 DESIGN @f@a@d_main 1531 VIEW struct.bd 1532 GRAPHIC 5090,0 710 0 1533 DESIGN @f@a@d_main 1534 VIEW struct.bd 1535 GRAPHIC 5114,0 711 0 1536 DESIGN @f@a@d_main 1537 VIEW struct.bd 1538 GRAPHIC 5122,0 712 0 1539 DESIGN @f@a@d_main 1540 VIEW struct.bd 1541 GRAPHIC 5130,0 713 0 1542 DESIGN @f@a@d_main 1543 VIEW struct.bd 1544 GRAPHIC 10194,0 714 0 1545 DESIGN @f@a@d_main 1546 VIEW struct.bd 1547 GRAPHIC 10202,0 715 0 1548 DESIGN @f@a@d_main 1549 VIEW struct.bd 1550 GRAPHIC 5106,0 716 0 1551 DESIGN @f@a@d_main 1552 VIEW struct.bd 1553 GRAPHIC 6362,0 717 0 1554 DESIGN @f@a@d_main 1555 VIEW struct.bd 1556 GRAPHIC 6452,0 718 0 1557 DESIGN @f@a@d_main 1558 VIEW struct.bd 1559 GRAPHIC 8752,0 719 0 1560 DESIGN @f@a@d_main 1561 VIEW struct.bd 1562 GRAPHIC 9233,0 720 0 1563 DESIGN @f@a@d_main 1564 VIEW struct.bd 1565 GRAPHIC 9241,0 721 0 1566 DESIGN @f@a@d_main 1567 VIEW struct.bd 1568 GRAPHIC 9943,0 722 0 1569 DESIGN @f@a@d_main 1570 VIEW struct.bd 1571 GRAPHIC 9951,0 723 0 1572 DESIGN @f@a@d_main 1573 VIEW struct.bd 1574 GRAPHIC 10637,0 724 0 1575 DESIGN @f@a@d_main 1576 VIEW struct.bd 1577 GRAPHIC 10629,0 725 0 1578 DESIGN @f@a@d_main 1579 VIEW struct.bd 1580 GRAPHIC 6276,0 729 0 1581 DESIGN @f@a@d_main 1582 VIEW struct.bd 1583 GRAPHIC 3888,0 730 0 1584 DESIGN @f@a@d_main 1585 VIEW struct.bd 1586 NO_GRAPHIC 732 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd
r10075 r10081 149 149 (vvPair 150 150 variable "date" 151 value "0 4.01.2011"151 value "05.01.2011" 152 152 ) 153 153 (vvPair 154 154 variable "day" 155 value " Di"155 value "Mi" 156 156 ) 157 157 (vvPair 158 158 variable "day_long" 159 value " Dienstag"159 value "Mittwoch" 160 160 ) 161 161 (vvPair 162 162 variable "dd" 163 value "0 4"163 value "05" 164 164 ) 165 165 (vvPair … … 297 297 (vvPair 298 298 variable "time" 299 value "1 8:14:31"299 value "17:34:20" 300 300 ) 301 301 (vvPair … … 2757 2757 ) 2758 2758 xt "39000,62400,67500,63200" 2759 st "SIGNAL board_id : std_logic_vector(3 downto 0) 2760 " 2759 st "SIGNAL board_id : std_logic_vector(3 downto 0)" 2761 2760 ) 2762 2761 ) … … 2776 2775 ) 2777 2776 xt "39000,63200,67500,64000" 2778 st "SIGNAL crate_id : std_logic_vector(1 downto 0) 2779 " 2777 st "SIGNAL crate_id : std_logic_vector(1 downto 0)" 2780 2778 ) 2781 2779 ) … … 3100 3098 ) 3101 3099 xt "39000,61600,63000,62400" 3102 st "SIGNAL adc_data_array : adc_data_array_type 3103 " 3100 st "SIGNAL adc_data_array : adc_data_array_type" 3104 3101 ) 3105 3102 ) … … 3119 3116 ) 3120 3117 xt "39000,35800,67500,36600" 3121 st "RSRLOAD : std_logic := '0' 3122 " 3118 st "RSRLOAD : std_logic := '0'" 3123 3119 ) 3124 3120 ) … … 3183 3179 ) 3184 3180 xt "39000,60000,71000,60800" 3185 st "SIGNAL SRCLK : std_logic := '0' 3186 " 3181 st "SIGNAL SRCLK : std_logic := '0'" 3187 3182 ) 3188 3183 ) … … 3202 3197 ) 3203 3198 xt "39000,66400,67500,67200" 3204 st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0) 3205 " 3199 st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0)" 3206 3200 ) 3207 3201 ) … … 3220 3214 ) 3221 3215 xt "39000,23000,54000,23800" 3222 st "DAC_CS : std_logic 3223 " 3216 st "DAC_CS : std_logic" 3224 3217 ) 3225 3218 ) … … 3285 3278 ) 3286 3279 xt "39000,15800,54000,16600" 3287 st "X_50M : STD_LOGIC 3288 " 3280 st "X_50M : STD_LOGIC" 3289 3281 ) 3290 3282 ) … … 3303 3295 ) 3304 3296 xt "39000,14200,54000,15000" 3305 st "TRG : STD_LOGIC 3306 " 3297 st "TRG : STD_LOGIC" 3307 3298 ) 3308 3299 ) … … 3413 3404 ) 3414 3405 xt "39000,19000,64000,19800" 3415 st "A_CLK : std_logic_vector(3 downto 0) 3416 " 3406 st "A_CLK : std_logic_vector(3 downto 0)" 3417 3407 ) 3418 3408 ) … … 3431 3421 ) 3432 3422 xt "39000,51200,57500,52000" 3433 st "SIGNAL CLK_25_PS : std_logic 3434 " 3423 st "SIGNAL CLK_25_PS : std_logic" 3435 3424 ) 3436 3425 ) … … 3496 3485 ) 3497 3486 xt "39000,30200,54000,31000" 3498 st "OE_ADC : STD_LOGIC 3499 " 3487 st "OE_ADC : STD_LOGIC" 3500 3488 ) 3501 3489 ) … … 3560 3548 ) 3561 3549 xt "39000,7000,64000,7800" 3562 st "A_OTR : std_logic_vector(3 DOWNTO 0) 3563 " 3550 st "A_OTR : std_logic_vector(3 DOWNTO 0)" 3564 3551 ) 3565 3552 ) … … 3850 3837 ) 3851 3838 xt "39000,3800,64500,4600" 3852 st "A0_D : std_logic_vector(11 DOWNTO 0) 3853 " 3839 st "A0_D : std_logic_vector(11 DOWNTO 0)" 3854 3840 ) 3855 3841 ) … … 3869 3855 ) 3870 3856 xt "39000,4600,64500,5400" 3871 st "A1_D : std_logic_vector(11 DOWNTO 0) 3872 " 3857 st "A1_D : std_logic_vector(11 DOWNTO 0)" 3873 3858 ) 3874 3859 ) … … 3888 3873 ) 3889 3874 xt "39000,5400,64500,6200" 3890 st "A2_D : std_logic_vector(11 DOWNTO 0) 3891 " 3875 st "A2_D : std_logic_vector(11 DOWNTO 0)" 3892 3876 ) 3893 3877 ) … … 3907 3891 ) 3908 3892 xt "39000,6200,64500,7000" 3909 st "A3_D : std_logic_vector(11 DOWNTO 0) 3910 " 3893 st "A3_D : std_logic_vector(11 DOWNTO 0)" 3911 3894 ) 3912 3895 ) … … 4016 3999 ) 4017 4000 xt "39000,19800,54000,20600" 4018 st "D0_SRCLK : STD_LOGIC 4019 " 4001 st "D0_SRCLK : STD_LOGIC" 4020 4002 ) 4021 4003 ) … … 4034 4016 ) 4035 4017 xt "39000,20600,54000,21400" 4036 st "D1_SRCLK : STD_LOGIC 4037 " 4018 st "D1_SRCLK : STD_LOGIC" 4038 4019 ) 4039 4020 ) … … 4052 4033 ) 4053 4034 xt "39000,21400,54000,22200" 4054 st "D2_SRCLK : STD_LOGIC 4055 " 4035 st "D2_SRCLK : STD_LOGIC" 4056 4036 ) 4057 4037 ) … … 4070 4050 ) 4071 4051 xt "39000,22200,54000,23000" 4072 st "D3_SRCLK : STD_LOGIC 4073 " 4052 st "D3_SRCLK : STD_LOGIC" 4074 4053 ) 4075 4054 ) … … 4268 4247 ) 4269 4248 xt "39000,7800,54000,8600" 4270 st "D0_SROUT : std_logic 4271 " 4249 st "D0_SROUT : std_logic" 4272 4250 ) 4273 4251 ) … … 4286 4264 ) 4287 4265 xt "39000,8600,54000,9400" 4288 st "D1_SROUT : std_logic 4289 " 4266 st "D1_SROUT : std_logic" 4290 4267 ) 4291 4268 ) … … 4304 4281 ) 4305 4282 xt "39000,9400,54000,10200" 4306 st "D2_SROUT : std_logic 4307 " 4283 st "D2_SROUT : std_logic" 4308 4284 ) 4309 4285 ) … … 4322 4298 ) 4323 4299 xt "39000,10200,54000,11000" 4324 st "D3_SROUT : std_logic 4325 " 4300 st "D3_SROUT : std_logic" 4326 4301 ) 4327 4302 ) … … 4387 4362 ) 4388 4363 xt "39000,25400,73500,26200" 4389 st "D_A : std_logic_vector(3 DOWNTO 0) := (others => '0') 4390 " 4364 st "D_A : std_logic_vector(3 DOWNTO 0) := (others => '0')" 4391 4365 ) 4392 4366 ) … … 4451 4425 ) 4452 4426 xt "39000,24600,67500,25400" 4453 st "DWRITE : std_logic := '0' 4454 " 4427 st "DWRITE : std_logic := '0'" 4455 4428 ) 4456 4429 ) … … 4780 4753 ) 4781 4754 xt "39000,38200,54000,39000" 4782 st "T0_CS : std_logic 4783 " 4755 st "T0_CS : std_logic" 4784 4756 ) 4785 4757 ) … … 4798 4770 ) 4799 4771 xt "39000,39000,54000,39800" 4800 st "T1_CS : std_logic 4801 " 4772 st "T1_CS : std_logic" 4802 4773 ) 4803 4774 ) … … 4816 4787 ) 4817 4788 xt "39000,39800,54000,40600" 4818 st "T2_CS : std_logic 4819 " 4789 st "T2_CS : std_logic" 4820 4790 ) 4821 4791 ) … … 4834 4804 ) 4835 4805 xt "39000,40600,54000,41400" 4836 st "T3_CS : std_logic 4837 " 4806 st "T3_CS : std_logic" 4838 4807 ) 4839 4808 ) … … 4896 4865 ) 4897 4866 xt "39000,37400,54000,38200" 4898 st "S_CLK : std_logic 4899 " 4867 st "S_CLK : std_logic" 4900 4868 ) 4901 4869 ) … … 4915 4883 ) 4916 4884 xt "39000,42200,64000,43000" 4917 st "W_A : std_logic_vector(9 DOWNTO 0) 4918 " 4885 st "W_A : std_logic_vector(9 DOWNTO 0)" 4919 4886 ) 4920 4887 ) … … 4934 4901 ) 4935 4902 xt "39000,47000,64500,47800" 4936 st "W_D : std_logic_vector(15 DOWNTO 0) 4937 " 4903 st "W_D : std_logic_vector(15 DOWNTO 0)" 4938 4904 ) 4939 4905 ) … … 4953 4919 ) 4954 4920 xt "39000,44600,67500,45400" 4955 st "W_RES : std_logic := '1' 4956 " 4921 st "W_RES : std_logic := '1'" 4957 4922 ) 4958 4923 ) … … 4972 4937 ) 4973 4938 xt "39000,43800,67500,44600" 4974 st "W_RD : std_logic := '1' 4975 " 4939 st "W_RD : std_logic := '1'" 4976 4940 ) 4977 4941 ) … … 4991 4955 ) 4992 4956 xt "39000,45400,67500,46200" 4993 st "W_WR : std_logic := '1' 4994 " 4957 st "W_WR : std_logic := '1'" 4995 4958 ) 4996 4959 ) … … 5009 4972 ) 5010 4973 xt "39000,15000,54000,15800" 5011 st "W_INT : std_logic 5012 " 4974 st "W_INT : std_logic" 5013 4975 ) 5014 4976 ) … … 5028 4990 ) 5029 4991 xt "39000,43000,67500,43800" 5030 st "W_CS : std_logic := '1' 5031 " 4992 st "W_CS : std_logic := '1'" 5032 4993 ) 5033 4994 ) … … 5089 5050 ) 5090 5051 xt "39000,29400,67500,30200" 5091 st "MOSI : std_logic := '0' 5092 " 5052 st "MOSI : std_logic := '0'" 5093 5053 ) 5094 5054 ) … … 5153 5113 ) 5154 5114 xt "39000,46200,54000,47000" 5155 st "MISO : std_logic 5156 " 5115 st "MISO : std_logic" 5157 5116 ) 5158 5117 ) … … 5580 5539 ) 5581 5540 xt "39000,41400,54000,42200" 5582 st "TRG_V : std_logic 5583 " 5541 st "TRG_V : std_logic" 5584 5542 ) 5585 5543 ) … … 5598 5556 ) 5599 5557 xt "39000,33400,54000,34200" 5600 st "RS485_C_RE : std_logic 5601 " 5558 st "RS485_C_RE : std_logic" 5602 5559 ) 5603 5560 ) … … 5616 5573 ) 5617 5574 xt "39000,31800,54000,32600" 5618 st "RS485_C_DE : std_logic 5619 " 5575 st "RS485_C_DE : std_logic" 5620 5576 ) 5621 5577 ) … … 5634 5590 ) 5635 5591 xt "39000,35000,54000,35800" 5636 st "RS485_E_RE : std_logic 5637 " 5592 st "RS485_E_RE : std_logic" 5638 5593 ) 5639 5594 ) … … 5652 5607 ) 5653 5608 xt "39000,34200,54000,35000" 5654 st "RS485_E_DE : std_logic 5655 " 5609 st "RS485_E_DE : std_logic" 5656 5610 ) 5657 5611 ) … … 5671 5625 ) 5672 5626 xt "39000,23800,67500,24600" 5673 st "DENABLE : std_logic := '0' 5674 " 5627 st "DENABLE : std_logic := '0'" 5675 5628 ) 5676 5629 ) … … 5689 5642 ) 5690 5643 xt "39000,27800,54000,28600" 5691 st "EE_CS : std_logic 5692 " 5644 st "EE_CS : std_logic" 5693 5645 ) 5694 5646 ) … … 5933 5885 ) 5934 5886 xt "39000,26200,73500,27000" 5935 st "D_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 5936 " 5887 st "D_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 5937 5888 ) 5938 5889 ) … … 5997 5948 ) 5998 5949 xt "39000,11000,64000,11800" 5999 st "D_PLLLCK : std_logic_vector(3 DOWNTO 0) 6000 " 5950 st "D_PLLLCK : std_logic_vector(3 DOWNTO 0)" 6001 5951 ) 6002 5952 ) … … 6061 6011 ) 6062 6012 xt "39000,27000,73500,27800" 6063 st "D_T2 : std_logic_vector(3 DOWNTO 0) := (others => '0') 6064 " 6013 st "D_T2 : std_logic_vector(3 DOWNTO 0) := (others => '0')" 6065 6014 ) 6066 6015 ) … … 6301 6250 ) 6302 6251 xt "39000,17400,73500,18200" 6303 st "A1_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 6304 " 6252 st "A1_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 6305 6253 ) 6306 6254 ) … … 6319 6267 ) 6320 6268 xt "39000,64800,57500,65600" 6321 st "SIGNAL dummy : std_logic 6322 " 6269 st "SIGNAL dummy : std_logic" 6323 6270 ) 6324 6271 ) … … 6656 6603 ) 6657 6604 xt "39000,64000,77000,64800" 6658 st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0) := (others => '0') 6659 " 6605 st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')" 6660 6606 ) 6661 6607 ) … … 6674 6620 ) 6675 6621 xt "39000,52800,57500,53600" 6676 st "SIGNAL CLK_50 : std_logic 6677 " 6622 st "SIGNAL CLK_50 : std_logic" 6678 6623 ) 6679 6624 ) … … 7059 7004 ) 7060 7005 xt "39000,52000,57500,52800" 7061 st "SIGNAL CLK_25_PS1 : std_logic 7062 " 7006 st "SIGNAL CLK_25_PS1 : std_logic" 7063 7007 ) 7064 7008 ) … … 7078 7022 ) 7079 7023 xt "39000,60800,71000,61600" 7080 st "SIGNAL adc_clk_en : std_logic := '0' 7081 " 7024 st "SIGNAL adc_clk_en : std_logic := '0'" 7082 7025 ) 7083 7026 ) … … 7142 7085 ) 7143 7086 xt "39000,16600,73500,17400" 7144 st "A0_T : std_logic_vector(7 DOWNTO 0) := (others => '0') 7145 " 7087 st "A0_T : std_logic_vector(7 DOWNTO 0) := (others => '0')" 7146 7088 ) 7147 7089 ) … … 7172 7114 st " 7173 7115 -- eb3 9 7174 A0_T(0) <= CLK50_OUT;7175 A0_T(1) <= CLK25_OUT;7116 A0_T(0) <= ready; 7117 A0_T(1) <= shifting; 7176 7118 A0_T(2) <= CLK25_PSOUT; 7177 7119 A0_T(3) <= PS_DIR_IN; … … 7181 7123 A0_T(7) <= DCM_locked; 7182 7124 7183 A1_T(0) <= ready; 7184 A1_T(1) <= shifting; 7185 A1_T(2) <= PSDONE_extraOUT; 7186 A1_T(3) <= PSCLK_OUT; 7187 A1_T(4) <= LOCKED_extraOUT; 7125 A1_T(0) <= SRIN; 7126 A1_T(1) <= PSDONE_extraOUT; 7127 A1_T(2) <= PSCLK_OUT; 7128 A1_T(3) <= LOCKED_extraOUT; 7188 7129 7189 A1_T(5) <= '0'; 7190 A1_T(6) <= '0'; 7191 A1_T(7) <= '0'; 7130 A1_T(4) <= drs_channel_id(0); 7131 A1_T(5) <= drs_channel_id(1); 7132 A1_T(6) <= drs_channel_id(2); 7133 A1_T(7) <= drs_channel_id(3); 7134 7192 7135 " 7193 7136 tm "HdlTextMgr" … … 7207 7150 lineWidth 2 7208 7151 ) 7209 xt "106000,122000,112000,13 6000"7152 xt "106000,122000,112000,137000" 7210 7153 ) 7211 7154 oxt "0,0,8000,10000" … … 7244 7187 fg "49152,49152,49152" 7245 7188 ) 7246 xt "106250,13 4250,107750,135750"7189 xt "106250,135250,107750,136750" 7247 7190 iconName "TextFile.png" 7248 7191 iconMaskName "TextFile.msk" … … 7265 7208 ) 7266 7209 xt "39000,50400,57500,51200" 7267 st "SIGNAL CLK50_OUT : std_logic 7268 " 7210 st "SIGNAL CLK50_OUT : std_logic" 7269 7211 ) 7270 7212 ) … … 7283 7225 ) 7284 7226 xt "39000,48800,57500,49600" 7285 st "SIGNAL CLK25_OUT : std_logic 7286 " 7227 st "SIGNAL CLK25_OUT : std_logic" 7287 7228 ) 7288 7229 ) … … 7301 7242 ) 7302 7243 xt "39000,49600,57500,50400" 7303 st "SIGNAL CLK25_PSOUT : std_logic 7304 " 7244 st "SIGNAL CLK25_PSOUT : std_logic" 7305 7245 ) 7306 7246 ) … … 7319 7259 ) 7320 7260 xt "39000,58400,57500,59200" 7321 st "SIGNAL PS_DIR_IN : std_logic 7322 " 7261 st "SIGNAL PS_DIR_IN : std_logic" 7323 7262 ) 7324 7263 ) … … 7337 7276 ) 7338 7277 xt "39000,59200,57500,60000" 7339 st "SIGNAL PS_DO_IN : std_logic 7340 " 7278 st "SIGNAL PS_DO_IN : std_logic" 7341 7279 ) 7342 7280 ) … … 7355 7293 ) 7356 7294 xt "39000,56800,57500,57600" 7357 st "SIGNAL PSEN_OUT : std_logic 7358 " 7295 st "SIGNAL PSEN_OUT : std_logic" 7359 7296 ) 7360 7297 ) … … 7373 7310 ) 7374 7311 xt "39000,57600,57500,58400" 7375 st "SIGNAL PSINCDEC_OUT : std_logic 7376 " 7312 st "SIGNAL PSINCDEC_OUT : std_logic" 7377 7313 ) 7378 7314 ) … … 7393 7329 ) 7394 7330 xt "39000,53600,57500,54400" 7395 st "SIGNAL DCM_locked : std_logic 7396 " 7331 st "SIGNAL DCM_locked : std_logic" 7397 7332 ) 7398 7333 ) … … 7414 7349 ) 7415 7350 xt "39000,65600,71000,66400" 7416 st "SIGNAL ready : std_logic := '0' 7417 " 7351 st "SIGNAL ready : std_logic := '0'" 7418 7352 ) 7419 7353 ) … … 7437 7371 xt "39000,67200,71000,68800" 7438 7372 st "-- status: 7439 SIGNAL shifting : std_logic := '0' 7440 " 7373 SIGNAL shifting : std_logic := '0'" 7441 7374 ) 7442 7375 ) … … 7455 7388 ) 7456 7389 xt "39000,56000,57500,56800" 7457 st "SIGNAL PSDONE_extraOUT : std_logic 7458 " 7390 st "SIGNAL PSDONE_extraOUT : std_logic" 7459 7391 ) 7460 7392 ) … … 7473 7405 ) 7474 7406 xt "39000,55200,57500,56000" 7475 st "SIGNAL PSCLK_OUT : std_logic 7476 " 7407 st "SIGNAL PSCLK_OUT : std_logic" 7477 7408 ) 7478 7409 ) … … 7491 7422 ) 7492 7423 xt "39000,54400,57500,55200" 7493 st "SIGNAL LOCKED_extraOUT : std_logic 7494 " 7424 st "SIGNAL LOCKED_extraOUT : std_logic" 7495 7425 ) 7496 7426 ) … … 7554 7484 ) 7555 7485 xt "39000,11800,54000,12600" 7556 st "RS485_C_DI : std_logic 7557 " 7486 st "RS485_C_DI : std_logic" 7558 7487 ) 7559 7488 ) … … 7616 7545 ) 7617 7546 xt "39000,32600,54000,33400" 7618 st "RS485_C_DO : std_logic 7619 " 7547 st "RS485_C_DO : std_logic" 7620 7548 ) 7621 7549 ) … … 7679 7607 ) 7680 7608 xt "39000,12600,54000,13400" 7681 st "RS485_E_DI : std_logic 7682 " 7609 st "RS485_E_DI : std_logic" 7683 7610 ) 7684 7611 ) … … 7697 7624 ) 7698 7625 xt "39000,13400,54000,14200" 7699 st "RS485_E_DO : std_logic 7700 " 7626 st "RS485_E_DO : std_logic" 7701 7627 ) 7702 7628 ) … … 7804 7730 ) 7805 7731 xt "39000,36600,67500,37400" 7806 st "SRIN : std_logic := '0' 7807 " 7732 st "SRIN : std_logic := '0'" 7808 7733 ) 7809 7734 ) … … 7954 7879 ) 7955 7880 xt "39000,18200,54000,19000" 7956 st "AMBER_LED : std_logic 7957 " 7881 st "AMBER_LED : std_logic" 7958 7882 ) 7959 7883 ) … … 7972 7896 ) 7973 7897 xt "39000,28600,54000,29400" 7974 st "GREEN_LED : std_logic 7975 " 7898 st "GREEN_LED : std_logic" 7976 7899 ) 7977 7900 ) … … 7990 7913 ) 7991 7914 xt "39000,31000,54000,31800" 7992 st "RED_LED : std_logic 7993 " 7915 st "RED_LED : std_logic" 7994 7916 ) 7995 7917 ) … … 11496 11418 ) 11497 11419 on &266 11420 ) 11421 *361 (Wire 11422 uid 13136,0 11423 shape (OrthoPolyLine 11424 uid 13137,0 11425 va (VaSet 11426 vasetType 3 11427 ) 11428 xt "96000,136000,106000,136000" 11429 pts [ 11430 "96000,136000" 11431 "106000,136000" 11432 ] 11433 ) 11434 end &234 11435 sat 16 11436 eat 1 11437 st 0 11438 sf 1 11439 si 0 11440 tg (WTG 11441 uid 13142,0 11442 ps "ConnStartEndStrategy" 11443 stg "STSignalDisplayStrategy" 11444 f (Text 11445 uid 13143,0 11446 va (VaSet 11447 ) 11448 xt "98000,135000,100300,136000" 11449 st "SRIN" 11450 blo "98000,135800" 11451 tm "WireNameMgr" 11452 ) 11453 ) 11454 on &260 11498 11455 ) 11499 11456 ] … … 11509 11466 color "26368,26368,26368" 11510 11467 ) 11511 packageList *36 1(PackageList11468 packageList *362 (PackageList 11512 11469 uid 41,0 11513 11470 stg "VerticalLayoutStrategy" 11514 11471 textVec [ 11515 *36 2(Text11472 *363 (Text 11516 11473 uid 42,0 11517 11474 va (VaSet … … 11522 11479 blo "0,800" 11523 11480 ) 11524 *36 3(MLText11481 *364 (MLText 11525 11482 uid 43,0 11526 11483 va (VaSet … … 11543 11500 stg "VerticalLayoutStrategy" 11544 11501 textVec [ 11545 *36 4(Text11502 *365 (Text 11546 11503 uid 45,0 11547 11504 va (VaSet … … 11553 11510 blo "20000,800" 11554 11511 ) 11555 *36 5(Text11512 *366 (Text 11556 11513 uid 46,0 11557 11514 va (VaSet … … 11563 11520 blo "20000,1800" 11564 11521 ) 11565 *36 6(MLText11522 *367 (MLText 11566 11523 uid 47,0 11567 11524 va (VaSet … … 11573 11530 tm "BdCompilerDirectivesTextMgr" 11574 11531 ) 11575 *36 7(Text11532 *368 (Text 11576 11533 uid 48,0 11577 11534 va (VaSet … … 11583 11540 blo "20000,4800" 11584 11541 ) 11585 *36 8(MLText11542 *369 (MLText 11586 11543 uid 49,0 11587 11544 va (VaSet … … 11591 11548 tm "BdCompilerDirectivesTextMgr" 11592 11549 ) 11593 *3 69(Text11550 *370 (Text 11594 11551 uid 50,0 11595 11552 va (VaSet … … 11601 11558 blo "20000,5800" 11602 11559 ) 11603 *37 0(MLText11560 *371 (MLText 11604 11561 uid 51,0 11605 11562 va (VaSet … … 11613 11570 ) 11614 11571 windowSize "0,22,1281,1024" 11615 viewArea " 35200,113200,119019,180587"11572 viewArea "52691,110515,136510,177902" 11616 11573 cachedDiagramExtent "0,0,699000,450107" 11617 11574 pageSetupInfo (PageSetupInfo … … 11626 11583 hasePageBreakOrigin 1 11627 11584 pageBreakOrigin "0,0" 11628 lastUid 1 2954,011585 lastUid 13328,0 11629 11586 defaultCommentText (CommentText 11630 11587 shape (Rectangle … … 11688 11645 stg "VerticalLayoutStrategy" 11689 11646 textVec [ 11690 *37 1(Text11647 *372 (Text 11691 11648 va (VaSet 11692 11649 font "Arial,8,1" … … 11697 11654 tm "BdLibraryNameMgr" 11698 11655 ) 11699 *37 2(Text11656 *373 (Text 11700 11657 va (VaSet 11701 11658 font "Arial,8,1" … … 11706 11663 tm "BlkNameMgr" 11707 11664 ) 11708 *37 3(Text11665 *374 (Text 11709 11666 va (VaSet 11710 11667 font "Arial,8,1" … … 11757 11714 stg "VerticalLayoutStrategy" 11758 11715 textVec [ 11759 *37 4(Text11716 *375 (Text 11760 11717 va (VaSet 11761 11718 font "Arial,8,1" … … 11765 11722 blo "550,4300" 11766 11723 ) 11767 *37 5(Text11724 *376 (Text 11768 11725 va (VaSet 11769 11726 font "Arial,8,1" … … 11773 11730 blo "550,5300" 11774 11731 ) 11775 *37 6(Text11732 *377 (Text 11776 11733 va (VaSet 11777 11734 font "Arial,8,1" … … 11822 11779 stg "VerticalLayoutStrategy" 11823 11780 textVec [ 11824 *37 7(Text11781 *378 (Text 11825 11782 va (VaSet 11826 11783 font "Arial,8,1" … … 11831 11788 tm "BdLibraryNameMgr" 11832 11789 ) 11833 *37 8(Text11790 *379 (Text 11834 11791 va (VaSet 11835 11792 font "Arial,8,1" … … 11840 11797 tm "CptNameMgr" 11841 11798 ) 11842 *3 79(Text11799 *380 (Text 11843 11800 va (VaSet 11844 11801 font "Arial,8,1" … … 11894 11851 stg "VerticalLayoutStrategy" 11895 11852 textVec [ 11896 *38 0(Text11853 *381 (Text 11897 11854 va (VaSet 11898 11855 font "Arial,8,1" … … 11902 11859 blo "500,4300" 11903 11860 ) 11904 *38 1(Text11861 *382 (Text 11905 11862 va (VaSet 11906 11863 font "Arial,8,1" … … 11910 11867 blo "500,5300" 11911 11868 ) 11912 *38 2(Text11869 *383 (Text 11913 11870 va (VaSet 11914 11871 font "Arial,8,1" … … 11955 11912 stg "VerticalLayoutStrategy" 11956 11913 textVec [ 11957 *38 3(Text11914 *384 (Text 11958 11915 va (VaSet 11959 11916 font "Arial,8,1" … … 11963 11920 blo "50,4300" 11964 11921 ) 11965 *38 4(Text11922 *385 (Text 11966 11923 va (VaSet 11967 11924 font "Arial,8,1" … … 11971 11928 blo "50,5300" 11972 11929 ) 11973 *38 5(Text11930 *386 (Text 11974 11931 va (VaSet 11975 11932 font "Arial,8,1" … … 12012 11969 stg "VerticalLayoutStrategy" 12013 11970 textVec [ 12014 *38 6(Text11971 *387 (Text 12015 11972 va (VaSet 12016 11973 font "Arial,8,1" … … 12021 11978 tm "HdlTextNameMgr" 12022 11979 ) 12023 *38 7(Text11980 *388 (Text 12024 11981 va (VaSet 12025 11982 font "Arial,8,1" … … 12424 12381 stg "VerticalLayoutStrategy" 12425 12382 textVec [ 12426 *38 8(Text12383 *389 (Text 12427 12384 va (VaSet 12428 12385 font "Arial,8,1" … … 12432 12389 blo "14100,20800" 12433 12390 ) 12434 *3 89(MLText12391 *390 (MLText 12435 12392 va (VaSet 12436 12393 ) … … 12484 12441 stg "VerticalLayoutStrategy" 12485 12442 textVec [ 12486 *39 0(Text12443 *391 (Text 12487 12444 va (VaSet 12488 12445 font "Arial,8,1" … … 12492 12449 blo "14100,20800" 12493 12450 ) 12494 *39 1(MLText12451 *392 (MLText 12495 12452 va (VaSet 12496 12453 ) … … 12638 12595 suid 209,0 12639 12596 usingSuid 1 12640 emptyRow *39 2(LEmptyRow12597 emptyRow *393 (LEmptyRow 12641 12598 ) 12642 12599 uid 54,0 12643 12600 optionalChildren [ 12644 *39 3(RefLabelRowHdr12645 ) 12646 *39 4(TitleRowHdr12647 ) 12648 *39 5(FilterRowHdr12649 ) 12650 *39 6(RefLabelColHdr12601 *394 (RefLabelRowHdr 12602 ) 12603 *395 (TitleRowHdr 12604 ) 12605 *396 (FilterRowHdr 12606 ) 12607 *397 (RefLabelColHdr 12651 12608 tm "RefLabelColHdrMgr" 12652 12609 ) 12653 *39 7(RowExpandColHdr12610 *398 (RowExpandColHdr 12654 12611 tm "RowExpandColHdrMgr" 12655 12612 ) 12656 *39 8(GroupColHdr12613 *399 (GroupColHdr 12657 12614 tm "GroupColHdrMgr" 12658 12615 ) 12659 * 399(NameColHdr12616 *400 (NameColHdr 12660 12617 tm "BlockDiagramNameColHdrMgr" 12661 12618 ) 12662 *40 0(ModeColHdr12619 *401 (ModeColHdr 12663 12620 tm "BlockDiagramModeColHdrMgr" 12664 12621 ) 12665 *40 1(TypeColHdr12622 *402 (TypeColHdr 12666 12623 tm "BlockDiagramTypeColHdrMgr" 12667 12624 ) 12668 *40 2(BoundsColHdr12625 *403 (BoundsColHdr 12669 12626 tm "BlockDiagramBoundsColHdrMgr" 12670 12627 ) 12671 *40 3(InitColHdr12628 *404 (InitColHdr 12672 12629 tm "BlockDiagramInitColHdrMgr" 12673 12630 ) 12674 *40 4(EolColHdr12631 *405 (EolColHdr 12675 12632 tm "BlockDiagramEolColHdrMgr" 12676 12633 ) 12677 *40 5(LeafLogPort12634 *406 (LeafLogPort 12678 12635 port (LogicalPort 12679 12636 m 4 … … 12690 12647 uid 327,0 12691 12648 ) 12692 *40 6(LeafLogPort12649 *407 (LeafLogPort 12693 12650 port (LogicalPort 12694 12651 m 4 … … 12703 12660 uid 329,0 12704 12661 ) 12705 *40 7(LeafLogPort12662 *408 (LeafLogPort 12706 12663 port (LogicalPort 12707 12664 m 4 … … 12715 12672 uid 1491,0 12716 12673 ) 12717 *40 8(LeafLogPort12674 *409 (LeafLogPort 12718 12675 port (LogicalPort 12719 12676 m 1 … … 12728 12685 uid 2435,0 12729 12686 ) 12730 *4 09(LeafLogPort12687 *410 (LeafLogPort 12731 12688 port (LogicalPort 12732 12689 m 4 … … 12741 12698 uid 2437,0 12742 12699 ) 12743 *41 0(LeafLogPort12700 *411 (LeafLogPort 12744 12701 port (LogicalPort 12745 12702 m 4 … … 12754 12711 uid 3037,0 12755 12712 ) 12756 *41 1(LeafLogPort12713 *412 (LeafLogPort 12757 12714 port (LogicalPort 12758 12715 m 1 … … 12766 12723 uid 3039,0 12767 12724 ) 12768 *41 2(LeafLogPort12725 *413 (LeafLogPort 12769 12726 port (LogicalPort 12770 12727 decl (Decl … … 12779 12736 uid 3276,0 12780 12737 ) 12781 *41 3(LeafLogPort12738 *414 (LeafLogPort 12782 12739 port (LogicalPort 12783 12740 decl (Decl … … 12790 12747 uid 3278,0 12791 12748 ) 12792 *41 4(LeafLogPort12749 *415 (LeafLogPort 12793 12750 port (LogicalPort 12794 12751 m 1 … … 12803 12760 uid 3280,0 12804 12761 ) 12805 *41 5(LeafLogPort12762 *416 (LeafLogPort 12806 12763 port (LogicalPort 12807 12764 m 4 … … 12815 12772 uid 3282,0 12816 12773 ) 12817 *41 6(LeafLogPort12774 *417 (LeafLogPort 12818 12775 port (LogicalPort 12819 12776 m 1 … … 12829 12786 uid 3382,0 12830 12787 ) 12831 *41 7(LeafLogPort12788 *418 (LeafLogPort 12832 12789 port (LogicalPort 12833 12790 decl (Decl … … 12841 12798 uid 3384,0 12842 12799 ) 12843 *41 8(LeafLogPort12800 *419 (LeafLogPort 12844 12801 port (LogicalPort 12845 12802 decl (Decl … … 12853 12810 uid 3386,0 12854 12811 ) 12855 *4 19(LeafLogPort12812 *420 (LeafLogPort 12856 12813 port (LogicalPort 12857 12814 decl (Decl … … 12865 12822 uid 3388,0 12866 12823 ) 12867 *42 0(LeafLogPort12824 *421 (LeafLogPort 12868 12825 port (LogicalPort 12869 12826 decl (Decl … … 12877 12834 uid 3390,0 12878 12835 ) 12879 *42 1(LeafLogPort12836 *422 (LeafLogPort 12880 12837 port (LogicalPort 12881 12838 decl (Decl … … 12889 12846 uid 3392,0 12890 12847 ) 12891 *42 2(LeafLogPort12848 *423 (LeafLogPort 12892 12849 port (LogicalPort 12893 12850 m 1 … … 12901 12858 uid 3468,0 12902 12859 ) 12903 *42 3(LeafLogPort12860 *424 (LeafLogPort 12904 12861 port (LogicalPort 12905 12862 m 1 … … 12913 12870 uid 3470,0 12914 12871 ) 12915 *42 4(LeafLogPort12872 *425 (LeafLogPort 12916 12873 port (LogicalPort 12917 12874 m 1 … … 12925 12882 uid 3472,0 12926 12883 ) 12927 *42 5(LeafLogPort12884 *426 (LeafLogPort 12928 12885 port (LogicalPort 12929 12886 m 1 … … 12937 12894 uid 3474,0 12938 12895 ) 12939 *42 6(LeafLogPort12896 *427 (LeafLogPort 12940 12897 port (LogicalPort 12941 12898 decl (Decl … … 12948 12905 uid 3524,0 12949 12906 ) 12950 *42 7(LeafLogPort12907 *428 (LeafLogPort 12951 12908 port (LogicalPort 12952 12909 decl (Decl … … 12959 12916 uid 3526,0 12960 12917 ) 12961 *42 8(LeafLogPort12918 *429 (LeafLogPort 12962 12919 port (LogicalPort 12963 12920 decl (Decl … … 12970 12927 uid 3528,0 12971 12928 ) 12972 *4 29(LeafLogPort12929 *430 (LeafLogPort 12973 12930 port (LogicalPort 12974 12931 decl (Decl … … 12981 12938 uid 3530,0 12982 12939 ) 12983 *43 0(LeafLogPort12940 *431 (LeafLogPort 12984 12941 port (LogicalPort 12985 12942 m 1 … … 12995 12952 uid 3532,0 12996 12953 ) 12997 *43 1(LeafLogPort12954 *432 (LeafLogPort 12998 12955 port (LogicalPort 12999 12956 m 1 … … 13008 12965 uid 3534,0 13009 12966 ) 13010 *432 (LeafLogPort13011 port (LogicalPort13012 m 113013 decl (Decl13014 n "T0_CS"13015 t "std_logic"13016 o 4413017 suid 101,013018 )13019 )13020 uid 3646,013021 )13022 12967 *433 (LeafLogPort 13023 12968 port (LogicalPort 13024 12969 m 1 13025 12970 decl (Decl 13026 n "T 1_CS"13027 t "std_logic" 13028 o 4 513029 suid 10 2,013030 ) 13031 ) 13032 uid 364 8,012971 n "T0_CS" 12972 t "std_logic" 12973 o 44 12974 suid 101,0 12975 ) 12976 ) 12977 uid 3646,0 13033 12978 ) 13034 12979 *434 (LeafLogPort … … 13036 12981 m 1 13037 12982 decl (Decl 13038 n "T 2_CS"13039 t "std_logic" 13040 o 4 613041 suid 10 3,013042 ) 13043 ) 13044 uid 36 50,012983 n "T1_CS" 12984 t "std_logic" 12985 o 45 12986 suid 102,0 12987 ) 12988 ) 12989 uid 3648,0 13045 12990 ) 13046 12991 *435 (LeafLogPort … … 13048 12993 m 1 13049 12994 decl (Decl 13050 n "T 3_CS"13051 t "std_logic" 13052 o 4 713053 suid 10 4,013054 ) 13055 ) 13056 uid 365 2,012995 n "T2_CS" 12996 t "std_logic" 12997 o 46 12998 suid 103,0 12999 ) 13000 ) 13001 uid 3650,0 13057 13002 ) 13058 13003 *436 (LeafLogPort … … 13060 13005 m 1 13061 13006 decl (Decl 13007 n "T3_CS" 13008 t "std_logic" 13009 o 47 13010 suid 104,0 13011 ) 13012 ) 13013 uid 3652,0 13014 ) 13015 *437 (LeafLogPort 13016 port (LogicalPort 13017 m 1 13018 decl (Decl 13062 13019 n "S_CLK" 13063 13020 t "std_logic" … … 13068 13025 uid 3654,0 13069 13026 ) 13070 *43 7(LeafLogPort13027 *438 (LeafLogPort 13071 13028 port (LogicalPort 13072 13029 m 1 … … 13081 13038 uid 3656,0 13082 13039 ) 13083 *43 8(LeafLogPort13040 *439 (LeafLogPort 13084 13041 port (LogicalPort 13085 13042 m 2 … … 13094 13051 uid 3658,0 13095 13052 ) 13096 *4 39(LeafLogPort13053 *440 (LeafLogPort 13097 13054 port (LogicalPort 13098 13055 m 1 … … 13107 13064 uid 3660,0 13108 13065 ) 13109 *44 0(LeafLogPort13066 *441 (LeafLogPort 13110 13067 port (LogicalPort 13111 13068 m 1 … … 13120 13077 uid 3662,0 13121 13078 ) 13122 *44 1(LeafLogPort13079 *442 (LeafLogPort 13123 13080 port (LogicalPort 13124 13081 m 1 … … 13133 13090 uid 3664,0 13134 13091 ) 13135 *44 2(LeafLogPort13092 *443 (LeafLogPort 13136 13093 port (LogicalPort 13137 13094 decl (Decl … … 13144 13101 uid 3666,0 13145 13102 ) 13146 *44 3(LeafLogPort13103 *444 (LeafLogPort 13147 13104 port (LogicalPort 13148 13105 m 1 … … 13157 13114 uid 3668,0 13158 13115 ) 13159 *44 4(LeafLogPort13116 *445 (LeafLogPort 13160 13117 port (LogicalPort 13161 13118 m 1 … … 13170 13127 uid 3696,0 13171 13128 ) 13172 *44 5(LeafLogPort13129 *446 (LeafLogPort 13173 13130 port (LogicalPort 13174 13131 m 2 … … 13184 13141 uid 3698,0 13185 13142 ) 13186 *446 (LeafLogPort13187 port (LogicalPort13188 m 113189 decl (Decl13190 n "TRG_V"13191 t "std_logic"13192 o 4813193 suid 126,013194 )13195 )13196 uid 3886,013197 )13198 13143 *447 (LeafLogPort 13199 13144 port (LogicalPort 13200 13145 m 1 13201 13146 decl (Decl 13202 n " RS485_C_RE"13203 t "std_logic" 13204 o 3813205 suid 12 7,013206 ) 13207 ) 13208 uid 388 8,013147 n "TRG_V" 13148 t "std_logic" 13149 o 48 13150 suid 126,0 13151 ) 13152 ) 13153 uid 3886,0 13209 13154 ) 13210 13155 *448 (LeafLogPort … … 13212 13157 m 1 13213 13158 decl (Decl 13214 n "RS485_C_ DE"13215 t "std_logic" 13216 o 3 613217 suid 12 8,013218 ) 13219 ) 13220 uid 38 90,013159 n "RS485_C_RE" 13160 t "std_logic" 13161 o 38 13162 suid 127,0 13163 ) 13164 ) 13165 uid 3888,0 13221 13166 ) 13222 13167 *449 (LeafLogPort … … 13224 13169 m 1 13225 13170 decl (Decl 13226 n "RS485_ E_RE"13227 t "std_logic" 13228 o 4013229 suid 12 9,013230 ) 13231 ) 13232 uid 389 2,013171 n "RS485_C_DE" 13172 t "std_logic" 13173 o 36 13174 suid 128,0 13175 ) 13176 ) 13177 uid 3890,0 13233 13178 ) 13234 13179 *450 (LeafLogPort … … 13236 13181 m 1 13237 13182 decl (Decl 13238 n "RS485_E_ DE"13239 t "std_logic" 13240 o 3913241 suid 1 30,013242 ) 13243 ) 13244 uid 389 4,013183 n "RS485_E_RE" 13184 t "std_logic" 13185 o 40 13186 suid 129,0 13187 ) 13188 ) 13189 uid 3892,0 13245 13190 ) 13246 13191 *451 (LeafLogPort … … 13248 13193 m 1 13249 13194 decl (Decl 13195 n "RS485_E_DE" 13196 t "std_logic" 13197 o 39 13198 suid 130,0 13199 ) 13200 ) 13201 uid 3894,0 13202 ) 13203 *452 (LeafLogPort 13204 port (LogicalPort 13205 m 1 13206 decl (Decl 13250 13207 n "DENABLE" 13251 13208 t "std_logic" … … 13257 13214 uid 3896,0 13258 13215 ) 13259 *45 2(LeafLogPort13216 *453 (LeafLogPort 13260 13217 port (LogicalPort 13261 13218 m 1 … … 13269 13226 uid 3900,0 13270 13227 ) 13271 *45 3(LeafLogPort13228 *454 (LeafLogPort 13272 13229 port (LogicalPort 13273 13230 m 1 … … 13283 13240 uid 5322,0 13284 13241 ) 13285 *45 4(LeafLogPort13242 *455 (LeafLogPort 13286 13243 port (LogicalPort 13287 13244 decl (Decl … … 13296 13253 scheme 0 13297 13254 ) 13298 *45 5(LeafLogPort13255 *456 (LeafLogPort 13299 13256 port (LogicalPort 13300 13257 m 1 … … 13311 13268 scheme 0 13312 13269 ) 13313 *45 6(LeafLogPort13270 *457 (LeafLogPort 13314 13271 port (LogicalPort 13315 13272 m 1 … … 13326 13283 scheme 0 13327 13284 ) 13328 *45 7(LeafLogPort13285 *458 (LeafLogPort 13329 13286 port (LogicalPort 13330 13287 m 4 … … 13339 13296 scheme 0 13340 13297 ) 13341 *45 8(LeafLogPort13298 *459 (LeafLogPort 13342 13299 port (LogicalPort 13343 13300 m 4 … … 13353 13310 uid 8875,0 13354 13311 ) 13355 *459 (LeafLogPort13356 port (LogicalPort13357 m 413358 decl (Decl13359 n "CLK_50"13360 t "std_logic"13361 o 6113362 suid 163,013363 )13364 )13365 uid 9516,013366 )13367 13312 *460 (LeafLogPort 13368 13313 port (LogicalPort 13369 13314 m 4 13370 13315 decl (Decl 13371 n "CLK_ 25_PS1"13372 t "std_logic" 13373 o 6 013374 suid 16 4,013375 ) 13376 ) 13377 uid 10056,013316 n "CLK_50" 13317 t "std_logic" 13318 o 61 13319 suid 163,0 13320 ) 13321 ) 13322 uid 9516,0 13378 13323 ) 13379 13324 *461 (LeafLogPort … … 13381 13326 m 4 13382 13327 decl (Decl 13328 n "CLK_25_PS1" 13329 t "std_logic" 13330 o 60 13331 suid 164,0 13332 ) 13333 ) 13334 uid 10056,0 13335 ) 13336 *462 (LeafLogPort 13337 port (LogicalPort 13338 m 4 13339 decl (Decl 13383 13340 n "adc_clk_en" 13384 13341 t "std_logic" … … 13390 13347 uid 10058,0 13391 13348 ) 13392 *46 2(LeafLogPort13349 *463 (LeafLogPort 13393 13350 port (LogicalPort 13394 13351 m 1 … … 13405 13362 scheme 0 13406 13363 ) 13407 *463 (LeafLogPort13408 port (LogicalPort13409 m 413410 decl (Decl13411 n "CLK50_OUT"13412 t "std_logic"13413 o 5813414 suid 184,013415 )13416 )13417 uid 10704,013418 )13419 13364 *464 (LeafLogPort 13420 13365 port (LogicalPort 13421 13366 m 4 13422 13367 decl (Decl 13423 n "CLK 25_OUT"13424 t "std_logic" 13425 o 5 613426 suid 18 5,013427 ) 13428 ) 13429 uid 1070 6,013368 n "CLK50_OUT" 13369 t "std_logic" 13370 o 58 13371 suid 184,0 13372 ) 13373 ) 13374 uid 10704,0 13430 13375 ) 13431 13376 *465 (LeafLogPort … … 13433 13378 m 4 13434 13379 decl (Decl 13435 n "CLK25_ PSOUT"13436 t "std_logic" 13437 o 5 713438 suid 18 6,013439 ) 13440 ) 13441 uid 1070 8,013380 n "CLK25_OUT" 13381 t "std_logic" 13382 o 56 13383 suid 185,0 13384 ) 13385 ) 13386 uid 10706,0 13442 13387 ) 13443 13388 *466 (LeafLogPort … … 13445 13390 m 4 13446 13391 decl (Decl 13447 n " PS_DIR_IN"13448 t "std_logic" 13449 o 6813450 suid 18 7,013451 ) 13452 ) 13453 uid 107 10,013392 n "CLK25_PSOUT" 13393 t "std_logic" 13394 o 57 13395 suid 186,0 13396 ) 13397 ) 13398 uid 10708,0 13454 13399 ) 13455 13400 *467 (LeafLogPort … … 13457 13402 m 4 13458 13403 decl (Decl 13459 n "PS_D O_IN"13460 t "std_logic" 13461 o 6 913462 suid 18 8,013463 ) 13464 ) 13465 uid 1071 2,013404 n "PS_DIR_IN" 13405 t "std_logic" 13406 o 68 13407 suid 187,0 13408 ) 13409 ) 13410 uid 10710,0 13466 13411 ) 13467 13412 *468 (LeafLogPort … … 13469 13414 m 4 13470 13415 decl (Decl 13471 n "PS EN_OUT"13472 t "std_logic" 13473 o 6 613474 suid 18 9,013475 ) 13476 ) 13477 uid 1071 4,013416 n "PS_DO_IN" 13417 t "std_logic" 13418 o 69 13419 suid 188,0 13420 ) 13421 ) 13422 uid 10712,0 13478 13423 ) 13479 13424 *469 (LeafLogPort … … 13481 13426 m 4 13482 13427 decl (Decl 13428 n "PSEN_OUT" 13429 t "std_logic" 13430 o 66 13431 suid 189,0 13432 ) 13433 ) 13434 uid 10714,0 13435 ) 13436 *470 (LeafLogPort 13437 port (LogicalPort 13438 m 4 13439 decl (Decl 13483 13440 n "PSINCDEC_OUT" 13484 13441 t "std_logic" … … 13489 13446 uid 10716,0 13490 13447 ) 13491 *47 0(LeafLogPort13448 *471 (LeafLogPort 13492 13449 port (LogicalPort 13493 13450 m 4 … … 13503 13460 uid 10718,0 13504 13461 ) 13505 *47 1(LeafLogPort13462 *472 (LeafLogPort 13506 13463 port (LogicalPort 13507 13464 m 4 … … 13518 13475 uid 10720,0 13519 13476 ) 13520 *47 2(LeafLogPort13477 *473 (LeafLogPort 13521 13478 port (LogicalPort 13522 13479 m 4 … … 13534 13491 uid 10722,0 13535 13492 ) 13536 *473 (LeafLogPort13537 port (LogicalPort13538 m 413539 decl (Decl13540 n "PSDONE_extraOUT"13541 t "std_logic"13542 o 6513543 suid 194,013544 )13545 )13546 uid 10724,013547 )13548 13493 *474 (LeafLogPort 13549 13494 port (LogicalPort 13550 13495 m 4 13551 13496 decl (Decl 13552 n "PS CLK_OUT"13553 t "std_logic" 13554 o 6 413555 suid 19 5,013556 ) 13557 ) 13558 uid 1072 6,013497 n "PSDONE_extraOUT" 13498 t "std_logic" 13499 o 65 13500 suid 194,0 13501 ) 13502 ) 13503 uid 10724,0 13559 13504 ) 13560 13505 *475 (LeafLogPort … … 13562 13507 m 4 13563 13508 decl (Decl 13509 n "PSCLK_OUT" 13510 t "std_logic" 13511 o 64 13512 suid 195,0 13513 ) 13514 ) 13515 uid 10726,0 13516 ) 13517 *476 (LeafLogPort 13518 port (LogicalPort 13519 m 4 13520 decl (Decl 13564 13521 n "LOCKED_extraOUT" 13565 13522 t "std_logic" … … 13570 13527 uid 10728,0 13571 13528 ) 13572 *47 6(LeafLogPort13529 *477 (LeafLogPort 13573 13530 port (LogicalPort 13574 13531 decl (Decl … … 13582 13539 scheme 0 13583 13540 ) 13584 *47 7(LeafLogPort13541 *478 (LeafLogPort 13585 13542 port (LogicalPort 13586 13543 m 1 … … 13595 13552 scheme 0 13596 13553 ) 13597 *47 8(LeafLogPort13554 *479 (LeafLogPort 13598 13555 port (LogicalPort 13599 13556 decl (Decl … … 13607 13564 scheme 0 13608 13565 ) 13609 *4 79(LeafLogPort13566 *480 (LeafLogPort 13610 13567 port (LogicalPort 13611 13568 decl (Decl … … 13619 13576 scheme 0 13620 13577 ) 13621 *48 0(LeafLogPort13578 *481 (LeafLogPort 13622 13579 port (LogicalPort 13623 13580 m 1 … … 13632 13589 uid 12336,0 13633 13590 ) 13634 *481 (LeafLogPort13635 port (LogicalPort13636 m 113637 decl (Decl13638 n "AMBER_LED"13639 t "std_logic"13640 o 3113641 suid 207,013642 )13643 )13644 uid 12768,013645 )13646 13591 *482 (LeafLogPort 13647 13592 port (LogicalPort 13648 13593 m 1 13649 13594 decl (Decl 13595 n "AMBER_LED" 13596 t "std_logic" 13597 o 31 13598 suid 207,0 13599 ) 13600 ) 13601 uid 12768,0 13602 ) 13603 *483 (LeafLogPort 13604 port (LogicalPort 13605 m 1 13606 decl (Decl 13650 13607 n "GREEN_LED" 13651 13608 t "std_logic" … … 13656 13613 uid 12770,0 13657 13614 ) 13658 *48 3(LeafLogPort13615 *484 (LeafLogPort 13659 13616 port (LogicalPort 13660 13617 m 1 … … 13675 13632 uid 67,0 13676 13633 optionalChildren [ 13677 *48 4(Sheet13634 *485 (Sheet 13678 13635 sheetRow (SheetRow 13679 13636 headerVa (MVa … … 13692 13649 font "Tahoma,10,0" 13693 13650 ) 13694 emptyMRCItem *48 5(MRCItem13695 litem &39 213651 emptyMRCItem *486 (MRCItem 13652 litem &393 13696 13653 pos 79 13697 13654 dimension 20 … … 13699 13656 uid 69,0 13700 13657 optionalChildren [ 13701 *48 6(MRCItem13702 litem &39 313658 *487 (MRCItem 13659 litem &394 13703 13660 pos 0 13704 13661 dimension 20 13705 13662 uid 70,0 13706 13663 ) 13707 *48 7(MRCItem13708 litem &39 413664 *488 (MRCItem 13665 litem &395 13709 13666 pos 1 13710 13667 dimension 23 13711 13668 uid 71,0 13712 13669 ) 13713 *48 8(MRCItem13714 litem &39 513670 *489 (MRCItem 13671 litem &396 13715 13672 pos 2 13716 13673 hidden 1 … … 13718 13675 uid 72,0 13719 13676 ) 13720 *4 89(MRCItem13721 litem &40 513677 *490 (MRCItem 13678 litem &406 13722 13679 pos 52 13723 13680 dimension 20 13724 13681 uid 328,0 13725 13682 ) 13726 *49 0(MRCItem13727 litem &40 613683 *491 (MRCItem 13684 litem &407 13728 13685 pos 53 13729 13686 dimension 20 13730 13687 uid 330,0 13731 13688 ) 13732 *49 1(MRCItem13733 litem &40 713689 *492 (MRCItem 13690 litem &408 13734 13691 pos 54 13735 13692 dimension 20 13736 13693 uid 1492,0 13737 13694 ) 13738 *49 2(MRCItem13739 litem &40 813695 *493 (MRCItem 13696 litem &409 13740 13697 pos 0 13741 13698 dimension 20 13742 13699 uid 2436,0 13743 13700 ) 13744 *49 3(MRCItem13745 litem &4 0913701 *494 (MRCItem 13702 litem &410 13746 13703 pos 55 13747 13704 dimension 20 13748 13705 uid 2438,0 13749 13706 ) 13750 *49 4(MRCItem13751 litem &41 013707 *495 (MRCItem 13708 litem &411 13752 13709 pos 56 13753 13710 dimension 20 13754 13711 uid 3038,0 13755 13712 ) 13756 *49 5(MRCItem13757 litem &41 113713 *496 (MRCItem 13714 litem &412 13758 13715 pos 1 13759 13716 dimension 20 13760 13717 uid 3040,0 13761 13718 ) 13762 *49 6(MRCItem13763 litem &41 213719 *497 (MRCItem 13720 litem &413 13764 13721 pos 2 13765 13722 dimension 20 13766 13723 uid 3277,0 13767 13724 ) 13768 *49 7(MRCItem13769 litem &41 313725 *498 (MRCItem 13726 litem &414 13770 13727 pos 3 13771 13728 dimension 20 13772 13729 uid 3279,0 13773 13730 ) 13774 *49 8(MRCItem13775 litem &41 413731 *499 (MRCItem 13732 litem &415 13776 13733 pos 4 13777 13734 dimension 20 13778 13735 uid 3281,0 13779 13736 ) 13780 * 499(MRCItem13781 litem &41 513737 *500 (MRCItem 13738 litem &416 13782 13739 pos 57 13783 13740 dimension 20 13784 13741 uid 3283,0 13785 13742 ) 13786 *50 0(MRCItem13787 litem &41 613743 *501 (MRCItem 13744 litem &417 13788 13745 pos 5 13789 13746 dimension 20 13790 13747 uid 3383,0 13791 13748 ) 13792 *50 1(MRCItem13793 litem &41 713749 *502 (MRCItem 13750 litem &418 13794 13751 pos 6 13795 13752 dimension 20 13796 13753 uid 3385,0 13797 13754 ) 13798 *50 2(MRCItem13799 litem &41 813755 *503 (MRCItem 13756 litem &419 13800 13757 pos 7 13801 13758 dimension 20 13802 13759 uid 3387,0 13803 13760 ) 13804 *50 3(MRCItem13805 litem &4 1913761 *504 (MRCItem 13762 litem &420 13806 13763 pos 8 13807 13764 dimension 20 13808 13765 uid 3389,0 13809 13766 ) 13810 *50 4(MRCItem13811 litem &42 013767 *505 (MRCItem 13768 litem &421 13812 13769 pos 9 13813 13770 dimension 20 13814 13771 uid 3391,0 13815 13772 ) 13816 *50 5(MRCItem13817 litem &42 113773 *506 (MRCItem 13774 litem &422 13818 13775 pos 10 13819 13776 dimension 20 13820 13777 uid 3393,0 13821 13778 ) 13822 *50 6(MRCItem13823 litem &42 213779 *507 (MRCItem 13780 litem &423 13824 13781 pos 11 13825 13782 dimension 20 13826 13783 uid 3469,0 13827 13784 ) 13828 *50 7(MRCItem13829 litem &42 313785 *508 (MRCItem 13786 litem &424 13830 13787 pos 12 13831 13788 dimension 20 13832 13789 uid 3471,0 13833 13790 ) 13834 *50 8(MRCItem13835 litem &42 413791 *509 (MRCItem 13792 litem &425 13836 13793 pos 13 13837 13794 dimension 20 13838 13795 uid 3473,0 13839 13796 ) 13840 *5 09(MRCItem13841 litem &42 513797 *510 (MRCItem 13798 litem &426 13842 13799 pos 14 13843 13800 dimension 20 13844 13801 uid 3475,0 13845 13802 ) 13846 *51 0(MRCItem13847 litem &42 613803 *511 (MRCItem 13804 litem &427 13848 13805 pos 15 13849 13806 dimension 20 13850 13807 uid 3525,0 13851 13808 ) 13852 *51 1(MRCItem13853 litem &42 713809 *512 (MRCItem 13810 litem &428 13854 13811 pos 16 13855 13812 dimension 20 13856 13813 uid 3527,0 13857 13814 ) 13858 *51 2(MRCItem13859 litem &42 813815 *513 (MRCItem 13816 litem &429 13860 13817 pos 17 13861 13818 dimension 20 13862 13819 uid 3529,0 13863 13820 ) 13864 *51 3(MRCItem13865 litem &4 2913821 *514 (MRCItem 13822 litem &430 13866 13823 pos 18 13867 13824 dimension 20 13868 13825 uid 3531,0 13869 13826 ) 13870 *51 4(MRCItem13871 litem &43 013827 *515 (MRCItem 13828 litem &431 13872 13829 pos 19 13873 13830 dimension 20 13874 13831 uid 3533,0 13875 13832 ) 13876 *51 5(MRCItem13877 litem &43 113833 *516 (MRCItem 13834 litem &432 13878 13835 pos 20 13879 13836 dimension 20 13880 13837 uid 3535,0 13881 13838 ) 13882 *51 6(MRCItem13883 litem &43 213839 *517 (MRCItem 13840 litem &433 13884 13841 pos 21 13885 13842 dimension 20 13886 13843 uid 3647,0 13887 13844 ) 13888 *51 7(MRCItem13889 litem &43 313845 *518 (MRCItem 13846 litem &434 13890 13847 pos 22 13891 13848 dimension 20 13892 13849 uid 3649,0 13893 13850 ) 13894 *51 8(MRCItem13895 litem &43 413851 *519 (MRCItem 13852 litem &435 13896 13853 pos 23 13897 13854 dimension 20 13898 13855 uid 3651,0 13899 13856 ) 13900 *5 19(MRCItem13901 litem &43 513857 *520 (MRCItem 13858 litem &436 13902 13859 pos 24 13903 13860 dimension 20 13904 13861 uid 3653,0 13905 13862 ) 13906 *52 0(MRCItem13907 litem &43 613863 *521 (MRCItem 13864 litem &437 13908 13865 pos 25 13909 13866 dimension 20 13910 13867 uid 3655,0 13911 13868 ) 13912 *52 1(MRCItem13913 litem &43 713869 *522 (MRCItem 13870 litem &438 13914 13871 pos 26 13915 13872 dimension 20 13916 13873 uid 3657,0 13917 13874 ) 13918 *52 2(MRCItem13919 litem &43 813875 *523 (MRCItem 13876 litem &439 13920 13877 pos 27 13921 13878 dimension 20 13922 13879 uid 3659,0 13923 13880 ) 13924 *52 3(MRCItem13925 litem &4 3913881 *524 (MRCItem 13882 litem &440 13926 13883 pos 28 13927 13884 dimension 20 13928 13885 uid 3661,0 13929 13886 ) 13930 *52 4(MRCItem13931 litem &44 013887 *525 (MRCItem 13888 litem &441 13932 13889 pos 29 13933 13890 dimension 20 13934 13891 uid 3663,0 13935 13892 ) 13936 *52 5(MRCItem13937 litem &44 113893 *526 (MRCItem 13894 litem &442 13938 13895 pos 30 13939 13896 dimension 20 13940 13897 uid 3665,0 13941 13898 ) 13942 *52 6(MRCItem13943 litem &44 213899 *527 (MRCItem 13900 litem &443 13944 13901 pos 31 13945 13902 dimension 20 13946 13903 uid 3667,0 13947 13904 ) 13948 *52 7(MRCItem13949 litem &44 313905 *528 (MRCItem 13906 litem &444 13950 13907 pos 32 13951 13908 dimension 20 13952 13909 uid 3669,0 13953 13910 ) 13954 *52 8(MRCItem13955 litem &44 413911 *529 (MRCItem 13912 litem &445 13956 13913 pos 33 13957 13914 dimension 20 13958 13915 uid 3697,0 13959 13916 ) 13960 *5 29(MRCItem13961 litem &44 513917 *530 (MRCItem 13918 litem &446 13962 13919 pos 34 13963 13920 dimension 20 13964 13921 uid 3699,0 13965 13922 ) 13966 *53 0(MRCItem13967 litem &44 613923 *531 (MRCItem 13924 litem &447 13968 13925 pos 35 13969 13926 dimension 20 13970 13927 uid 3887,0 13971 13928 ) 13972 *53 1(MRCItem13973 litem &44 713929 *532 (MRCItem 13930 litem &448 13974 13931 pos 36 13975 13932 dimension 20 13976 13933 uid 3889,0 13977 13934 ) 13978 *53 2(MRCItem13979 litem &44 813935 *533 (MRCItem 13936 litem &449 13980 13937 pos 37 13981 13938 dimension 20 13982 13939 uid 3891,0 13983 13940 ) 13984 *53 3(MRCItem13985 litem &4 4913941 *534 (MRCItem 13942 litem &450 13986 13943 pos 38 13987 13944 dimension 20 13988 13945 uid 3893,0 13989 13946 ) 13990 *53 4(MRCItem13991 litem &45 013947 *535 (MRCItem 13948 litem &451 13992 13949 pos 39 13993 13950 dimension 20 13994 13951 uid 3895,0 13995 13952 ) 13996 *53 5(MRCItem13997 litem &45 113953 *536 (MRCItem 13954 litem &452 13998 13955 pos 40 13999 13956 dimension 20 14000 13957 uid 3897,0 14001 13958 ) 14002 *53 6(MRCItem14003 litem &45 213959 *537 (MRCItem 13960 litem &453 14004 13961 pos 41 14005 13962 dimension 20 14006 13963 uid 3901,0 14007 13964 ) 14008 *53 7(MRCItem14009 litem &45 313965 *538 (MRCItem 13966 litem &454 14010 13967 pos 42 14011 13968 dimension 20 14012 13969 uid 5323,0 14013 13970 ) 14014 *53 8(MRCItem14015 litem &45 413971 *539 (MRCItem 13972 litem &455 14016 13973 pos 43 14017 13974 dimension 20 14018 13975 uid 6778,0 14019 13976 ) 14020 *5 39(MRCItem14021 litem &45 513977 *540 (MRCItem 13978 litem &456 14022 13979 pos 44 14023 13980 dimension 20 14024 13981 uid 6873,0 14025 13982 ) 14026 *54 0(MRCItem14027 litem &45 613983 *541 (MRCItem 13984 litem &457 14028 13985 pos 45 14029 13986 dimension 20 14030 13987 uid 7135,0 14031 13988 ) 14032 *54 1(MRCItem14033 litem &45 713989 *542 (MRCItem 13990 litem &458 14034 13991 pos 58 14035 13992 dimension 20 14036 13993 uid 7474,0 14037 13994 ) 14038 *54 2(MRCItem14039 litem &45 813995 *543 (MRCItem 13996 litem &459 14040 13997 pos 59 14041 13998 dimension 20 14042 13999 uid 8876,0 14043 14000 ) 14044 *54 3(MRCItem14045 litem &4 5914001 *544 (MRCItem 14002 litem &460 14046 14003 pos 60 14047 14004 dimension 20 14048 14005 uid 9517,0 14049 14006 ) 14050 *54 4(MRCItem14051 litem &46 014007 *545 (MRCItem 14008 litem &461 14052 14009 pos 61 14053 14010 dimension 20 14054 14011 uid 10057,0 14055 14012 ) 14056 *54 5(MRCItem14057 litem &46 114013 *546 (MRCItem 14014 litem &462 14058 14015 pos 62 14059 14016 dimension 20 14060 14017 uid 10059,0 14061 14018 ) 14062 *54 6(MRCItem14063 litem &46 214019 *547 (MRCItem 14020 litem &463 14064 14021 pos 46 14065 14022 dimension 20 14066 14023 uid 10295,0 14067 14024 ) 14068 *54 7(MRCItem14069 litem &46 314025 *548 (MRCItem 14026 litem &464 14070 14027 pos 63 14071 14028 dimension 20 14072 14029 uid 10705,0 14073 14030 ) 14074 *54 8(MRCItem14075 litem &46 414031 *549 (MRCItem 14032 litem &465 14076 14033 pos 64 14077 14034 dimension 20 14078 14035 uid 10707,0 14079 14036 ) 14080 *5 49(MRCItem14081 litem &46 514037 *550 (MRCItem 14038 litem &466 14082 14039 pos 65 14083 14040 dimension 20 14084 14041 uid 10709,0 14085 14042 ) 14086 *55 0(MRCItem14087 litem &46 614043 *551 (MRCItem 14044 litem &467 14088 14045 pos 66 14089 14046 dimension 20 14090 14047 uid 10711,0 14091 14048 ) 14092 *55 1(MRCItem14093 litem &46 714049 *552 (MRCItem 14050 litem &468 14094 14051 pos 67 14095 14052 dimension 20 14096 14053 uid 10713,0 14097 14054 ) 14098 *55 2(MRCItem14099 litem &46 814055 *553 (MRCItem 14056 litem &469 14100 14057 pos 68 14101 14058 dimension 20 14102 14059 uid 10715,0 14103 14060 ) 14104 *55 3(MRCItem14105 litem &4 6914061 *554 (MRCItem 14062 litem &470 14106 14063 pos 69 14107 14064 dimension 20 14108 14065 uid 10717,0 14109 14066 ) 14110 *55 4(MRCItem14111 litem &47 014067 *555 (MRCItem 14068 litem &471 14112 14069 pos 70 14113 14070 dimension 20 14114 14071 uid 10719,0 14115 14072 ) 14116 *55 5(MRCItem14117 litem &47 114073 *556 (MRCItem 14074 litem &472 14118 14075 pos 71 14119 14076 dimension 20 14120 14077 uid 10721,0 14121 14078 ) 14122 *55 6(MRCItem14123 litem &47 214079 *557 (MRCItem 14080 litem &473 14124 14081 pos 72 14125 14082 dimension 20 14126 14083 uid 10723,0 14127 14084 ) 14128 *55 7(MRCItem14129 litem &47 314085 *558 (MRCItem 14086 litem &474 14130 14087 pos 73 14131 14088 dimension 20 14132 14089 uid 10725,0 14133 14090 ) 14134 *55 8(MRCItem14135 litem &47 414091 *559 (MRCItem 14092 litem &475 14136 14093 pos 74 14137 14094 dimension 20 14138 14095 uid 10727,0 14139 14096 ) 14140 *5 59(MRCItem14141 litem &47 514097 *560 (MRCItem 14098 litem &476 14142 14099 pos 75 14143 14100 dimension 20 14144 14101 uid 10729,0 14145 14102 ) 14146 *56 0(MRCItem14147 litem &47 614103 *561 (MRCItem 14104 litem &477 14148 14105 pos 47 14149 14106 dimension 20 14150 14107 uid 11085,0 14151 14108 ) 14152 *56 1(MRCItem14153 litem &47 714109 *562 (MRCItem 14110 litem &478 14154 14111 pos 48 14155 14112 dimension 20 14156 14113 uid 11087,0 14157 14114 ) 14158 *56 2(MRCItem14159 litem &47 814115 *563 (MRCItem 14116 litem &479 14160 14117 pos 49 14161 14118 dimension 20 14162 14119 uid 11505,0 14163 14120 ) 14164 *56 3(MRCItem14165 litem &4 7914121 *564 (MRCItem 14122 litem &480 14166 14123 pos 50 14167 14124 dimension 20 14168 14125 uid 11507,0 14169 14126 ) 14170 *56 4(MRCItem14171 litem &48 014127 *565 (MRCItem 14128 litem &481 14172 14129 pos 51 14173 14130 dimension 20 14174 14131 uid 12337,0 14175 14132 ) 14176 *56 5(MRCItem14177 litem &48 114133 *566 (MRCItem 14134 litem &482 14178 14135 pos 76 14179 14136 dimension 20 14180 14137 uid 12769,0 14181 14138 ) 14182 *56 6(MRCItem14183 litem &48 214139 *567 (MRCItem 14140 litem &483 14184 14141 pos 77 14185 14142 dimension 20 14186 14143 uid 12771,0 14187 14144 ) 14188 *56 7(MRCItem14189 litem &48 314145 *568 (MRCItem 14146 litem &484 14190 14147 pos 78 14191 14148 dimension 20 … … 14203 14160 uid 73,0 14204 14161 optionalChildren [ 14205 *56 8(MRCItem14206 litem &39 614162 *569 (MRCItem 14163 litem &397 14207 14164 pos 0 14208 14165 dimension 20 14209 14166 uid 74,0 14210 14167 ) 14211 *5 69(MRCItem14212 litem &39 814168 *570 (MRCItem 14169 litem &399 14213 14170 pos 1 14214 14171 dimension 50 14215 14172 uid 75,0 14216 14173 ) 14217 *57 0(MRCItem14218 litem & 39914174 *571 (MRCItem 14175 litem &400 14219 14176 pos 2 14220 14177 dimension 100 14221 14178 uid 76,0 14222 14179 ) 14223 *57 1(MRCItem14224 litem &40 014180 *572 (MRCItem 14181 litem &401 14225 14182 pos 3 14226 14183 dimension 50 14227 14184 uid 77,0 14228 14185 ) 14229 *57 2(MRCItem14230 litem &40 114186 *573 (MRCItem 14187 litem &402 14231 14188 pos 4 14232 14189 dimension 100 14233 14190 uid 78,0 14234 14191 ) 14235 *57 3(MRCItem14236 litem &40 214192 *574 (MRCItem 14193 litem &403 14237 14194 pos 5 14238 14195 dimension 100 14239 14196 uid 79,0 14240 14197 ) 14241 *57 4(MRCItem14242 litem &40 314198 *575 (MRCItem 14199 litem &404 14243 14200 pos 6 14244 14201 dimension 92 14245 14202 uid 80,0 14246 14203 ) 14247 *57 5(MRCItem14248 litem &40 414204 *576 (MRCItem 14205 litem &405 14249 14206 pos 7 14250 14207 dimension 80 … … 14266 14223 genericsCommonDM (CommonDM 14267 14224 ldm (LogicalDM 14268 emptyRow *57 6(LEmptyRow14225 emptyRow *577 (LEmptyRow 14269 14226 ) 14270 14227 uid 83,0 14271 14228 optionalChildren [ 14272 *57 7(RefLabelRowHdr14273 ) 14274 *57 8(TitleRowHdr14275 ) 14276 *5 79(FilterRowHdr14277 ) 14278 *58 0(RefLabelColHdr14229 *578 (RefLabelRowHdr 14230 ) 14231 *579 (TitleRowHdr 14232 ) 14233 *580 (FilterRowHdr 14234 ) 14235 *581 (RefLabelColHdr 14279 14236 tm "RefLabelColHdrMgr" 14280 14237 ) 14281 *58 1(RowExpandColHdr14238 *582 (RowExpandColHdr 14282 14239 tm "RowExpandColHdrMgr" 14283 14240 ) 14284 *58 2(GroupColHdr14241 *583 (GroupColHdr 14285 14242 tm "GroupColHdrMgr" 14286 14243 ) 14287 *58 3(NameColHdr14244 *584 (NameColHdr 14288 14245 tm "GenericNameColHdrMgr" 14289 14246 ) 14290 *58 4(TypeColHdr14247 *585 (TypeColHdr 14291 14248 tm "GenericTypeColHdrMgr" 14292 14249 ) 14293 *58 5(InitColHdr14250 *586 (InitColHdr 14294 14251 tm "GenericValueColHdrMgr" 14295 14252 ) 14296 *58 6(PragmaColHdr14253 *587 (PragmaColHdr 14297 14254 tm "GenericPragmaColHdrMgr" 14298 14255 ) 14299 *58 7(EolColHdr14256 *588 (EolColHdr 14300 14257 tm "GenericEolColHdrMgr" 14301 14258 ) … … 14307 14264 uid 95,0 14308 14265 optionalChildren [ 14309 *58 8(Sheet14266 *589 (Sheet 14310 14267 sheetRow (SheetRow 14311 14268 headerVa (MVa … … 14324 14281 font "Tahoma,10,0" 14325 14282 ) 14326 emptyMRCItem *589 (MRCItem 14327 litem &576 14328 pos 0 14329 dimension 20 14330 ) 14331 uid 97,0 14332 optionalChildren [ 14333 *590 (MRCItem 14283 emptyMRCItem *590 (MRCItem 14334 14284 litem &577 14335 14285 pos 0 14336 14286 dimension 20 14337 uid 98,0 14338 ) 14287 ) 14288 uid 97,0 14289 optionalChildren [ 14339 14290 *591 (MRCItem 14340 14291 litem &578 14292 pos 0 14293 dimension 20 14294 uid 98,0 14295 ) 14296 *592 (MRCItem 14297 litem &579 14341 14298 pos 1 14342 14299 dimension 23 14343 14300 uid 99,0 14344 14301 ) 14345 *59 2(MRCItem14346 litem &5 7914302 *593 (MRCItem 14303 litem &580 14347 14304 pos 2 14348 14305 hidden 1 … … 14361 14318 uid 101,0 14362 14319 optionalChildren [ 14363 *59 3(MRCItem14364 litem &58 014320 *594 (MRCItem 14321 litem &581 14365 14322 pos 0 14366 14323 dimension 20 14367 14324 uid 102,0 14368 14325 ) 14369 *59 4(MRCItem14370 litem &58 214326 *595 (MRCItem 14327 litem &583 14371 14328 pos 1 14372 14329 dimension 50 14373 14330 uid 103,0 14374 14331 ) 14375 *59 5(MRCItem14376 litem &58 314332 *596 (MRCItem 14333 litem &584 14377 14334 pos 2 14378 14335 dimension 100 14379 14336 uid 104,0 14380 14337 ) 14381 *59 6(MRCItem14382 litem &58 414338 *597 (MRCItem 14339 litem &585 14383 14340 pos 3 14384 14341 dimension 100 14385 14342 uid 105,0 14386 14343 ) 14387 *59 7(MRCItem14388 litem &58 514344 *598 (MRCItem 14345 litem &586 14389 14346 pos 4 14390 14347 dimension 50 14391 14348 uid 106,0 14392 14349 ) 14393 *59 8(MRCItem14394 litem &58 614350 *599 (MRCItem 14351 litem &587 14395 14352 pos 5 14396 14353 dimension 50 14397 14354 uid 107,0 14398 14355 ) 14399 * 599(MRCItem14400 litem &58 714356 *600 (MRCItem 14357 litem &588 14401 14358 pos 6 14402 14359 dimension 80 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd.bak
r10075 r10081 149 149 (vvPair 150 150 variable "date" 151 value "0 4.01.2011"151 value "05.01.2011" 152 152 ) 153 153 (vvPair 154 154 variable "day" 155 value " Di"155 value "Mi" 156 156 ) 157 157 (vvPair 158 158 variable "day_long" 159 value " Dienstag"159 value "Mittwoch" 160 160 ) 161 161 (vvPair 162 162 variable "dd" 163 value "0 4"163 value "05" 164 164 ) 165 165 (vvPair … … 297 297 (vvPair 298 298 variable "time" 299 value "1 3:01:09"299 value "15:55:05" 300 300 ) 301 301 (vvPair … … 7129 7129 A1_T(4) <= LOCKED_extraOUT; 7130 7130 7131 A1_T(5) <= '0';7131 A1_T(5) <= SRIN; 7132 7132 A1_T(6) <= '0'; 7133 7133 A1_T(7) <= '0'; … … 7149 7149 lineWidth 2 7150 7150 ) 7151 xt "106000,122000,112000,13 6000"7151 xt "106000,122000,112000,137000" 7152 7152 ) 7153 7153 oxt "0,0,8000,10000" … … 7186 7186 fg "49152,49152,49152" 7187 7187 ) 7188 xt "106250,13 4250,107750,135750"7188 xt "106250,135250,107750,136750" 7189 7189 iconName "TextFile.png" 7190 7190 iconMaskName "TextFile.msk" … … 11351 11351 vasetType 3 11352 11352 ) 11353 xt "80750,142000,87000,14 2000"11354 pts [ 11355 "80750,14 2000"11353 xt "80750,142000,87000,143000" 11354 pts [ 11355 "80750,143000" 11356 11356 "87000,142000" 11357 11357 ] 11358 11358 ) 11359 start &6 111359 start &62 11360 11360 end &262 11361 ss 011362 11361 sat 32 11363 11362 eat 32 … … 11374 11373 isHidden 1 11375 11374 ) 11376 xt "83000,14 1000,88100,142000"11375 xt "83000,142000,88100,143000" 11377 11376 st "GREEN_LED" 11378 blo "83000,14 1800"11377 blo "83000,142800" 11379 11378 tm "WireNameMgr" 11380 11379 ) … … 11389 11388 vasetType 3 11390 11389 ) 11391 xt "80750,14 3000,87000,143000"11392 pts [ 11393 "80750,14 3000"11390 xt "80750,142000,87000,143000" 11391 pts [ 11392 "80750,142000" 11394 11393 "87000,143000" 11395 11394 ] 11396 11395 ) 11397 start &6 211396 start &61 11398 11397 end &263 11399 11398 sat 32 … … 11411 11410 isHidden 1 11412 11411 ) 11413 xt "83000,14 2000,87000,143000"11412 xt "83000,141000,87000,142000" 11414 11413 st "RED_LED" 11415 blo "83000,14 2800"11414 blo "83000,141800" 11416 11415 tm "WireNameMgr" 11417 11416 ) 11418 11417 ) 11419 11418 on &266 11419 ) 11420 *361 (Wire 11421 uid 13136,0 11422 shape (OrthoPolyLine 11423 uid 13137,0 11424 va (VaSet 11425 vasetType 3 11426 ) 11427 xt "96000,136000,106000,136000" 11428 pts [ 11429 "96000,136000" 11430 "106000,136000" 11431 ] 11432 ) 11433 end &234 11434 sat 16 11435 eat 1 11436 st 0 11437 sf 1 11438 si 0 11439 tg (WTG 11440 uid 13142,0 11441 ps "ConnStartEndStrategy" 11442 stg "STSignalDisplayStrategy" 11443 f (Text 11444 uid 13143,0 11445 va (VaSet 11446 ) 11447 xt "98000,135000,100300,136000" 11448 st "SRIN" 11449 blo "98000,135800" 11450 tm "WireNameMgr" 11451 ) 11452 ) 11453 on &260 11420 11454 ) 11421 11455 ] … … 11431 11465 color "26368,26368,26368" 11432 11466 ) 11433 packageList *36 1(PackageList11467 packageList *362 (PackageList 11434 11468 uid 41,0 11435 11469 stg "VerticalLayoutStrategy" 11436 11470 textVec [ 11437 *36 2(Text11471 *363 (Text 11438 11472 uid 42,0 11439 11473 va (VaSet … … 11444 11478 blo "0,800" 11445 11479 ) 11446 *36 3(MLText11480 *364 (MLText 11447 11481 uid 43,0 11448 11482 va (VaSet … … 11465 11499 stg "VerticalLayoutStrategy" 11466 11500 textVec [ 11467 *36 4(Text11501 *365 (Text 11468 11502 uid 45,0 11469 11503 va (VaSet … … 11475 11509 blo "20000,800" 11476 11510 ) 11477 *36 5(Text11511 *366 (Text 11478 11512 uid 46,0 11479 11513 va (VaSet … … 11485 11519 blo "20000,1800" 11486 11520 ) 11487 *36 6(MLText11521 *367 (MLText 11488 11522 uid 47,0 11489 11523 va (VaSet … … 11495 11529 tm "BdCompilerDirectivesTextMgr" 11496 11530 ) 11497 *36 7(Text11531 *368 (Text 11498 11532 uid 48,0 11499 11533 va (VaSet … … 11505 11539 blo "20000,4800" 11506 11540 ) 11507 *36 8(MLText11541 *369 (MLText 11508 11542 uid 49,0 11509 11543 va (VaSet … … 11513 11547 tm "BdCompilerDirectivesTextMgr" 11514 11548 ) 11515 *3 69(Text11549 *370 (Text 11516 11550 uid 50,0 11517 11551 va (VaSet … … 11523 11557 blo "20000,5800" 11524 11558 ) 11525 *37 0(MLText11559 *371 (MLText 11526 11560 uid 51,0 11527 11561 va (VaSet … … 11535 11569 ) 11536 11570 windowSize "0,22,1281,1024" 11537 viewArea " 35200,113200,119019,180587"11571 viewArea "58347,103799,142166,171186" 11538 11572 cachedDiagramExtent "0,0,699000,450107" 11539 11573 pageSetupInfo (PageSetupInfo … … 11548 11582 hasePageBreakOrigin 1 11549 11583 pageBreakOrigin "0,0" 11550 lastUid 1 2773,011584 lastUid 13143,0 11551 11585 defaultCommentText (CommentText 11552 11586 shape (Rectangle … … 11610 11644 stg "VerticalLayoutStrategy" 11611 11645 textVec [ 11612 *37 1(Text11646 *372 (Text 11613 11647 va (VaSet 11614 11648 font "Arial,8,1" … … 11619 11653 tm "BdLibraryNameMgr" 11620 11654 ) 11621 *37 2(Text11655 *373 (Text 11622 11656 va (VaSet 11623 11657 font "Arial,8,1" … … 11628 11662 tm "BlkNameMgr" 11629 11663 ) 11630 *37 3(Text11664 *374 (Text 11631 11665 va (VaSet 11632 11666 font "Arial,8,1" … … 11679 11713 stg "VerticalLayoutStrategy" 11680 11714 textVec [ 11681 *37 4(Text11715 *375 (Text 11682 11716 va (VaSet 11683 11717 font "Arial,8,1" … … 11687 11721 blo "550,4300" 11688 11722 ) 11689 *37 5(Text11723 *376 (Text 11690 11724 va (VaSet 11691 11725 font "Arial,8,1" … … 11695 11729 blo "550,5300" 11696 11730 ) 11697 *37 6(Text11731 *377 (Text 11698 11732 va (VaSet 11699 11733 font "Arial,8,1" … … 11744 11778 stg "VerticalLayoutStrategy" 11745 11779 textVec [ 11746 *37 7(Text11780 *378 (Text 11747 11781 va (VaSet 11748 11782 font "Arial,8,1" … … 11753 11787 tm "BdLibraryNameMgr" 11754 11788 ) 11755 *37 8(Text11789 *379 (Text 11756 11790 va (VaSet 11757 11791 font "Arial,8,1" … … 11762 11796 tm "CptNameMgr" 11763 11797 ) 11764 *3 79(Text11798 *380 (Text 11765 11799 va (VaSet 11766 11800 font "Arial,8,1" … … 11816 11850 stg "VerticalLayoutStrategy" 11817 11851 textVec [ 11818 *38 0(Text11852 *381 (Text 11819 11853 va (VaSet 11820 11854 font "Arial,8,1" … … 11824 11858 blo "500,4300" 11825 11859 ) 11826 *38 1(Text11860 *382 (Text 11827 11861 va (VaSet 11828 11862 font "Arial,8,1" … … 11832 11866 blo "500,5300" 11833 11867 ) 11834 *38 2(Text11868 *383 (Text 11835 11869 va (VaSet 11836 11870 font "Arial,8,1" … … 11877 11911 stg "VerticalLayoutStrategy" 11878 11912 textVec [ 11879 *38 3(Text11913 *384 (Text 11880 11914 va (VaSet 11881 11915 font "Arial,8,1" … … 11885 11919 blo "50,4300" 11886 11920 ) 11887 *38 4(Text11921 *385 (Text 11888 11922 va (VaSet 11889 11923 font "Arial,8,1" … … 11893 11927 blo "50,5300" 11894 11928 ) 11895 *38 5(Text11929 *386 (Text 11896 11930 va (VaSet 11897 11931 font "Arial,8,1" … … 11934 11968 stg "VerticalLayoutStrategy" 11935 11969 textVec [ 11936 *38 6(Text11970 *387 (Text 11937 11971 va (VaSet 11938 11972 font "Arial,8,1" … … 11943 11977 tm "HdlTextNameMgr" 11944 11978 ) 11945 *38 7(Text11979 *388 (Text 11946 11980 va (VaSet 11947 11981 font "Arial,8,1" … … 12346 12380 stg "VerticalLayoutStrategy" 12347 12381 textVec [ 12348 *38 8(Text12382 *389 (Text 12349 12383 va (VaSet 12350 12384 font "Arial,8,1" … … 12354 12388 blo "14100,20800" 12355 12389 ) 12356 *3 89(MLText12390 *390 (MLText 12357 12391 va (VaSet 12358 12392 ) … … 12406 12440 stg "VerticalLayoutStrategy" 12407 12441 textVec [ 12408 *39 0(Text12442 *391 (Text 12409 12443 va (VaSet 12410 12444 font "Arial,8,1" … … 12414 12448 blo "14100,20800" 12415 12449 ) 12416 *39 1(MLText12450 *392 (MLText 12417 12451 va (VaSet 12418 12452 ) … … 12560 12594 suid 209,0 12561 12595 usingSuid 1 12562 emptyRow *39 2(LEmptyRow12596 emptyRow *393 (LEmptyRow 12563 12597 ) 12564 12598 uid 54,0 12565 12599 optionalChildren [ 12566 *39 3(RefLabelRowHdr12567 ) 12568 *39 4(TitleRowHdr12569 ) 12570 *39 5(FilterRowHdr12571 ) 12572 *39 6(RefLabelColHdr12600 *394 (RefLabelRowHdr 12601 ) 12602 *395 (TitleRowHdr 12603 ) 12604 *396 (FilterRowHdr 12605 ) 12606 *397 (RefLabelColHdr 12573 12607 tm "RefLabelColHdrMgr" 12574 12608 ) 12575 *39 7(RowExpandColHdr12609 *398 (RowExpandColHdr 12576 12610 tm "RowExpandColHdrMgr" 12577 12611 ) 12578 *39 8(GroupColHdr12612 *399 (GroupColHdr 12579 12613 tm "GroupColHdrMgr" 12580 12614 ) 12581 * 399(NameColHdr12615 *400 (NameColHdr 12582 12616 tm "BlockDiagramNameColHdrMgr" 12583 12617 ) 12584 *40 0(ModeColHdr12618 *401 (ModeColHdr 12585 12619 tm "BlockDiagramModeColHdrMgr" 12586 12620 ) 12587 *40 1(TypeColHdr12621 *402 (TypeColHdr 12588 12622 tm "BlockDiagramTypeColHdrMgr" 12589 12623 ) 12590 *40 2(BoundsColHdr12624 *403 (BoundsColHdr 12591 12625 tm "BlockDiagramBoundsColHdrMgr" 12592 12626 ) 12593 *40 3(InitColHdr12627 *404 (InitColHdr 12594 12628 tm "BlockDiagramInitColHdrMgr" 12595 12629 ) 12596 *40 4(EolColHdr12630 *405 (EolColHdr 12597 12631 tm "BlockDiagramEolColHdrMgr" 12598 12632 ) 12599 *40 5(LeafLogPort12633 *406 (LeafLogPort 12600 12634 port (LogicalPort 12601 12635 m 4 … … 12612 12646 uid 327,0 12613 12647 ) 12614 *40 6(LeafLogPort12648 *407 (LeafLogPort 12615 12649 port (LogicalPort 12616 12650 m 4 … … 12625 12659 uid 329,0 12626 12660 ) 12627 *40 7(LeafLogPort12661 *408 (LeafLogPort 12628 12662 port (LogicalPort 12629 12663 m 4 … … 12637 12671 uid 1491,0 12638 12672 ) 12639 *40 8(LeafLogPort12673 *409 (LeafLogPort 12640 12674 port (LogicalPort 12641 12675 m 1 … … 12650 12684 uid 2435,0 12651 12685 ) 12652 *4 09(LeafLogPort12686 *410 (LeafLogPort 12653 12687 port (LogicalPort 12654 12688 m 4 … … 12663 12697 uid 2437,0 12664 12698 ) 12665 *41 0(LeafLogPort12699 *411 (LeafLogPort 12666 12700 port (LogicalPort 12667 12701 m 4 … … 12676 12710 uid 3037,0 12677 12711 ) 12678 *41 1(LeafLogPort12712 *412 (LeafLogPort 12679 12713 port (LogicalPort 12680 12714 m 1 … … 12688 12722 uid 3039,0 12689 12723 ) 12690 *41 2(LeafLogPort12724 *413 (LeafLogPort 12691 12725 port (LogicalPort 12692 12726 decl (Decl … … 12701 12735 uid 3276,0 12702 12736 ) 12703 *41 3(LeafLogPort12737 *414 (LeafLogPort 12704 12738 port (LogicalPort 12705 12739 decl (Decl … … 12712 12746 uid 3278,0 12713 12747 ) 12714 *41 4(LeafLogPort12748 *415 (LeafLogPort 12715 12749 port (LogicalPort 12716 12750 m 1 … … 12725 12759 uid 3280,0