Changeset 10240 for firmware/FAD


Ignore:
Timestamp:
03/11/11 18:22:18 (14 years ago)
Author:
neise
Message:
 
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS
Files:
7 added
5 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hdl/w5300_emulator_beha.vhd

    r10225 r10240  
    2121      data : inout  std_logic_vector (15 DOWNTO 0);
    2222      rd   : in     std_logic;
     23      cs   : in     std_logic;
    2324      wr   : in     std_logic
    2425   );
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd

    r10225 r10240  
    206206(vvPair
    207207variable "date"
    208 value "02.03.2011"
     208value "04.03.2011"
    209209)
    210210(vvPair
    211211variable "day"
    212 value "Mi"
     212value "Fr"
    213213)
    214214(vvPair
    215215variable "day_long"
    216 value "Mittwoch"
     216value "Freitag"
    217217)
    218218(vvPair
    219219variable "dd"
    220 value "02"
     220value "04"
    221221)
    222222(vvPair
     
    354354(vvPair
    355355variable "time"
    356 value "15:31:34"
     356value "11:37:19"
    357357)
    358358(vvPair
     
    406406bg "0,0,32768"
    407407)
    408 xt "109200,97000,119000,98000"
     408xt "109200,97000,120300,98000"
    409409st "
    410410by %user on %dd %month %year
     
    437437bg "0,0,32768"
    438438)
    439 xt "126200,93000,129200,94000"
     439xt "126200,93000,129500,94000"
    440440st "
    441441Project:
     
    468468bg "0,0,32768"
    469469)
    470 xt "109200,95000,119200,96000"
     470xt "109200,95000,120100,96000"
    471471st "
    472472<enter diagram title here>
     
    499499bg "0,0,32768"
    500500)
    501 xt "105200,95000,107300,96000"
     501xt "105200,95000,107500,96000"
    502502st "
    503503Title:
     
    530530bg "0,0,32768"
    531531)
    532 xt "126200,94200,135400,95200"
     532xt "126200,94200,136000,95200"
    533533st "
    534534<enter comments here>
     
    560560bg "0,0,32768"
    561561)
    562 xt "130200,93000,134700,94000"
     562xt "130200,93000,134900,94000"
    563563st "
    564564%project_name
     
    590590fg "32768,0,0"
    591591)
    592 xt "112700,93000,118300,95000"
     592xt "112450,93000,118550,95000"
    593593st "
    594594TU Dortmund
     
    623623bg "0,0,32768"
    624624)
    625 xt "105200,96000,107300,97000"
     625xt "105200,96000,107500,97000"
    626626st "
    627627Path:
     
    654654bg "0,0,32768"
    655655)
    656 xt "105200,97000,107900,98000"
     656xt "105200,97000,108300,98000"
    657657st "
    658658Edited:
     
    685685bg "0,0,32768"
    686686)
    687 xt "109200,96000,123400,97000"
     687xt "109200,96000,125800,97000"
    688688st "
    689689%library/%unit/%view
     
    30153015va (VaSet
    30163016)
    3017 xt "50200,45200,60200,48200"
     3017xt "50200,45200,58200,49200"
    30183018st "
    30193019-- eb_ID 1: hard-wired IDs
     
    33873387va (VaSet
    33883388)
    3389 xt "50200,57200,62100,66200"
     3389xt "50200,57200,60900,67200"
    33903390st "
    33913391-- eb_adc 2: ADC routing
     
    40014001va (VaSet
    40024002)
    4003 xt "27200,72200,39400,77200"
     4003xt "27200,72200,40200,77200"
    40044004st "
    40054005
     
    43394339va (VaSet
    43404340)
    4341 xt "124000,20500,128500,21500"
     4341xt "124000,20500,129100,21500"
    43424342st "addr : (9:0)"
    43434343blo "124000,21300"
     
    43764376va (VaSet
    43774377)
    4378 xt "124000,21500,128800,22500"
     4378xt "124000,21500,129400,22500"
    43794379st "data : (15:0)"
    43804380blo "124000,22300"
     
    44144414va (VaSet
    44154415)
    4416 xt "124000,24500,125100,25500"
     4416xt "124000,24500,125300,25500"
    44174417st "rd"
    44184418blo "124000,25300"
     
    44504450va (VaSet
    44514451)
    4452 xt "124000,25500,125200,26500"
     4452xt "124000,25500,125400,26500"
    44534453st "wr"
    44544454blo "124000,26300"
     
    44614461preAdd 0
    44624462posAdd 0
    4463 o 5
     4463o 6
    44644464suid 4,0
    44654465)
     
    44864486va (VaSet
    44874487)
    4488 xt "124000,26500,125200,27500"
     4488xt "124000,26500,125400,27500"
    44894489st "int"
    44904490blo "124000,27300"
    4491 )
    4492 t (Text
    4493 uid 2335,0
    4494 va (VaSet
    4495 )
    4496 xt "124000,27500,125200,28500"
    4497 st "'1'"
    4498 blo "124000,28300"
    44994491)
    45004492)
     
    45074499suid 5,0
    45084500i "'1'"
     4501)
     4502)
     4503)
     4504*152 (CptPort
     4505uid 2548,0
     4506ps "OnEdgeStrategy"
     4507shape (Triangle
     4508uid 2549,0
     4509ro 90
     4510va (VaSet
     4511vasetType 1
     4512fg "0,65535,0"
     4513)
     4514xt "122250,27625,123000,28375"
     4515)
     4516tg (CPTG
     4517uid 2550,0
     4518ps "CptPortTextPlaceStrategy"
     4519stg "VerticalLayoutStrategy"
     4520f (Text
     4521uid 2551,0
     4522va (VaSet
     4523)
     4524xt "124000,27500,125200,28500"
     4525st "cs"
     4526blo "124000,28300"
     4527)
     4528)
     4529thePort (LogicalPort
     4530decl (Decl
     4531n "cs"
     4532t "std_logic"
     4533o 5
     4534suid 6,0
    45094535)
    45104536)
     
    45274553stg "VerticalLayoutStrategy"
    45284554textVec [
    4529 *152 (Text
     4555*153 (Text
    45304556uid 2339,0
    45314557va (VaSet
     
    45374563tm "BdLibraryNameMgr"
    45384564)
    4539 *153 (Text
     4565*154 (Text
    45404566uid 2340,0
    45414567va (VaSet
     
    45474573tm "CptNameMgr"
    45484574)
    4549 *154 (Text
     4575*155 (Text
    45504576uid 2341,0
    45514577va (VaSet
     
    45914617viewiconposition 0
    45924618portVis (PortSigDisplay
    4593 sIVOD 1
    45944619)
    45954620archFileType "UNKNOWN"
    45964621)
    4597 *155 (Wire
     4622*156 (Wire
    45984623uid 286,0
    45994624shape (OrthoPolyLine
     
    46314656on &64
    46324657)
    4633 *156 (Wire
     4658*157 (Wire
    46344659uid 318,0
    46354660shape (OrthoPolyLine
     
    46694694on &65
    46704695)
    4671 *157 (Wire
     4696*158 (Wire
    46724697uid 324,0
    46734698shape (OrthoPolyLine
     
    47074732on &66
    47084733)
    4709 *158 (Wire
     4734*159 (Wire
    47104735uid 330,0
    47114736shape (OrthoPolyLine
     
    47434768on &67
    47444769)
    4745 *159 (Wire
     4770*160 (Wire
    47464771uid 336,0
    47474772shape (OrthoPolyLine
     
    47794804on &68
    47804805)
    4781 *160 (Wire
     4806*161 (Wire
    47824807uid 374,0
    47834808shape (OrthoPolyLine
     
    48194844on &76
    48204845)
    4821 *161 (Wire
     4846*162 (Wire
    48224847uid 380,0
    48234848shape (OrthoPolyLine
     
    48554880on &77
    48564881)
    4857 *162 (Wire
     4882*163 (Wire
    48584883uid 386,0
    48594884shape (OrthoPolyLine
     
    48914916on &78
    48924917)
    4893 *163 (Wire
     4918*164 (Wire
    48944919uid 426,0
    48954920shape (OrthoPolyLine
     
    49264951on &84
    49274952)
    4928 *164 (Wire
     4953*165 (Wire
    49294954uid 442,0
    49304955shape (OrthoPolyLine
     
    49664991on &89
    49674992)
    4968 *165 (Wire
     4993*166 (Wire
    49694994uid 450,0
    49704995shape (OrthoPolyLine
     
    50065031on &90
    50075032)
    5008 *166 (Wire
     5033*167 (Wire
    50095034uid 530,0
    50105035shape (OrthoPolyLine
     
    50465071on &103
    50475072)
    5048 *167 (Wire
     5073*168 (Wire
    50495074uid 538,0
    50505075shape (OrthoPolyLine
     
    50865111on &104
    50875112)
    5088 *168 (Wire
     5113*169 (Wire
    50895114uid 546,0
    50905115shape (OrthoPolyLine
     
    51245149on &105
    51255150)
    5126 *169 (Wire
     5151*170 (Wire
    51275152uid 554,0
    51285153shape (OrthoPolyLine
     
    51595184on &105
    51605185)
    5161 *170 (Wire
     5186*171 (Wire
    51625187uid 562,0
    51635188shape (OrthoPolyLine
     
    51945219on &106
    51955220)
    5196 *171 (Wire
     5221*172 (Wire
    51975222uid 570,0
    51985223shape (OrthoPolyLine
     
    52315256on &107
    52325257)
    5233 *172 (Wire
     5258*173 (Wire
    52345259uid 578,0
    52355260shape (OrthoPolyLine
     
    52655290on &144
    52665291)
    5267 *173 (Wire
     5292*174 (Wire
    52685293uid 769,0
    52695294shape (OrthoPolyLine
     
    53005325on &108
    53015326)
    5302 *174 (Wire
     5327*175 (Wire
    53035328uid 777,0
    53045329shape (OrthoPolyLine
     
    53375362on &109
    53385363)
    5339 *175 (Wire
     5364*176 (Wire
    53405365uid 785,0
    53415366shape (OrthoPolyLine
     
    53445369vasetType 3
    53455370)
    5346 xt "109750,28000,116000,28000"
     5371xt "109750,28000,122250,28000"
    53475372pts [
    53485373"109750,28000"
    5349 "116000,28000"
     5374"122250,28000"
    53505375]
    53515376)
    53525377start &21
     5378end &152
    53535379sat 32
    5354 eat 16
     5380eat 32
    53555381st 0
    53565382sf 1
     
    53725398on &110
    53735399)
    5374 *176 (Wire
     5400*177 (Wire
    53755401uid 793,0
    53765402shape (OrthoPolyLine
     
    54085434on &111
    54095435)
    5410 *177 (Wire
     5436*178 (Wire
    54115437uid 801,0
    54125438shape (OrthoPolyLine
     
    54435469on &112
    54445470)
    5445 *178 (Wire
     5471*179 (Wire
    54465472uid 809,0
    54475473shape (OrthoPolyLine
     
    54785504on &113
    54795505)
    5480 *179 (Wire
     5506*180 (Wire
    54815507uid 817,0
    54825508shape (OrthoPolyLine
     
    55135539on &114
    55145540)
    5515 *180 (Wire
     5541*181 (Wire
    55165542uid 825,0
    55175543shape (OrthoPolyLine
     
    55485574on &115
    55495575)
    5550 *181 (Wire
     5576*182 (Wire
    55515577uid 833,0
    55525578shape (OrthoPolyLine
     
    55835609on &116
    55845610)
    5585 *182 (Wire
     5611*183 (Wire
    55865612uid 841,0
    55875613shape (OrthoPolyLine
     
    56205646on &117
    56215647)
    5622 *183 (Wire
     5648*184 (Wire
    56235649uid 849,0
    56245650shape (OrthoPolyLine
     
    56565682on &118
    56575683)
    5658 *184 (Wire
     5684*185 (Wire
    56595685uid 857,0
    56605686shape (OrthoPolyLine
     
    56915717on &119
    56925718)
    5693 *185 (Wire
     5719*186 (Wire
    56945720uid 865,0
    56955721shape (OrthoPolyLine
     
    57265752on &120
    57275753)
    5728 *186 (Wire
     5754*187 (Wire
    57295755uid 873,0
    57305756shape (OrthoPolyLine
     
    57615787on &121
    57625788)
    5763 *187 (Wire
     5789*188 (Wire
    57645790uid 881,0
    57655791shape (OrthoPolyLine
     
    57965822on &122
    57975823)
    5798 *188 (Wire
     5824*189 (Wire
    57995825uid 889,0
    58005826shape (OrthoPolyLine
     
    58315857on &123
    58325858)
    5833 *189 (Wire
     5859*190 (Wire
    58345860uid 897,0
    58355861shape (OrthoPolyLine
     
    58665892on &124
    58675893)
    5868 *190 (Wire
     5894*191 (Wire
    58695895uid 1437,0
    58705896shape (OrthoPolyLine
     
    59015927on &125
    59025928)
    5903 *191 (Wire
     5929*192 (Wire
    59045930uid 1445,0
    59055931shape (OrthoPolyLine
     
    59365962on &126
    59375963)
    5938 *192 (Wire
     5964*193 (Wire
    59395965uid 1453,0
    59405966shape (OrthoPolyLine
     
    59715997on &127
    59725998)
    5973 *193 (Wire
     5999*194 (Wire
    59746000uid 1461,0
    59756001shape (OrthoPolyLine
     
    60066032on &128
    60076033)
    6008 *194 (Wire
     6034*195 (Wire
    60096035uid 1469,0
    60106036shape (OrthoPolyLine
     
    60436069on &129
    60446070)
    6045 *195 (Wire
     6071*196 (Wire
    60466072uid 1477,0
    60476073shape (OrthoPolyLine
     
    60786104on &130
    60796105)
    6080 *196 (Wire
     6106*197 (Wire
    60816107uid 1485,0
    60826108shape (OrthoPolyLine
     
    61136139on &131
    61146140)
    6115 *197 (Wire
     6141*198 (Wire
    61166142uid 1503,0
    61176143shape (OrthoPolyLine
     
    61506176on &136
    61516177)
    6152 *198 (Wire
     6178*199 (Wire
    61536179uid 1529,0
    61546180shape (OrthoPolyLine
     
    61886214on &145
    61896215)
    6190 *199 (Wire
     6216*200 (Wire
    61916217uid 1533,0
    61926218shape (OrthoPolyLine
     
    62236249on &136
    62246250)
    6225 *200 (Wire
     6251*201 (Wire
    62266252uid 1561,0
    62276253shape (OrthoPolyLine
     
    62606286on &143
    62616287)
    6262 *201 (Wire
     6288*202 (Wire
    62636289uid 1567,0
    62646290shape (OrthoPolyLine
     
    62956321on &143
    62966322)
    6297 *202 (Wire
     6323*203 (Wire
    62986324uid 1684,0
    62996325shape (OrthoPolyLine
     
    63426368color "26368,26368,26368"
    63436369)
    6344 packageList *203 (PackageList
     6370packageList *204 (PackageList
    63456371uid 41,0
    63466372stg "VerticalLayoutStrategy"
    63476373textVec [
    6348 *204 (Text
     6374*205 (Text
    63496375uid 42,0
    63506376va (VaSet
     
    63556381blo "-87000,800"
    63566382)
    6357 *205 (MLText
     6383*206 (MLText
    63586384uid 43,0
    63596385va (VaSet
    63606386)
    6361 xt "-87000,1000,-72500,11000"
     6387xt "-87000,1000,-70900,11000"
    63626388st "LIBRARY ieee;
    63636389USE ieee.std_logic_1164.all;
     
    63786404stg "VerticalLayoutStrategy"
    63796405textVec [
    6380 *206 (Text
     6406*207 (Text
    63816407uid 45,0
    63826408va (VaSet
     
    63886414blo "20000,800"
    63896415)
    6390 *207 (Text
     6416*208 (Text
    63916417uid 46,0
    63926418va (VaSet
     
    63986424blo "20000,1800"
    63996425)
    6400 *208 (MLText
     6426*209 (MLText
    64016427uid 47,0
    64026428va (VaSet
    64036429isHidden 1
    64046430)
    6405 xt "20000,2000,27500,4000"
     6431xt "20000,2000,28200,4000"
    64066432st "`resetall
    64076433`timescale 1ns/10ps"
    64086434tm "BdCompilerDirectivesTextMgr"
    64096435)
    6410 *209 (Text
     6436*210 (Text
    64116437uid 48,0
    64126438va (VaSet
     
    64186444blo "20000,4800"
    64196445)
    6420 *210 (MLText
     6446*211 (MLText
    64216447uid 49,0
    64226448va (VaSet
     
    64266452tm "BdCompilerDirectivesTextMgr"
    64276453)
    6428 *211 (Text
     6454*212 (Text
    64296455uid 50,0
    64306456va (VaSet
     
    64366462blo "20000,5800"
    64376463)
    6438 *212 (MLText
     6464*213 (MLText
    64396465uid 51,0
    64406466va (VaSet
     
    64486474)
    64496475windowSize "0,0,1681,1030"
    6450 viewArea "60000,4200,152106,60144"
     6476viewArea "60000,4200,152106,61908"
    64516477cachedDiagramExtent "-92000,0,146000,98000"
    64526478pageSetupInfo (PageSetupInfo
     
    64616487hasePageBreakOrigin 1
    64626488pageBreakOrigin "-146000,0"
    6463 lastUid 2446,0
     6489lastUid 2551,0
    64646490defaultCommentText (CommentText
    64656491shape (Rectangle
     
    64766502fg "0,0,32768"
    64776503)
    6478 xt "200,200,2000,1200"
     6504xt "200,200,2400,1200"
    64796505st "
    64806506Text
     
    65236549stg "VerticalLayoutStrategy"
    65246550textVec [
    6525 *213 (Text
     6551*214 (Text
    65266552va (VaSet
    65276553font "Arial,8,1"
     
    65326558tm "BdLibraryNameMgr"
    65336559)
    6534 *214 (Text
     6560*215 (Text
    65356561va (VaSet
    65366562font "Arial,8,1"
     
    65416567tm "BlkNameMgr"
    65426568)
    6543 *215 (Text
     6569*216 (Text
    65446570va (VaSet
    65456571font "Arial,8,1"
     
    65926618stg "VerticalLayoutStrategy"
    65936619textVec [
    6594 *216 (Text
     6620*217 (Text
    65956621va (VaSet
    65966622font "Arial,8,1"
     
    66006626blo "550,4300"
    66016627)
    6602 *217 (Text
     6628*218 (Text
    66036629va (VaSet
    66046630font "Arial,8,1"
     
    66086634blo "550,5300"
    66096635)
    6610 *218 (Text
     6636*219 (Text
    66116637va (VaSet
    66126638font "Arial,8,1"
     
    66576683stg "VerticalLayoutStrategy"
    66586684textVec [
    6659 *219 (Text
     6685*220 (Text
    66606686va (VaSet
    66616687font "Arial,8,1"
     
    66666692tm "BdLibraryNameMgr"
    66676693)
    6668 *220 (Text
     6694*221 (Text
    66696695va (VaSet
    66706696font "Arial,8,1"
     
    66756701tm "CptNameMgr"
    66766702)
    6677 *221 (Text
     6703*222 (Text
    66786704va (VaSet
    66796705font "Arial,8,1"
     
    67296755stg "VerticalLayoutStrategy"
    67306756textVec [
    6731 *222 (Text
     6757*223 (Text
    67326758va (VaSet
    67336759font "Arial,8,1"
     
    67376763blo "500,4300"
    67386764)
    6739 *223 (Text
     6765*224 (Text
    67406766va (VaSet
    67416767font "Arial,8,1"
     
    67456771blo "500,5300"
    67466772)
    6747 *224 (Text
     6773*225 (Text
    67486774va (VaSet
    67496775font "Arial,8,1"
     
    67906816stg "VerticalLayoutStrategy"
    67916817textVec [
    6792 *225 (Text
     6818*226 (Text
    67936819va (VaSet
    67946820font "Arial,8,1"
     
    67986824blo "50,4300"
    67996825)
    6800 *226 (Text
     6826*227 (Text
    68016827va (VaSet
    68026828font "Arial,8,1"
     
    68066832blo "50,5300"
    68076833)
    6808 *227 (Text
     6834*228 (Text
    68096835va (VaSet
    68106836font "Arial,8,1"
     
    68476873stg "VerticalLayoutStrategy"
    68486874textVec [
    6849 *228 (Text
     6875*229 (Text
    68506876va (VaSet
    68516877font "Arial,8,1"
     
    68566882tm "HdlTextNameMgr"
    68576883)
    6858 *229 (Text
     6884*230 (Text
    68596885va (VaSet
    68606886font "Arial,8,1"
     
    68946920va (VaSet
    68956921)
    6896 xt "200,200,2000,1200"
     6922xt "200,200,2400,1200"
    68976923st "
    68986924Text
     
    72327258va (VaSet
    72337259)
    7234 xt "0,-1100,12600,-100"
     7260xt "0,-1100,12900,-100"
    72357261st "g0: FOR i IN 0 TO n GENERATE"
    72367262tm "FrameTitleTextMgr"
     
    72597285stg "VerticalLayoutStrategy"
    72607286textVec [
    7261 *230 (Text
     7287*231 (Text
    72627288va (VaSet
    72637289font "Arial,8,1"
     
    72677293blo "14100,20800"
    72687294)
    7269 *231 (MLText
     7295*232 (MLText
    72707296va (VaSet
    72717297)
     
    72927318va (VaSet
    72937319)
    7294 xt "0,-1100,7400,-100"
     7320xt "0,-1100,7700,-100"
    72957321st "b0: BLOCK (guard)"
    72967322tm "FrameTitleTextMgr"
     
    73197345stg "VerticalLayoutStrategy"
    73207346textVec [
    7321 *232 (Text
     7347*233 (Text
    73227348va (VaSet
    73237349font "Arial,8,1"
     
    73277353blo "14100,20800"
    73287354)
    7329 *233 (MLText
     7355*234 (MLText
    73307356va (VaSet
    73317357)
     
    74737499suid 51,0
    74747500usingSuid 1
    7475 emptyRow *234 (LEmptyRow
     7501emptyRow *235 (LEmptyRow
    74767502)
    74777503uid 54,0
    74787504optionalChildren [
    7479 *235 (RefLabelRowHdr
    7480 )
    7481 *236 (TitleRowHdr
    7482 )
    7483 *237 (FilterRowHdr
    7484 )
    7485 *238 (RefLabelColHdr
     7505*236 (RefLabelRowHdr
     7506)
     7507*237 (TitleRowHdr
     7508)
     7509*238 (FilterRowHdr
     7510)
     7511*239 (RefLabelColHdr
    74867512tm "RefLabelColHdrMgr"
    74877513)
    7488 *239 (RowExpandColHdr
     7514*240 (RowExpandColHdr
    74897515tm "RowExpandColHdrMgr"
    74907516)
    7491 *240 (GroupColHdr
     7517*241 (GroupColHdr
    74927518tm "GroupColHdrMgr"
    74937519)
    7494 *241 (NameColHdr
     7520*242 (NameColHdr
    74957521tm "BlockDiagramNameColHdrMgr"
    74967522)
    7497 *242 (ModeColHdr
     7523*243 (ModeColHdr
    74987524tm "BlockDiagramModeColHdrMgr"
    74997525)
    7500 *243 (TypeColHdr
     7526*244 (TypeColHdr
    75017527tm "BlockDiagramTypeColHdrMgr"
    75027528)
    7503 *244 (BoundsColHdr
     7529*245 (BoundsColHdr
    75047530tm "BlockDiagramBoundsColHdrMgr"
    75057531)
    7506 *245 (InitColHdr
     7532*246 (InitColHdr
    75077533tm "BlockDiagramInitColHdrMgr"
    75087534)
    7509 *246 (EolColHdr
     7535*247 (EolColHdr
    75107536tm "BlockDiagramEolColHdrMgr"
    75117537)
    7512 *247 (LeafLogPort
     7538*248 (LeafLogPort
    75137539port (LogicalPort
    75147540m 4
     
    75247550uid 340,0
    75257551)
    7526 *248 (LeafLogPort
     7552*249 (LeafLogPort
    75277553port (LogicalPort
    75287554m 4
     
    75377563uid 342,0
    75387564)
    7539 *249 (LeafLogPort
     7565*250 (LeafLogPort
    75407566port (LogicalPort
    75417567m 4
     
    75507576uid 344,0
    75517577)
    7552 *250 (LeafLogPort
     7578*251 (LeafLogPort
    75537579port (LogicalPort
    75547580m 4
     
    75637589uid 346,0
    75647590)
    7565 *251 (LeafLogPort
     7591*252 (LeafLogPort
    75667592port (LogicalPort
    75677593m 4
     
    75767602uid 348,0
    75777603)
    7578 *252 (LeafLogPort
     7604*253 (LeafLogPort
    75797605port (LogicalPort
    75807606m 4
     
    75897615uid 404,0
    75907616)
    7591 *253 (LeafLogPort
     7617*254 (LeafLogPort
    75927618port (LogicalPort
    75937619m 4
     
    76017627uid 406,0
    76027628)
    7603 *254 (LeafLogPort
     7629*255 (LeafLogPort
    76047630port (LogicalPort
    76057631m 4
     
    76157641uid 408,0
    76167642)
    7617 *255 (LeafLogPort
     7643*256 (LeafLogPort
    76187644port (LogicalPort
    76197645m 4
     
    76297655uid 456,0
    76307656)
    7631 *256 (LeafLogPort
     7657*257 (LeafLogPort
    76327658port (LogicalPort
    76337659m 4
     
    76447670uid 458,0
    76457671)
    7646 *257 (LeafLogPort
     7672*258 (LeafLogPort
    76477673port (LogicalPort
    76487674m 4
     
    76577683uid 460,0
    76587684)
    7659 *258 (LeafLogPort
     7685*259 (LeafLogPort
    76607686port (LogicalPort
    76617687m 4
     
    76707696uid 584,0
    76717697)
    7672 *259 (LeafLogPort
     7698*260 (LeafLogPort
    76737699port (LogicalPort
    76747700m 4
     
    76827708uid 586,0
    76837709)
    7684 *260 (LeafLogPort
     7710*261 (LeafLogPort
    76857711port (LogicalPort
    76867712m 4
     
    76967722uid 588,0
    76977723)
    7698 *261 (LeafLogPort
     7724*262 (LeafLogPort
    76997725port (LogicalPort
    77007726m 4
     
    77107736uid 590,0
    77117737)
    7712 *262 (LeafLogPort
     7738*263 (LeafLogPort
    77137739port (LogicalPort
    77147740m 4
     
    77257751uid 592,0
    77267752)
    7727 *263 (LeafLogPort
     7753*264 (LeafLogPort
    77287754port (LogicalPort
    77297755m 4
     
    77387764uid 903,0
    77397765)
    7740 *264 (LeafLogPort
     7766*265 (LeafLogPort
    77417767port (LogicalPort
    77427768m 4
     
    77537779uid 905,0
    77547780)
    7755 *265 (LeafLogPort
     7781*266 (LeafLogPort
    77567782port (LogicalPort
    77577783m 4
     
    77667792uid 907,0
    77677793)
    7768 *266 (LeafLogPort
    7769 port (LogicalPort
    7770 m 4
    7771 decl (Decl
    7772 n "wiz_int"
    7773 t "std_logic"
    7774 o 24
    7775 suid 26,0
    7776 )
    7777 )
    7778 uid 909,0
    7779 )
    77807794*267 (LeafLogPort
    77817795port (LogicalPort
    77827796m 4
    77837797decl (Decl
    7784 n "dac_cs"
    7785 t "std_logic"
    7786 o 25
    7787 suid 27,0
    7788 )
    7789 )
    7790 uid 911,0
     7798n "wiz_int"
     7799t "std_logic"
     7800o 24
     7801suid 26,0
     7802)
     7803)
     7804uid 909,0
    77917805)
    77927806*268 (LeafLogPort
     
    77947808m 4
    77957809decl (Decl
     7810n "dac_cs"
     7811t "std_logic"
     7812o 25
     7813suid 27,0
     7814)
     7815)
     7816uid 911,0
     7817)
     7818*269 (LeafLogPort
     7819port (LogicalPort
     7820m 4
     7821decl (Decl
    77967822n "mosi"
    77977823t "std_logic"
     
    78037829uid 913,0
    78047830)
    7805 *269 (LeafLogPort
     7831*270 (LeafLogPort
    78067832port (LogicalPort
    78077833m 4
     
    78187844uid 915,0
    78197845)
    7820 *270 (LeafLogPort
    7821 port (LogicalPort
    7822 m 4
    7823 decl (Decl
    7824 n "CLK_25_PS"
    7825 t "std_logic"
    7826 o 28
    7827 suid 30,0
    7828 )
    7829 )
    7830 uid 917,0
    7831 )
    78327846*271 (LeafLogPort
    78337847port (LogicalPort
    78347848m 4
    78357849decl (Decl
     7850n "CLK_25_PS"
     7851t "std_logic"
     7852o 28
     7853suid 30,0
     7854)
     7855)
     7856uid 917,0
     7857)
     7858*272 (LeafLogPort
     7859port (LogicalPort
     7860m 4
     7861decl (Decl
    78367862n "CLK_50"
    78377863t "std_logic"
     
    78427868uid 919,0
    78437869)
    7844 *272 (LeafLogPort
     7870*273 (LeafLogPort
    78457871port (LogicalPort
    78467872m 4
     
    78567882uid 921,0
    78577883)
    7858 *273 (LeafLogPort
     7884*274 (LeafLogPort
    78597885port (LogicalPort
    78607886m 4
     
    78697895uid 923,0
    78707896)
    7871 *274 (LeafLogPort
     7897*275 (LeafLogPort
    78727898port (LogicalPort
    78737899m 4
     
    78827908uid 925,0
    78837909)
    7884 *275 (LeafLogPort
     7910*276 (LeafLogPort
    78857911port (LogicalPort
    78867912m 4
     
    78957921uid 927,0
    78967922)
    7897 *276 (LeafLogPort
    7898 port (LogicalPort
    7899 m 4
    7900 decl (Decl
    7901 n "SROUT_in_0"
    7902 t "std_logic"
    7903 o 30
    7904 suid 36,0
    7905 )
    7906 )
    7907 uid 929,0
    7908 )
    79097923*277 (LeafLogPort
    79107924port (LogicalPort
    79117925m 4
    79127926decl (Decl
    7913 n "SROUT_in_1"
    7914 t "std_logic"
    7915 o 31
    7916 suid 37,0
    7917 )
    7918 )
    7919 uid 931,0
     7927n "SROUT_in_0"
     7928t "std_logic"
     7929o 30
     7930suid 36,0
     7931)
     7932)
     7933uid 929,0
    79207934)
    79217935*278 (LeafLogPort
     
    79237937m 4
    79247938decl (Decl
    7925 n "SROUT_in_2"
    7926 t "std_logic"
    7927 o 32
    7928 suid 38,0
    7929 )
    7930 )
    7931 uid 933,0
     7939n "SROUT_in_1"
     7940t "std_logic"
     7941o 31
     7942suid 37,0
     7943)
     7944)
     7945uid 931,0
    79327946)
    79337947*279 (LeafLogPort
     
    79357949m 4
    79367950decl (Decl
    7937 n "SROUT_in_3"
    7938 t "std_logic"
    7939 o 33
    7940 suid 39,0
    7941 )
    7942 )
    7943 uid 935,0
     7951n "SROUT_in_2"
     7952t "std_logic"
     7953o 32
     7954suid 38,0
     7955)
     7956)
     7957uid 933,0
    79447958)
    79457959*280 (LeafLogPort
     
    79477961m 4
    79487962decl (Decl
     7963n "SROUT_in_3"
     7964t "std_logic"
     7965o 33
     7966suid 39,0
     7967)
     7968)
     7969uid 935,0
     7970)
     7971*281 (LeafLogPort
     7972port (LogicalPort
     7973m 4
     7974decl (Decl
    79497975n "SRIN_out"
    79507976t "std_logic"
     
    79567982uid 1541,0
    79577983)
    7958 *281 (LeafLogPort
    7959 port (LogicalPort
    7960 m 4
    7961 decl (Decl
    7962 n "amber"
    7963 t "std_logic"
    7964 o 35
    7965 suid 41,0
    7966 )
    7967 )
    7968 uid 1543,0
    7969 )
    79707984*282 (LeafLogPort
    79717985port (LogicalPort
    79727986m 4
    79737987decl (Decl
    7974 n "red"
    7975 t "std_logic"
    7976 o 36
    7977 suid 42,0
    7978 )
    7979 )
    7980 uid 1545,0
     7988n "amber"
     7989t "std_logic"
     7990o 35
     7991suid 41,0
     7992)
     7993)
     7994uid 1543,0
    79817995)
    79827996*283 (LeafLogPort
     
    79847998m 4
    79857999decl (Decl
     8000n "red"
     8001t "std_logic"
     8002o 36
     8003suid 42,0
     8004)
     8005)
     8006uid 1545,0
     8007)
     8008*284 (LeafLogPort
     8009port (LogicalPort
     8010m 4
     8011decl (Decl
    79868012n "green"
    79878013t "std_logic"
     
    79928018uid 1547,0
    79938019)
    7994 *284 (LeafLogPort
     8020*285 (LeafLogPort
    79958021port (LogicalPort
    79968022m 4
     
    80058031uid 1549,0
    80068032)
    8007 *285 (LeafLogPort
     8033*286 (LeafLogPort
    80088034port (LogicalPort
    80098035m 4
     
    80188044uid 1551,0
    80198045)
    8020 *286 (LeafLogPort
     8046*287 (LeafLogPort
    80218047port (LogicalPort
    80228048m 4
     
    80308056uid 1553,0
    80318057)
    8032 *287 (LeafLogPort
     8058*288 (LeafLogPort
    80338059port (LogicalPort
    80348060m 4
     
    80438069uid 1555,0
    80448070)
    8045 *288 (LeafLogPort
     8071*289 (LeafLogPort
    80468072port (LogicalPort
    80478073m 4
     
    80578083uid 1575,0
    80588084)
    8059 *289 (LeafLogPort
     8085*290 (LeafLogPort
    80608086port (LogicalPort
    80618087lang 2
     
    80708096uid 1690,0
    80718097)
    8072 *290 (LeafLogPort
     8098*291 (LeafLogPort
    80738099port (LogicalPort
    80748100m 4
     
    80908116uid 67,0
    80918117optionalChildren [
    8092 *291 (Sheet
     8118*292 (Sheet
    80938119sheetRow (SheetRow
    80948120headerVa (MVa
     
    81078133font "Tahoma,10,0"
    81088134)
    8109 emptyMRCItem *292 (MRCItem
    8110 litem &234
     8135emptyMRCItem *293 (MRCItem
     8136litem &235
    81118137pos 44
    81128138dimension 20
     
    81148140uid 69,0
    81158141optionalChildren [
    8116 *293 (MRCItem
    8117 litem &235
     8142*294 (MRCItem
     8143litem &236
    81188144pos 0
    81198145dimension 20
    81208146uid 70,0
    81218147)
    8122 *294 (MRCItem
    8123 litem &236
     8148*295 (MRCItem
     8149litem &237
    81248150pos 1
    81258151dimension 23
    81268152uid 71,0
    81278153)
    8128 *295 (MRCItem
    8129 litem &237
     8154*296 (MRCItem
     8155litem &238
    81308156pos 2
    81318157hidden 1
     
    81338159uid 72,0
    81348160)
    8135 *296 (MRCItem
    8136 litem &247
     8161*297 (MRCItem
     8162litem &248
    81378163pos 0
    81388164dimension 20
    81398165uid 341,0
    81408166)
    8141 *297 (MRCItem
    8142 litem &248
     8167*298 (MRCItem
     8168litem &249
    81438169pos 1
    81448170dimension 20
    81458171uid 343,0
    81468172)
    8147 *298 (MRCItem
    8148 litem &249
     8173*299 (MRCItem
     8174litem &250
    81498175pos 2
    81508176dimension 20
    81518177uid 345,0
    81528178)
    8153 *299 (MRCItem
    8154 litem &250
     8179*300 (MRCItem
     8180litem &251
    81558181pos 3
    81568182dimension 20
    81578183uid 347,0
    81588184)
    8159 *300 (MRCItem
    8160 litem &251
     8185*301 (MRCItem
     8186litem &252
    81618187pos 4
    81628188dimension 20
    81638189uid 349,0
    81648190)
    8165 *301 (MRCItem
    8166 litem &252
     8191*302 (MRCItem
     8192litem &253
    81678193pos 5
    81688194dimension 20
    81698195uid 405,0
    81708196)
    8171 *302 (MRCItem
    8172 litem &253
     8197*303 (MRCItem
     8198litem &254
    81738199pos 6
    81748200dimension 20
    81758201uid 407,0
    81768202)
    8177 *303 (MRCItem
    8178 litem &254
     8203*304 (MRCItem
     8204litem &255
    81798205pos 7
    81808206dimension 20
    81818207uid 409,0
    81828208)
    8183 *304 (MRCItem
    8184 litem &255
     8209*305 (MRCItem
     8210litem &256
    81858211pos 8
    81868212dimension 20
    81878213uid 457,0
    81888214)
    8189 *305 (MRCItem
    8190 litem &256
     8215*306 (MRCItem
     8216litem &257
    81918217pos 9
    81928218dimension 20
    81938219uid 459,0
    81948220)
    8195 *306 (MRCItem
    8196 litem &257
     8221*307 (MRCItem
     8222litem &258
    81978223pos 10
    81988224dimension 20
    81998225uid 461,0
    82008226)
    8201 *307 (MRCItem
    8202 litem &258
     8227*308 (MRCItem
     8228litem &259
    82038229pos 11
    82048230dimension 20
    82058231uid 585,0
    82068232)
    8207 *308 (MRCItem
    8208 litem &259
     8233*309 (MRCItem
     8234litem &260
    82098235pos 12
    82108236dimension 20
    82118237uid 587,0
    82128238)
    8213 *309 (MRCItem
    8214 litem &260
     8239*310 (MRCItem
     8240litem &261
    82158241pos 13
    82168242dimension 20
    82178243uid 589,0
    82188244)
    8219 *310 (MRCItem
    8220 litem &261
     8245*311 (MRCItem
     8246litem &262
    82218247pos 14
    82228248dimension 20
    82238249uid 591,0
    82248250)
    8225 *311 (MRCItem
    8226 litem &262
     8251*312 (MRCItem
     8252litem &263
    82278253pos 15
    82288254dimension 20
    82298255uid 593,0
    82308256)
    8231 *312 (MRCItem
    8232 litem &263
     8257*313 (MRCItem
     8258litem &264
    82338259pos 16
    82348260dimension 20
    82358261uid 904,0
    82368262)
    8237 *313 (MRCItem
    8238 litem &264
     8263*314 (MRCItem
     8264litem &265
    82398265pos 17
    82408266dimension 20
    82418267uid 906,0
    82428268)
    8243 *314 (MRCItem
    8244 litem &265
     8269*315 (MRCItem
     8270litem &266
    82458271pos 18
    82468272dimension 20
    82478273uid 908,0
    82488274)
    8249 *315 (MRCItem
    8250 litem &266
     8275*316 (MRCItem
     8276litem &267
    82518277pos 19
    82528278dimension 20
    82538279uid 910,0
    82548280)
    8255 *316 (MRCItem
    8256 litem &267
     8281*317 (MRCItem
     8282litem &268
    82578283pos 20
    82588284dimension 20
    82598285uid 912,0
    82608286)
    8261 *317 (MRCItem
    8262 litem &268
     8287*318 (MRCItem
     8288litem &269
    82638289pos 21
    82648290dimension 20
    82658291uid 914,0
    82668292)
    8267 *318 (MRCItem
    8268 litem &269
     8293*319 (MRCItem
     8294litem &270
    82698295pos 22
    82708296dimension 20
    82718297uid 916,0
    82728298)
    8273 *319 (MRCItem
    8274 litem &270
     8299*320 (MRCItem
     8300litem &271
    82758301pos 23
    82768302dimension 20
    82778303uid 918,0
    82788304)
    8279 *320 (MRCItem
    8280 litem &271
     8305*321 (MRCItem
     8306litem &272
    82818307pos 24
    82828308dimension 20
    82838309uid 920,0
    82848310)
    8285 *321 (MRCItem
    8286 litem &272
     8311*322 (MRCItem
     8312litem &273
    82878313pos 25
    82888314dimension 20
    82898315uid 922,0
    82908316)
    8291 *322 (MRCItem
    8292 litem &273
     8317*323 (MRCItem
     8318litem &274
    82938319pos 26
    82948320dimension 20
    82958321uid 924,0
    82968322)
    8297 *323 (MRCItem
    8298 litem &274
     8323*324 (MRCItem
     8324litem &275
    82998325pos 27
    83008326dimension 20
    83018327uid 926,0
    83028328)
    8303 *324 (MRCItem
    8304 litem &275
     8329*325 (MRCItem
     8330litem &276
    83058331pos 28
    83068332dimension 20
    83078333uid 928,0
    83088334)
    8309 *325 (MRCItem
    8310 litem &276
     8335*326 (MRCItem
     8336litem &277
    83118337pos 29
    83128338dimension 20
    83138339uid 930,0
    83148340)
    8315 *326 (MRCItem
    8316 litem &277
     8341*327 (MRCItem
     8342litem &278
    83178343pos 30
    83188344dimension 20
    83198345uid 932,0
    83208346)
    8321 *327 (MRCItem
    8322 litem &278
     8347*328 (MRCItem
     8348litem &279
    83238349pos 31
    83248350dimension 20
    83258351uid 934,0
    83268352)
    8327 *328 (MRCItem
    8328 litem &279
     8353*329 (MRCItem
     8354litem &280
    83298355pos 32
    83308356dimension 20
    83318357uid 936,0
    83328358)
    8333 *329 (MRCItem
    8334 litem &280
     8359*330 (MRCItem
     8360litem &281
    83358361pos 33
    83368362dimension 20
    83378363uid 1542,0
    83388364)
    8339 *330 (MRCItem
    8340 litem &281
     8365*331 (MRCItem
     8366litem &282
    83418367pos 34
    83428368dimension 20
    83438369uid 1544,0
    83448370)
    8345 *331 (MRCItem
    8346 litem &282
     8371*332 (MRCItem
     8372litem &283
    83478373pos 35
    83488374dimension 20
    83498375uid 1546,0
    83508376)
    8351 *332 (MRCItem
    8352 litem &283
     8377*333 (MRCItem
     8378litem &284
    83538379pos 36
    83548380dimension 20
    83558381uid 1548,0
    83568382)
    8357 *333 (MRCItem
    8358 litem &284
     8383*334 (MRCItem
     8384litem &285
    83598385pos 37
    83608386dimension 20
    83618387uid 1550,0
    83628388)
    8363 *334 (MRCItem
    8364 litem &285
     8389*335 (MRCItem
     8390litem &286
    83658391pos 38
    83668392dimension 20
    83678393uid 1552,0
    83688394)
    8369 *335 (MRCItem
    8370 litem &286
     8395*336 (MRCItem
     8396litem &287
    83718397pos 39
    83728398dimension 20
    83738399uid 1554,0
    83748400)
    8375 *336 (MRCItem
    8376 litem &287
     8401*337 (MRCItem
     8402litem &288
    83778403pos 40
    83788404dimension 20
    83798405uid 1556,0
    83808406)
    8381 *337 (MRCItem
    8382 litem &288
     8407*338 (MRCItem
     8408litem &289
    83838409pos 41
    83848410dimension 20
    83858411uid 1576,0
    83868412)
    8387 *338 (MRCItem
    8388 litem &289
     8413*339 (MRCItem
     8414litem &290
    83898415pos 42
    83908416dimension 20
    83918417uid 1691,0
    83928418)
    8393 *339 (MRCItem
    8394 litem &290
     8419*340 (MRCItem
     8420litem &291
    83958421pos 43
    83968422dimension 20
     
    84088434uid 73,0
    84098435optionalChildren [
    8410 *340 (MRCItem
    8411 litem &238
     8436*341 (MRCItem
     8437litem &239
    84128438pos 0
    84138439dimension 20
    84148440uid 74,0
    84158441)
    8416 *341 (MRCItem
    8417 litem &240
     8442*342 (MRCItem
     8443litem &241
    84188444pos 1
    84198445dimension 50
    84208446uid 75,0
    84218447)
    8422 *342 (MRCItem
    8423 litem &241
     8448*343 (MRCItem
     8449litem &242
    84248450pos 2
    84258451dimension 100
    84268452uid 76,0
    84278453)
    8428 *343 (MRCItem
    8429 litem &242
     8454*344 (MRCItem
     8455litem &243
    84308456pos 3
    84318457dimension 50
    84328458uid 77,0
    84338459)
    8434 *344 (MRCItem
    8435 litem &243
     8460*345 (MRCItem
     8461litem &244
    84368462pos 4
    84378463dimension 100
    84388464uid 78,0
    84398465)
    8440 *345 (MRCItem
    8441 litem &244
     8466*346 (MRCItem
     8467litem &245
    84428468pos 5
    84438469dimension 100
    84448470uid 79,0
    84458471)
    8446 *346 (MRCItem
    8447 litem &245
     8472*347 (MRCItem
     8473litem &246
    84488474pos 6
    84498475dimension 50
    84508476uid 80,0
    84518477)
    8452 *347 (MRCItem
    8453 litem &246
     8478*348 (MRCItem
     8479litem &247
    84548480pos 7
    84558481dimension 80
     
    84718497genericsCommonDM (CommonDM
    84728498ldm (LogicalDM
    8473 emptyRow *348 (LEmptyRow
     8499emptyRow *349 (LEmptyRow
    84748500)
    84758501uid 83,0
    84768502optionalChildren [
    8477 *349 (RefLabelRowHdr
    8478 )
    8479 *350 (TitleRowHdr
    8480 )
    8481 *351 (FilterRowHdr
    8482 )
    8483 *352 (RefLabelColHdr
     8503*350 (RefLabelRowHdr
     8504)
     8505*351 (TitleRowHdr
     8506)
     8507*352 (FilterRowHdr
     8508)
     8509*353 (RefLabelColHdr
    84848510tm "RefLabelColHdrMgr"
    84858511)
    8486 *353 (RowExpandColHdr
     8512*354 (RowExpandColHdr
    84878513tm "RowExpandColHdrMgr"
    84888514)
    8489 *354 (GroupColHdr
     8515*355 (GroupColHdr
    84908516tm "GroupColHdrMgr"
    84918517)
    8492 *355 (NameColHdr
     8518*356 (NameColHdr
    84938519tm "GenericNameColHdrMgr"
    84948520)
    8495 *356 (TypeColHdr
     8521*357 (TypeColHdr
    84968522tm "GenericTypeColHdrMgr"
    84978523)
    8498 *357 (InitColHdr
     8524*358 (InitColHdr
    84998525tm "GenericValueColHdrMgr"
    85008526)
    8501 *358 (PragmaColHdr
     8527*359 (PragmaColHdr
    85028528tm "GenericPragmaColHdrMgr"
    85038529)
    8504 *359 (EolColHdr
     8530*360 (EolColHdr
    85058531tm "GenericEolColHdrMgr"
    85068532)
     
    85128538uid 95,0
    85138539optionalChildren [
    8514 *360 (Sheet
     8540*361 (Sheet
    85158541sheetRow (SheetRow
    85168542headerVa (MVa
     
    85298555font "Tahoma,10,0"
    85308556)
    8531 emptyMRCItem *361 (MRCItem
    8532 litem &348
    8533 pos 0
    8534 dimension 20
    8535 )
    8536 uid 97,0
    8537 optionalChildren [
    8538 *362 (MRCItem
     8557emptyMRCItem *362 (MRCItem
    85398558litem &349
    85408559pos 0
    85418560dimension 20
    8542 uid 98,0
    8543 )
     8561)
     8562uid 97,0
     8563optionalChildren [
    85448564*363 (MRCItem
    85458565litem &350
     8566pos 0
     8567dimension 20
     8568uid 98,0
     8569)
     8570*364 (MRCItem
     8571litem &351
    85468572pos 1
    85478573dimension 23
    85488574uid 99,0
    85498575)
    8550 *364 (MRCItem
    8551 litem &351
     8576*365 (MRCItem
     8577litem &352
    85528578pos 2
    85538579hidden 1
     
    85668592uid 101,0
    85678593optionalChildren [
    8568 *365 (MRCItem
    8569 litem &352
     8594*366 (MRCItem
     8595litem &353
    85708596pos 0
    85718597dimension 20
    85728598uid 102,0
    85738599)
    8574 *366 (MRCItem
    8575 litem &354
     8600*367 (MRCItem
     8601litem &355
    85768602pos 1
    85778603dimension 50
    85788604uid 103,0
    85798605)
    8580 *367 (MRCItem
    8581 litem &355
     8606*368 (MRCItem
     8607litem &356
    85828608pos 2
    85838609dimension 100
    85848610uid 104,0
    85858611)
    8586 *368 (MRCItem
    8587 litem &356
     8612*369 (MRCItem
     8613litem &357
    85888614pos 3
    85898615dimension 100
    85908616uid 105,0
    85918617)
    8592 *369 (MRCItem
    8593 litem &357
     8618*370 (MRCItem
     8619litem &358
    85948620pos 4
    85958621dimension 50
    85968622uid 106,0
    85978623)
    8598 *370 (MRCItem
    8599 litem &358
     8624*371 (MRCItem
     8625litem &359
    86008626pos 5
    86018627dimension 50
    86028628uid 107,0
    86038629)
    8604 *371 (MRCItem
    8605 litem &359
     8630*372 (MRCItem
     8631litem &360
    86068632pos 6
    86078633dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/fad_main_tb/struct.bd.bak

    r10225 r10240  
    354354(vvPair
    355355variable "time"
    356 value "15:30:11"
     356value "15:31:34"
    357357)
    358358(vvPair
     
    44594459n "wr"
    44604460t "std_logic"
    4461 prec "--      int  : out    std_logic := '1';"
    44624461preAdd 0
    44634462posAdd 0
     
    44964495)
    44974496xt "124000,27500,125200,28500"
    4498 st "'0'"
     4497st "'1'"
    44994498blo "124000,28300"
    45004499)
     
    45074506o 1
    45084507suid 5,0
    4509 i "'0'"
     4508i "'1'"
    45104509)
    45114510)
     
    64496448)
    64506449windowSize "0,0,1681,1030"
    6451 viewArea "59994,4224,152100,60168"
     6450viewArea "60000,4200,152106,60144"
    64526451cachedDiagramExtent "-92000,0,146000,98000"
    64536452pageSetupInfo (PageSetupInfo
     
    64626461hasePageBreakOrigin 1
    64636462pageBreakOrigin "-146000,0"
    6464 lastUid 2345,0
     6463lastUid 2446,0
    64656464defaultCommentText (CommentText
    64666465shape (Rectangle
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_TB_lib/hds/w5300_emulator/symbol.sb

    r10225 r10240  
    3131ldm (LogicalDM
    3232ordering 1
    33 suid 5,0
     33suid 6,0
    3434usingSuid 1
    3535emptyRow *1 (LEmptyRow
     
    119119preAdd 0
    120120posAdd 0
    121 o 5
     121o 6
    122122suid 4,0
    123123)
     
    138138uid 169,0
    139139)
     140*19 (LogPort
     141port (LogicalPort
     142decl (Decl
     143n "cs"
     144t "std_logic"
     145o 5
     146suid 6,0
     147)
     148)
     149uid 291,0
     150)
    140151]
    141152)
     
    145156uid 97,0
    146157optionalChildren [
    147 *19 (Sheet
     158*20 (Sheet
    148159sheetRow (SheetRow
    149160headerVa (MVa
     
    162173font "Tahoma,10,0"
    163174)
    164 emptyMRCItem *20 (MRCItem
     175emptyMRCItem *21 (MRCItem
    165176litem &1
    166177pos 3
     
    169180uid 99,0
    170181optionalChildren [
    171 *21 (MRCItem
     182*22 (MRCItem
    172183litem &2
    173184pos 0
     
    175186uid 100,0
    176187)
    177 *22 (MRCItem
     188*23 (MRCItem
    178189litem &3
    179190pos 1
     
    181192uid 101,0
    182193)
    183 *23 (MRCItem
     194*24 (MRCItem
    184195litem &4
    185196pos 2
     
    188199uid 102,0
    189200)
    190 *24 (MRCItem
     201*25 (MRCItem
    191202litem &14
    192203pos 0
     
    194205uid 72,0
    195206)
    196 *25 (MRCItem
     207*26 (MRCItem
    197208litem &15
    198209pos 1
     
    200211uid 74,0
    201212)
    202 *26 (MRCItem
     213*27 (MRCItem
    203214litem &16
    204215pos 2
     
    206217uid 76,0
    207218)
    208 *27 (MRCItem
     219*28 (MRCItem
    209220litem &17
    210221pos 3
     
    212223uid 78,0
    213224)
    214 *28 (MRCItem
     225*29 (MRCItem
    215226litem &18
    216227pos 4
    217228dimension 20
    218229uid 170,0
     230)
     231*30 (MRCItem
     232litem &19
     233pos 5
     234dimension 20
     235uid 292,0
    219236)
    220237]
     
    229246uid 103,0
    230247optionalChildren [
    231 *29 (MRCItem
     248*31 (MRCItem
    232249litem &5
    233250pos 0
     
    235252uid 104,0
    236253)
    237 *30 (MRCItem
     254*32 (MRCItem
    238255litem &7
    239256pos 1
     
    241258uid 105,0
    242259)
    243 *31 (MRCItem
     260*33 (MRCItem
    244261litem &8
    245262pos 2
     
    247264uid 106,0
    248265)
    249 *32 (MRCItem
     266*34 (MRCItem
    250267litem &9
    251268pos 3
     
    253270uid 107,0
    254271)
    255 *33 (MRCItem
     272*35 (MRCItem
    256273litem &10
    257274pos 4
     
    259276uid 108,0
    260277)
    261 *34 (MRCItem
     278*36 (MRCItem
    262279litem &11
    263280pos 5
     
    265282uid 109,0
    266283)
    267 *35 (MRCItem
     284*37 (MRCItem
    268285litem &12
    269286pos 6
     
    271288uid 110,0
    272289)
    273 *36 (MRCItem
     290*38 (MRCItem
    274291litem &13
    275292pos 7
     
    292309genericsCommonDM (CommonDM
    293310ldm (LogicalDM
    294 emptyRow *37 (LEmptyRow
     311emptyRow *39 (LEmptyRow
    295312)
    296313uid 113,0
    297314optionalChildren [
    298 *38 (RefLabelRowHdr
    299 )
    300 *39 (TitleRowHdr
    301 )
    302 *40 (FilterRowHdr
    303 )
    304 *41 (RefLabelColHdr
     315*40 (RefLabelRowHdr
     316)
     317*41 (TitleRowHdr
     318)
     319*42 (FilterRowHdr
     320)
     321*43 (RefLabelColHdr
    305322tm "RefLabelColHdrMgr"
    306323)
    307 *42 (RowExpandColHdr
     324*44 (RowExpandColHdr
    308325tm "RowExpandColHdrMgr"
    309326)
    310 *43 (GroupColHdr
     327*45 (GroupColHdr
    311328tm "GroupColHdrMgr"
    312329)
    313 *44 (NameColHdr
     330*46 (NameColHdr
    314331tm "GenericNameColHdrMgr"
    315332)
    316 *45 (TypeColHdr
     333*47 (TypeColHdr
    317334tm "GenericTypeColHdrMgr"
    318335)
    319 *46 (InitColHdr
     336*48 (InitColHdr
    320337tm "GenericValueColHdrMgr"
    321338)
    322 *47 (PragmaColHdr
     339*49 (PragmaColHdr
    323340tm "GenericPragmaColHdrMgr"
    324341)
    325 *48 (EolColHdr
     342*50 (EolColHdr
    326343tm "GenericEolColHdrMgr"
    327344)
     
    333350uid 125,0
    334351optionalChildren [
    335 *49 (Sheet
     352*51 (Sheet
    336353sheetRow (SheetRow
    337354headerVa (MVa
     
    350367font "Tahoma,10,0"
    351368)
    352 emptyMRCItem *50 (MRCItem
    353 litem &37
     369emptyMRCItem *52 (MRCItem
     370litem &39
    354371pos 3
    355372dimension 20
     
    357374uid 127,0
    358375optionalChildren [
    359 *51 (MRCItem
    360 litem &38
     376*53 (MRCItem
     377litem &40
    361378pos 0
    362379dimension 20
    363380uid 128,0
    364381)
    365 *52 (MRCItem
    366 litem &39
     382*54 (MRCItem
     383litem &41
    367384pos 1
    368385dimension 23
    369386uid 129,0
    370387)
    371 *53 (MRCItem
    372 litem &40
     388*55 (MRCItem
     389litem &42
    373390pos 2
    374391hidden 1
     
    387404uid 131,0
    388405optionalChildren [
    389 *54 (MRCItem
    390 litem &41
     406*56 (MRCItem
     407litem &43
    391408pos 0
    392409dimension 20
    393410uid 132,0
    394411)
    395 *55 (MRCItem
    396 litem &43
     412*57 (MRCItem
     413litem &45
    397414pos 1
    398415dimension 50
    399416uid 133,0
    400417)
    401 *56 (MRCItem
    402 litem &44
     418*58 (MRCItem
     419litem &46
    403420pos 2
    404421dimension 100
    405422uid 134,0
    406423)
    407 *57 (MRCItem
    408 litem &45
     424*59 (MRCItem
     425litem &47
    409426pos 3
    410427dimension 100
    411428uid 135,0
    412429)
    413 *58 (MRCItem
    414 litem &46
     430*60 (MRCItem
     431litem &48
    415432pos 4
    416433dimension 50
    417434uid 136,0
    418435)
    419 *59 (MRCItem
    420 litem &47
     436*61 (MRCItem
     437litem &49
    421438pos 5
    422439dimension 50
    423440uid 137,0
    424441)
    425 *60 (MRCItem
    426 litem &48
     442*62 (MRCItem
     443litem &50
    427444pos 6
    428445dimension 80
     
    487504(vvPair
    488505variable "date"
    489 value "02.03.2011"
     506value "04.03.2011"
    490507)
    491508(vvPair
    492509variable "day"
    493 value "Mi"
     510value "Fr"
    494511)
    495512(vvPair
    496513variable "day_long"
    497 value "Mittwoch"
     514value "Freitag"
    498515)
    499516(vvPair
    500517variable "dd"
    501 value "02"
     518value "04"
    502519)
    503520(vvPair
     
    635652(vvPair
    636653variable "time"
    637 value "15:31:22"
     654value "11:36:54"
    638655)
    639656(vvPair
     
    666683uid 82,0
    667684optionalChildren [
    668 *61 (SymbolBody
     685*63 (SymbolBody
    669686uid 8,0
    670687optionalChildren [
    671 *62 (CptPort
     688*64 (CptPort
    672689uid 48,0
    673690ps "OnEdgeStrategy"
     
    689706va (VaSet
    690707)
    691 xt "30000,1500,34500,2500"
     708xt "30000,1500,35100,2500"
    692709st "addr : (9:0)"
    693710blo "30000,2300"
     
    716733)
    717734)
    718 *63 (CptPort
     735*65 (CptPort
    719736uid 53,0
    720737ps "OnEdgeStrategy"
     
    736753va (VaSet
    737754)
    738 xt "30000,2500,34800,3500"
     755xt "30000,2500,35400,3500"
    739756st "data : (15:0)"
    740757blo "30000,3300"
     
    764781)
    765782)
    766 *64 (CptPort
     783*66 (CptPort
    767784uid 58,0
    768785ps "OnEdgeStrategy"
     
    784801va (VaSet
    785802)
    786 xt "30000,5500,31100,6500"
     803xt "30000,5500,31300,6500"
    787804st "rd"
    788805blo "30000,6300"
     
    810827)
    811828)
    812 *65 (CptPort
     829*67 (CptPort
    813830uid 63,0
    814831ps "OnEdgeStrategy"
     
    830847va (VaSet
    831848)
    832 xt "30000,6500,31200,7500"
     849xt "30000,6500,31400,7500"
    833850st "wr"
    834851blo "30000,7300"
     
    841858font "Courier New,8,0"
    842859)
    843 xt "2000,14200,15500,15000"
     860xt "2000,15000,15500,15800"
    844861st "wr   : IN     std_logic
    845862"
     
    851868preAdd 0
    852869posAdd 0
    853 o 5
     870o 6
    854871suid 4,0
    855872)
    856873)
    857874)
    858 *66 (CptPort
     875*68 (CptPort
    859876uid 163,0
    860877ps "OnEdgeStrategy"
     
    866883fg "0,65535,0"
    867884)
    868 xt "39000,1625,39750,2375"
     885xt "43000,1625,43750,2375"
    869886)
    870887tg (CPTG
     
    876893va (VaSet
    877894)
    878 xt "36800,1500,38000,2500"
     895xt "40800,1500,42000,2500"
    879896st "int"
    880897ju 2
    881 blo "38000,2300"
     898blo "42000,2300"
    882899tm "CptPortNameMgr"
    883900)
     
    886903va (VaSet
    887904)
    888 xt "36800,2500,38000,3500"
     905xt "40800,2500,42000,3500"
    889906st "'1'"
    890907ju 2
    891 blo "38000,3300"
     908blo "42000,3300"
    892909tm "InitValueDelayMgr"
    893910)
     
    913930)
    914931)
     932*69 (CptPort
     933uid 286,0
     934ps "OnEdgeStrategy"
     935shape (Triangle
     936uid 287,0
     937ro 90
     938va (VaSet
     939vasetType 1
     940fg "0,65535,0"
     941)
     942xt "28250,8625,29000,9375"
     943)
     944tg (CPTG
     945uid 288,0
     946ps "CptPortTextPlaceStrategy"
     947stg "VerticalLayoutStrategy"
     948f (Text
     949uid 289,0
     950va (VaSet
     951)
     952xt "30000,8500,31200,9500"
     953st "cs"
     954blo "30000,9300"
     955tm "CptPortNameMgr"
     956)
     957)
     958dt (MLText
     959uid 290,0
     960va (VaSet
     961font "Courier New,8,0"
     962)
     963xt "2000,14200,16500,15000"
     964st "cs   : IN     std_logic  ;
     965"
     966)
     967thePort (LogicalPort
     968decl (Decl
     969n "cs"
     970t "std_logic"
     971o 5
     972suid 6,0
     973)
     974)
     975)
    915976]
    916977shape (Rectangle
     
    922983lineWidth 2
    923984)
    924 xt "29000,0,39000,12000"
    925 )
     985xt "29000,0,43000,12000"
     986)
     987oxt "29000,0,39000,12000"
    926988biTextGroup (BiTextGroup
    927989uid 10,0
     
    9471009)
    9481010)
    949 gi *67 (GenericInterface
     1011gi *70 (GenericInterface
    9501012uid 13,0
    9511013ps "CenterOffsetStrategy"
     
    9741036)
    9751037)
    976 *68 (Grouping
     1038*71 (Grouping
    9771039uid 16,0
    9781040optionalChildren [
    979 *69 (CommentText
     1041*72 (CommentText
    9801042uid 18,0
    9811043shape (Rectangle
     
    9951057bg "0,0,32768"
    9961058)
    997 xt "33200,20000,43000,21000"
     1059xt "33200,20000,44300,21000"
    9981060st "
    9991061by %user on %dd %month %year
     
    10081070titleBlock 1
    10091071)
    1010 *70 (CommentText
     1072*73 (CommentText
    10111073uid 21,0
    10121074shape (Rectangle
     
    10261088bg "0,0,32768"
    10271089)
    1028 xt "50200,16000,53200,17000"
     1090xt "50200,16000,53500,17000"
    10291091st "
    10301092Project:
     
    10391101titleBlock 1
    10401102)
    1041 *71 (CommentText
     1103*74 (CommentText
    10421104uid 24,0
    10431105shape (Rectangle
     
    10571119bg "0,0,32768"
    10581120)
    1059 xt "33200,18000,43200,19000"
     1121xt "33200,18000,44100,19000"
    10601122st "
    10611123<enter diagram title here>
     
    10701132titleBlock 1
    10711133)
    1072 *72 (CommentText
     1134*75 (CommentText
    10731135uid 27,0
    10741136shape (Rectangle
     
    10881150bg "0,0,32768"
    10891151)
    1090 xt "29200,18000,31300,19000"
     1152xt "29200,18000,31500,19000"
    10911153st "
    10921154Title:
     
    11011163titleBlock 1
    11021164)
    1103 *73 (CommentText
     1165*76 (CommentText
    11041166uid 30,0
    11051167shape (Rectangle
     
    11191181bg "0,0,32768"
    11201182)
    1121 xt "50200,17200,59400,18200"
     1183xt "50200,17200,60000,18200"
    11221184st "
    11231185<enter comments here>
     
    11311193titleBlock 1
    11321194)
    1133 *74 (CommentText
     1195*77 (CommentText
    11341196uid 33,0
    11351197shape (Rectangle
     
    11491211bg "0,0,32768"
    11501212)
    1151 xt "54200,16000,58700,17000"
     1213xt "54200,16000,58900,17000"
    11521214st "
    11531215%project_name
     
    11621224titleBlock 1
    11631225)
    1164 *75 (CommentText
     1226*78 (CommentText
    11651227uid 36,0
    11661228shape (Rectangle
     
    11791241fg "32768,0,0"
    11801242)
    1181 xt "36700,16000,42300,18000"
     1243xt "36450,16000,42550,18000"
    11821244st "
    11831245TU Dortmund
     
    11941256titleBlock 1
    11951257)
    1196 *76 (CommentText
     1258*79 (CommentText
    11971259uid 39,0
    11981260shape (Rectangle
     
    12121274bg "0,0,32768"
    12131275)
    1214 xt "29200,19000,31300,20000"
     1276xt "29200,19000,31500,20000"
    12151277st "
    12161278Path:
     
    12251287titleBlock 1
    12261288)
    1227 *77 (CommentText
     1289*80 (CommentText
    12281290uid 42,0
    12291291shape (Rectangle
     
    12431305bg "0,0,32768"
    12441306)
    1245 xt "29200,20000,31900,21000"
     1307xt "29200,20000,32300,21000"
    12461308st "
    12471309Edited:
     
    12561318titleBlock 1
    12571319)
    1258 *78 (CommentText
     1320*81 (CommentText
    12591321uid 45,0
    12601322shape (Rectangle
     
    12741336bg "0,0,32768"
    12751337)
    1276 xt "33200,19000,49200,20000"
     1338xt "33200,19000,48900,20000"
    12771339st "
    12781340%library/%unit/%view
     
    13001362oxt "14000,66000,55000,71000"
    13011363)
    1302 *79 (CommentText
     1364*82 (CommentText
    13031365uid 68,0
    13041366shape (Rectangle
     
    13431405color "26368,26368,26368"
    13441406)
    1345 packageList *80 (PackageList
     1407packageList *83 (PackageList
    13461408uid 79,0
    13471409stg "VerticalLayoutStrategy"
    13481410textVec [
    1349 *81 (Text
     1411*84 (Text
    13501412uid 80,0
    13511413va (VaSet
     
    13561418blo "0,1800"
    13571419)
    1358 *82 (MLText
     1420*85 (MLText
    13591421uid 81,0
    13601422va (VaSet
    13611423)
    1362 xt "0,2000,14500,8000"
     1424xt "0,2000,16100,8000"
    13631425st "LIBRARY ieee;
    13641426USE ieee.std_logic_1164.all;
     
    13891451fg "0,0,32768"
    13901452)
    1391 xt "200,200,2000,1200"
     1453xt "200,200,2400,1200"
    13921454st "
    13931455Text
     
    14571519)
    14581520)
    1459 gi *83 (GenericInterface
     1521gi *86 (GenericInterface
    14601522ps "CenterOffsetStrategy"
    14611523matrix (Matrix
     
    15541616)
    15551617)
    1556 DeclarativeBlock *84 (SymDeclBlock
     1618DeclarativeBlock *87 (SymDeclBlock
    15571619uid 1,0
    15581620stg "SymDeclLayoutStrategy"
     
    15801642font "Arial,8,1"
    15811643)
    1582 xt "0,15000,2400,16000"
     1644xt "0,15800,2400,16800"
    15831645st "User:"
    1584 blo "0,15800"
     1646blo "0,16600"
    15851647)
    15861648internalLabel (Text
     
    15991661font "Courier New,8,0"
    16001662)
    1601 xt "2000,16000,2000,16000"
     1663xt "2000,16800,2000,16800"
    16021664tm "SyDeclarativeTextMgr"
    16031665)
     
    16121674)
    16131675)
    1614 lastUid 262,0
     1676lastUid 292,0
    16151677activeModelName "Symbol:CDM"
    16161678)
Note: See TracChangeset for help on using the changeset viewer.