source: firmware/FTM

Revision Log Mode:


Legend:

Added
Modified
Copied or renamed
Diff Rev Age Author Log Message
(edit) @12029   13 years weitzel FTM: firmware version 6 committed with new IP address and LEDs off
(edit) @11679   13 years weitzel
(edit) @11660   13 years weitzel FTM status states newly defined
(edit) @11658   13 years weitzel
(edit) @11654   13 years weitzel two new status states introduced for FTM to indicate lock status of ...
(edit) @11653   13 years vogler clock cond interface with PLL lock seperate
(edit) @11652   13 years vogler ftm_definition for firmware v 4
(edit) @11648   13 years vogler clock cond interface, new settings loaded only when changed
(edit) @11541   13 years weitzel FTM IP changed
(edit) @11514   13 years weitzel FTM: changeover to firmware ID 3
(edit) @11513   13 years vogler lightpulser interface modified to reduce LED current and light output
(edit) @11485   13 years weitzel FTM firmware features now the config_single_FTU command (to be ...
(edit) @11241   13 years weitzel FTM: changes in library file: firmware ID increased to v2 and ...
(edit) @11206   13 years weitzel FTM network settings for La Palma added
(edit) @10929   13 years weitzel FTM: patch in LP interface to correct for swapped channels; change in ...
(edit) @10879   13 years weitzel FTM: new light pulser interface, new timing constraint in .ucf file
(edit) @10857   13 years vogler ftm definitions for Lightpulser Interface Basic (50MHz clock only) added
(edit) @10855   13 years vogler Lightpulser Basic version with 50MHz clock only added
(edit) @10847   13 years weitzel FTM LP corrections
(edit) @10812   13 years weitzel FTM doc directory cleaned up
(edit) @10803   13 years weitzel FTM: crate reset implemented
(edit) @10791   13 years vogler forgotten file sigle_LP.vhd added
(edit) @10788   13 years vogler Update: FTM statis data block: Lightpulser interface
(edit) @10787   13 years vogler Update: FTM statis data block: Lightpulser interface
(edit) @10779   13 years weitzel some changes in FTM Timing_counter
(edit) @10761   13 years vogler Lightpulser first version added
(edit) @10760   13 years weitzel FTM: reset of timing counters implemented
(edit) @10742   13 years vogler FTM Timing counters added
(edit) @10740   13 years weitzel several bugfixes for FTM firmware
(edit) @10639   13 years weitzel FTM: keep-alive of Wiznet actiated, clock conditioner interface ...
(edit) @10448   13 years weitzel new FTM docu
(edit) @10441   13 years weitzel new FTM firmware featuring e.g. start/stop run commands and new header
(edit) @10440   13 years weitzel new version of FTM docu
(edit) @10418   13 years weitzel New FTM firmare: dna, fad_broadcast, FTU error messages, rates readout
(edit) @10366   13 years weitzel FTM trigger manager from MCSE added; DCM arrangement changed; changes ...
(edit) @10328   13 years weitzel changes in FTM firmware to debug rates readout, clock conditioner added
(edit) @10260   14 years vogler ftm_definitions clock constants and array for conditioner interface updated
(edit) @10259   14 years vogler ftm_definitions update constants for clock conditioner interface introduced
(edit) @10258   14 years vogler ftm_definitions update clk_cond_array_type
(edit) @10257   14 years vogler ftm_definitions update clk_cond_array_type
(edit) @10256   14 years weitzel new version of FTM ethernet module; first version of clock conditioner
(edit) @10250   14 years vogler Clock_cond_interface updated: PLL lock disconnected
(edit) @10249   14 years vogler ftm timing counter constants updated
(edit) @10248   14 years vogler update ftm definitions: timing counters zero
(edit) @10247   14 years vogler ftm_definitions: timing counter and temp sensor interface
(edit) @10246   14 years weitzel
(edit) @10243   14 years vogler ftu_definitions constant for timing counter added
(edit) @10239   14 years vogler Timing counter width added
(edit) @10232   14 years weitzel bugfix in FTM_ftu_rs485_interpreter
(edit) @10231   14 years vogler Check in Clock conditioner interface first version
(edit) @10229   14 years vogler constant for Lightpulser interface added
(edit) @10228   14 years vogler constant for Lightpulser interface added
(edit) @10227   14 years weitzel first version of FTM firmware including ethernet and FTU interface; ...
(edit) @10179   14 years vogler
(edit) @10177   14 years weitzel new version of ftm_definitions.vhd, including Kai's definitions
(edit) @10175   14 years weitzel first version of FTM_ftu_control, not yet tested
(edit) @10171   14 years weitzel FTM docu v3.3 added
(edit) @10163   14 years weitzel FTM docu v3.2 added
(edit) @10162   14 years vogler FTM definitions array for clock cond updated
(edit) @10161   14 years vogler FTM docu V3.1 added
(edit) @10160   14 years vogler constant definition for FTM clock conditioner interface
(edit) @10159   14 years vogler constant definition for FTM clock conditioner interface
(edit) @10158   14 years vogler type definition for FTM clock conditioner interface
(edit) @10157   14 years weitzel new version of ftm_definitions.vhd
(edit) @10151   14 years weitzel new array types and constants in library ftm_definitions
(edit) @10127   14 years weitzel ftm library file added and small bug fix in FTM test bench
(edit) @10124   14 years weitzel FTM firmware documentation v3.0 added
(edit) @10104   14 years weitzel FTM_test9 added: check FTM-FTU communication
(edit) @10067   14 years weitzel Skeleton of FTM_top and FTM_top_tb added
(edit) @10058   14 years weitzel Some modifications and a test bench for FTM_test8
(edit) @10046   14 years vogler Test firmware for FTM hardware testing
(edit) @9879   14 years vogler FTM pin location ucf file updated and test 1 & 2 checked in
(edit) @9844   14 years vogler FTM: first version of the pin location ucf file (ftm_board.ucf) added ...
(edit) @9842   14 years vogler test_firmware folder added for FTM (to check my svn account)
(copy) @9841   14 years weitzel directory FPGA re-named as firmware
copied from FPGA/FTM
(add) @141   15 years qweitzel some new diretories
Note: See TracRevisionLog for help on using the revision log.