source: firmware

Revision Log Mode:


Legend:

Added
Modified
Copied or renamed
Diff Rev Age Author Log Message
(edit) @17313   11 years mknoetig add: get_status to the GPS arduino firmware and documentation
(edit) @17312   11 years mknoetig add: full documentation
(edit) @17311   11 years mknoetig add: additional external datasheets and schematics
(edit) @17308   11 years mknoetig add: FACT GPS module firmware and first version of the documentation
(edit) @14778   12 years boccone trying to release current and position contrain to solve the ...
(edit) @14517   12 years neise more HMTL conformity ...
(edit) @14513   12 years neise found string buffer problem.. reduced button name size
(edit) @14512   12 years neise new version, with new buttons, checked HTML and span tags
(edit) @14511   12 years neise renamed some buttons
(edit) @14359   12 years boccone new shutter page
(edit) @14357   12 years boccone checking mod on lidcontrol
(edit) @14289   12 years vogler remove auxillary LaTex files, cleanup
(edit) @14288   12 years vogler final version of the FTM firmware docu
(edit) @14280   12 years boccone first drfat of the manual
(edit) @14279   12 years boccone first drfat of the manual
(edit) @14274   12 years boccone shutter controller docs: adding description of the cabling
(edit) @14273   12 years boccone ShutterControlled, added tech details
(edit) @14272   12 years boccone ShutterControlled, committing figures for the manual
(edit) @14271   12 years boccone adding docs
(edit) @14270   12 years boccone adding docs
(edit) @14258   12 years boccone Adding Arduino Shutter Control code to the repository
(edit) @14252   12 years neise ... moving forward
(edit) @14249   12 years neise ignore tex auxiliary files
(edit) @14248   12 years neise deleted docu.tex
(edit) @14247   12 years neise moving forward
(edit) @14236   12 years neise fact++ arduino interface docu init
(edit) @14162   12 years neise added doc/ and tidied up a bit
(edit) @14159   12 years neise initial commit
(edit) @12810   13 years neise I guess having the binarz in the svn repos, does not harm
(edit) @12032   13 years weitzel FTU: just some changes in the testbench
(edit) @12029   13 years weitzel FTM: firmware version 6 committed with new IP address and LEDs off
(edit) @11801   13 years neise hex: v214; dec: v220. FAD operates with socket 0 and 1 only. (TX MEM 60kb)
(edit) @11800   13 years neise removed echo of ethernat command 'm'
(edit) @11795   13 years neise debugged channel 0 bug.
(edit) @11789   13 years neise test to get rid of voltage channel 0 error.
(edit) @11788   13 years neise multiple changes ... chaos.
(edit) @11787   13 years neise set W5300 SPI clock freq to F_CPU/128. Since sometimes the W5300 ...
(edit) @11770   13 years neise removed bug in nc_format. wrongly used pointer to piinter nc_format_buffer.
(edit) @11757   13 years neise version 0x02.13: send data via socket 1 only. sockets 2..7 should be ...
(edit) @11756   13 years neise bitfiles are now versioned as well
(edit) @11755   13 years neise reinit of this svn repos .... it was all too messy deleted the old ...
(edit) @11696   13 years neise the changes we made with thomas B did not work. I have to find out ...
(edit) @11681   13 years neise removed minor typos
(edit) @11679   13 years weitzel
(edit) @11671   13 years tbretz Fixed a bug in nc_format - nc_format_buffer is already the pointer and ...
(edit) @11670   13 years tbretz Simplified writing into ring-buffer by using modulo operator.
(edit) @11669   13 years tbretz Fixed a possible dead lock in eth_write_*
(edit) @11668   13 years neise
(edit) @11667   13 years neise
(edit) @11666   13 years neise
(edit) @11660   13 years weitzel FTM status states newly defined
(edit) @11658   13 years weitzel
(edit) @11654   13 years weitzel two new status states introduced for FTM to indicate lock status of ...
(edit) @11653   13 years vogler clock cond interface with PLL lock seperate
(edit) @11652   13 years vogler ftm_definition for firmware v 4
(edit) @11648   13 years vogler clock cond interface, new settings loaded only when changed
(edit) @11541   13 years weitzel FTM IP changed
(edit) @11514   13 years weitzel FTM: changeover to firmware ID 3
(edit) @11513   13 years vogler lightpulser interface modified to reduce LED current and light output
(edit) @11485   13 years weitzel FTM firmware features now the config_single_FTU command (to be ...
(edit) @11241   13 years weitzel FTM: changes in library file: firmware ID increased to v2 and ...
(edit) @11212   13 years neise v0207 features DRS reset, but needs hardware mods. Do not use
(edit) @11206   13 years weitzel FTM network settings for La Palma added
(edit) @11173   13 years neise this is v0206 --- v0205 had a bug: when sending 'enable busy' ...
(edit) @11155   13 years neise v0205 changed ADC dataformat to unsigned short. - untested ... not ...
(edit) @11122   13 years neise Firmware version v0202 LEDs show: busy and w5300_reset DISCON after ...
(edit) @10989   13 years neise
(edit) @10988   13 years neise
(edit) @10970   13 years neise new version 0x0200
(edit) @10958   13 years neise
(edit) @10957   13 years neise
(edit) @10929   13 years weitzel FTM: patch in LP interface to correct for swapped channels; change in ...
(edit) @10915   13 years neise
(edit) @10914   13 years neise
(edit) @10911   13 years neise atmega thinks it receives 512 bytes all the time ... thats not true ...
(edit) @10910   13 years neise
(edit) @10908   13 years neise
(edit) @10902   13 years neise
(edit) @10901   13 years neise gui stuff
(edit) @10900   13 years neise it compiles but doenst work....
(edit) @10892   13 years neise
(edit) @10891   13 years neise completed w5300_interface implementation. needs testing now
(edit) @10890   13 years neise minor changes
(edit) @10889   13 years neise memory_manager_2.vhd is a hopefully simpler version of the original ...
(edit) @10888   13 years neise - not yet tested; not yet simulated - w5300_modul2.vhd implements the ...
(edit) @10886   13 years neise new try to oout source the W5300 read/write access in order to get ...
(edit) @10883   13 years neise one week of changes in zurich :-(
(edit) @10879   13 years weitzel FTM: new light pulser interface, new timing constraint in .ucf file
(edit) @10857   13 years vogler ftm definitions for Lightpulser Interface Basic (50MHz clock only) added
(edit) @10855   13 years vogler Lightpulser Basic version with 50MHz clock only added
(edit) @10847   13 years weitzel FTM LP corrections
(edit) @10812   13 years weitzel FTM doc directory cleaned up
(edit) @10803   13 years weitzel FTM: crate reset implemented
(edit) @10791   13 years vogler forgotten file sigle_LP.vhd added
(edit) @10788   13 years vogler Update: FTM statis data block: Lightpulser interface
(edit) @10787   13 years vogler Update: FTM statis data block: Lightpulser interface
(edit) @10779   13 years weitzel some changes in FTM Timing_counter
(edit) @10761   13 years vogler Lightpulser first version added
(edit) @10760   13 years weitzel FTM: reset of timing counters implemented
(edit) @10754   13 years neise
Note: See TracRevisionLog for help on using the revision log.