source: firmware

Revision Log Mode:


Legend:

Added
Modified
Copied or renamed
Diff Rev Age Author Log Message
(edit) @10989   13 years neise
(edit) @10988   13 years neise
(edit) @10970   13 years neise new version 0x0200
(edit) @10958   13 years neise
(edit) @10957   13 years neise
(edit) @10929   13 years weitzel FTM: patch in LP interface to correct for swapped channels; change in ...
(edit) @10915   13 years neise
(edit) @10914   13 years neise
(edit) @10911   13 years neise atmega thinks it receives 512 bytes all the time ... thats not true ...
(edit) @10910   13 years neise
(edit) @10908   13 years neise
(edit) @10902   13 years neise
(edit) @10901   13 years neise gui stuff
(edit) @10900   13 years neise it compiles but doenst work....
(edit) @10892   13 years neise
(edit) @10891   13 years neise completed w5300_interface implementation. needs testing now
(edit) @10890   13 years neise minor changes
(edit) @10889   13 years neise memory_manager_2.vhd is a hopefully simpler version of the original ...
(edit) @10888   13 years neise - not yet tested; not yet simulated - w5300_modul2.vhd implements the ...
(edit) @10886   13 years neise new try to oout source the W5300 read/write access in order to get ...
(edit) @10883   13 years neise one week of changes in zurich :-(
(edit) @10879   13 years weitzel FTM: new light pulser interface, new timing constraint in .ucf file
(edit) @10857   13 years vogler ftm definitions for Lightpulser Interface Basic (50MHz clock only) added
(edit) @10855   13 years vogler Lightpulser Basic version with 50MHz clock only added
(edit) @10847   13 years weitzel FTM LP corrections
(edit) @10812   13 years weitzel FTM doc directory cleaned up
(edit) @10803   13 years weitzel FTM: crate reset implemented
(edit) @10791   13 years vogler forgotten file sigle_LP.vhd added
(edit) @10788   13 years vogler Update: FTM statis data block: Lightpulser interface
(edit) @10787   13 years vogler Update: FTM statis data block: Lightpulser interface
(edit) @10779   13 years weitzel some changes in FTM Timing_counter
(edit) @10761   13 years vogler Lightpulser first version added
(edit) @10760   13 years weitzel FTM: reset of timing counters implemented
(edit) @10754   13 years neise
(edit) @10753   13 years neise working usb revision 10245 - modified for nice temperature printing ...
(edit) @10744   13 years neise
(edit) @10743   13 years neise
(edit) @10742   13 years vogler FTM Timing counters added
(edit) @10740   13 years weitzel several bugfixes for FTM firmware
(edit) @10738   13 years neise
(edit) @10729   13 years neise
(edit) @10724   13 years neise
(edit) @10706   13 years neise
(edit) @10705   13 years neise
(edit) @10698   13 years neise
(edit) @10697   13 years neise
(edit) @10677   13 years neise
(edit) @10674   13 years neise ethernet with user interface test
(edit) @10668   13 years neise
(edit) @10667   13 years neise
(edit) @10639   13 years weitzel FTM: keep-alive of Wiznet actiated, clock conditioner interface ...
(edit) @10588   13 years neise added MSR Firmware MSR is the little brother of FSC
(edit) @10567   13 years neise
(edit) @10566   13 years neise
(edit) @10565   13 years neise rrunnumber defaul is 0x1234abcd ... to check if it is visible in the ...
(edit) @10503   13 years neise
(edit) @10502   13 years neise soft & hardware trigger getrennt
(edit) @10500   13 years neise - FAD internal trigger ID reset is possible - with 0x"2A" - runnumber ...
(edit) @10462   13 years neise ADC data is not send in network byte order anymore. RS485 receiver is ...
(edit) @10448   13 years weitzel new FTM docu
(edit) @10441   13 years weitzel new FTM firmware featuring e.g. start/stop run commands and new header
(edit) @10440   13 years weitzel new version of FTM docu
(edit) @10418   13 years weitzel New FTM firmare: dna, fad_broadcast, FTU error messages, rates readout
(edit) @10366   13 years weitzel FTM trigger manager from MCSE added; DCM arrangement changed; changes ...
(edit) @10328   13 years weitzel changes in FTM firmware to debug rates readout, clock conditioner added
(edit) @10260   14 years vogler ftm_definitions clock constants and array for conditioner interface updated
(edit) @10259   14 years vogler ftm_definitions update constants for clock conditioner interface introduced
(edit) @10258   14 years vogler ftm_definitions update clk_cond_array_type
(edit) @10257   14 years vogler ftm_definitions update clk_cond_array_type
(edit) @10256   14 years weitzel new version of FTM ethernet module; first version of clock conditioner
(edit) @10250   14 years vogler Clock_cond_interface updated: PLL lock disconnected
(edit) @10249   14 years vogler ftm timing counter constants updated
(edit) @10248   14 years vogler update ftm definitions: timing counters zero
(edit) @10247   14 years vogler ftm_definitions: timing counter and temp sensor interface
(edit) @10246   14 years weitzel
(edit) @10245   14 years neise
(edit) @10244   14 years neise debugged resistance calculation for AD7719
(edit) @10243   14 years vogler ftu_definitions constant for timing counter added
(edit) @10241   14 years neise
(edit) @10240   14 years neise
(edit) @10239   14 years vogler Timing counter width added
(edit) @10238   14 years weitzel change in FTU rs485 module; FTUs answer now one BAUD period later
(edit) @10237   14 years neise
(edit) @10236   14 years neise
(edit) @10232   14 years weitzel bugfix in FTM_ftu_rs485_interpreter
(edit) @10231   14 years vogler Check in Clock conditioner interface first version
(edit) @10229   14 years vogler constant for Lightpulser interface added
(edit) @10228   14 years vogler constant for Lightpulser interface added
(edit) @10227   14 years weitzel first version of FTM firmware including ethernet and FTU interface; ...
(edit) @10225   14 years neise new data format implemented. setting of DAC during run is possible.
(edit) @10182   14 years neise
(edit) @10181   14 years neise
(edit) @10180   14 years neise at least P&R runs again
(edit) @10179   14 years vogler
(edit) @10178   14 years neise
(edit) @10177   14 years weitzel new version of ftm_definitions.vhd, including Kai's definitions
(edit) @10176   14 years neise
(edit) @10175   14 years weitzel first version of FTM_ftu_control, not yet tested
(edit) @10174   14 years neise
(edit) @10173   14 years neise beautify
Note: See TracRevisionLog for help on using the revision log.